You are on page 1of 126

Instituto Tecnolgico de Veracruz

ELECTRONICA DIGITAL

Presenta: Gonzlez Rico Brenda. Profesor: Ing. Jaime de Jess Caas Ortega. Materia: Electrnica digital

Electrnica Digital

Capitulo uno Cdigos y sistemas numricos binarios.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

1.1 Electrnica analgica vs electrnica digital.


Para poder entender claramente a lo que se refiere este tema empezaremos siguiendo el lineamiento de que la electrnica analgica y la digital son opuestas, ya que la primera trabaja con seales que varan continuamente, mientras que la otra lo hace con seales de tipo incremental. En la electrnica analgica los parmetros de medida generalmente son voltajes e intensidades, en la digital se miden estados lgicos de un circuito. Para ilustrar ms claramente lo de la electrnica analgica nos basaremos en el ejemplo de una seal acstica

Cuando hablamos nuestras cuerdas vocales vibran lo que origina que las molculas de aire tambin los hagan, propagando la vibracin, si medimos esta vibracin durante un periodo de tiempo, esta tendra la forma de la imagen de arriba. Cuando esta seal es captada por un micrfono se genera una seal elctrica que tiene una forma anloga a la de la seal acstica. Esto

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

nos dice que las vibraciones de las molculas se han convertido en variaciones de voltaje. De esta manera con seales elctricas podemos imitar las seales del mundo real, y los ms interesante, logramos que la informacin de la vibracin de las molculas del aire se haya transmitido a los electrones.

En las seales analgicas, la informacin se encuentra en la forma de la onda. Para el caso de la digital se basa en un enfoque completamente diferente, que consiste en convertir las seales en nmeros. Pensemos por un momento: estamos acostumbrados a escuchar el termino televisin digital, radio digital, reloj digital, msica digital, esto qu quiere decir? En una computadora podemos escuchar msica, ver videos, escribir y muchas cosas ms. Todo eso se hace con nmeros, la informacin se maneja en nmeros (y todo esto se hace con puros 0 y 1).

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Basmonos en el anterior caso de la seal acstica, ahora convertida a digital

Un ejemplo muy claro es el internet. El internet es una red digital, especializada en la transmisin de nmeros. Y esos nmeros pueden ser datos, canciones, videos, programas, etc. la red no sabe qu tipo de seal transporta, solo ve nmeros. La electrnica digital trabaja con nmeros. La informacin est en los nmeros y no en la forma de la seal. Cualquier seal se puede convertir a nmeros y recuperarse posteriormente. Un circuito digital realiza manipulaciones sobre los nmeros de entrada y genera unos nmeros de salida. En consecuencia se podra decir que parece que los circuitos digitales son inteligentes, aunque esto no es cierto, ya que no tienen capacidad de pensar por cuenta propia, sino que estn programados por la persona que los diseo as. Esto de una manera ms completa quiere decir que los dispositivos analgicos procesan las seales variantes en el tiempo que pueden adquirir cualquier valor a los largo de un intervalo continuo de voltaje, corriente un otra medida.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Una seal digital se hace para tomar en cualquier instante solo dos valores denominados 0 y 1 (o bajo=low. y alto=high). Apenas a partir de estos ltimos 20 0 30 aos la revolucin digital se ha extendido a casi todos los aspectos de la vida. Para demostrarlo, los ejemplos se pueden ver en el hecho de que muchos sistemas analgicos ahora se han vuelto digitales. Entonces por qu ha surgido una revolucin digital? Pues entre las muchas razones para dar preferencia a los circuitos digitales sobre los analgicos estn:

Facilidad de diseo. El diseo digital o tambin conocido como diseo lgico, como su nombre lo indica es lgico. No se necesitan habilidades matemticas especiales, y para analizar el comportamiento de los circuitos lgicos solo hace falta visualizarse mentalmente sin tener conocimientos previos sobre como funcionan los transitares, capacitores u otros dispositivos que requieren de clculos matemticos para modelarse.

Flexibilidad y funcionalidad. Una vez que un problema se ha reducido a su forma digital se puede resolver utilizando pasos lgicos. Por ejemplo se puede disear un circuito que mezcle o codifique una voz grabada que sea absolutamente indescifrable para cualquiera que no tenga su clave. Este principio se utilizo para codificar mensajes, pero luego existieron personas que notaron efectos interesantes en los sonidos generados y dio el paso para la creacin de un dispositivo llamado vocoder (ms sencillo es lo que utilizan los Daft Punk para hacer su msica).

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Economa. Los circuitos digitales proporcionan mucha funcionalidad en un reducido espacio. Estos pueden integrarse en un solo chip y fabricarse masivamente a un bajo costo, inclusive haciendo posible la creacin de productos desechables como calculadoras de bolsillo, relojes digitales de pulsera y tarjetas musicales de felicitacin!!

Avance tecnolgico constante. Cuando se disea un sistema digital, se sabe que habr una tecnologa ms rpida, econmica o superior en poco tiempo.

1.2 Introduccin a los niveles del Diseo Digital.


Como anteriormente haba dicho, los circuitos digitales tienen ciertos estados lgicos dentro de su funcionamiento, lo que significa que presentan cierta memoria para realizar las tareas para las que se les han programado. El elemento que hace posible esa memoria es la llamada puerta lgica, que es el elemento base en la toma de decisiones de nuestros circuitos. De esta manera al interconectar varias puertas lgicas se lograran codificar los posibles resultados que se deseen obtener de un circuito.

Esta labor puede realizarse en diferentes niveles de representacin y abstraccin. La industria misma y la mayora de los diseadores se mueven a

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

un ritmo constante hacia mayores niveles a medida que la densidad y funcionalidad de los circuitos se incrementa. El primer peldao del diseo digital es la fsica del dispositivo y los procesos de fabricacin de C.I. (circuito integrado). Los efectos de los impresionantes avances en cuanto a integracin y velocidad de los C.I. se encuentran resumidos en la Ley de Moore que indica que la cantidad de transistores por pulgada cuadrada en un C.I. se duplica cada ao. Esta proporcin de avance ha disminuido a aproximadamente 18 meses, pero lo que se debe resaltar es que cada vez que se duplica la densidad lo hace a la par de la velocidad. Los ASIC (Aplicacin Specific Integrate Circuit o Circuito Integrado de Aplicacin Especfica) son circuitos integrados configurables que ha sido diseado para un propsito u aplicacin especfica para un producto electrnico especfico. Una forma ms rpida y directa de integrar aplicaciones es mediante la lgica programable, la cual permite independizar el proceso de fabricacin del proceso de diseo fuera de la fbrica de semiconductores. Para eso el diseador digital debe considerar estos puntos importantes:

El uso de buenas herramientas no garantiza un buen diseo, pero ayuda bastante a eliminar el esfuerzo y dolor que conlleva el hacer bien las cosas. Saber cundo preocuparse y cuando no, con respecto a los aspectos analgicos del diseo digital. Documentar siempre los diseos para hacerlos comprensibles tanto para uno mismo como para los dems Disear para un costo mnimo al nivel del sistema, incluyendo su propio esfuerzo ingenieril como parte del costo.

Dentro de todo esto, igualmente el trabajo del diseador digital se ve influido por un sinnmero de factores externos a la ingeniera, entre los que se

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

incluyen la disponibilidad de los componentes, especificaciones del objetivo, polticas de oficina, estndares de produccin, etc.

1.3 Sistemas Numricos


Digito: Es un signo que representa una cantidad contable. Dependiendo del sistema de numeracin, sern los diferentes signos que se tenga para representar cualquier cantidad. Numero: Es la representacin de una cantidad contable por medio de uno o ms dgitos. Sistema de Numeracin: Es un conjunto de dgitos que sirven para representar una cantidad contable. El nombre del sistema de numeracin que se trate sern los diferentes dgitos posibles para tal representacin. As tambin los sistemas de numeracin se les llaman base, de tal manera que el sistema de numeracin binario, tambin se le llama base 2. Los sistemas de numeracin ms utilizados en electrnica son:

Binario o Base 2 (0, 1) Octal o Base 8 (0, 1, 2, 3, 4, 5, 6, 7) Hexadecimal o Base 16 (0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F) Decimal o Base 10 (0, 1, 2, 3, 4, 5, 6, 7, 8, 9)

Absoluto Valores de un digito Relativo Valor Absoluto de un Digito: Es aquel representa un digito sin importar donde se encuentre as: 5 2 7 6 10 BASE 10

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

5 Cinco 2 Dos 7 Siete 6 Seis Valor Relativo de un Digito: Es aquel representa el mismo digito, dependiendo de la posicin que se encuentre con respecto a la divisin de los enteros y las fracciones. 53 22 71 60 = Cinco mil, doscientos, Setenta y Seis 5 x 103 + 2 x 102 + 7 x 101 + 6 x 100 5 x 1000 + 2 x 100 + 7 x 10 + 6 x 1

1.3.1 Sistema binario octal y hexadecimal.


El sistema binario o sistema de numeracin en base 2 es tambin un sistema de numeracin posicional igual que el decimal, pero slo utiliza dos smbolos, el 0 y el 1. Por lo tanto para poder representar mayor nmero de informacin al tener menos smbolos tendremos que utilizar ms cifras: Bit: 0 1 Cuarteto: Nmero formado por 4 bits Byte: 8 bits Kilobyte: 1024 bytes Megabyte: 1024 kilobytes Gigabyte: 1025 megabytes Un nmero es sistema binario es por lo tanto una secuencia de bits, as por ejemplo: 11101001 2 es un nmero en base 2 y representa el nmero:

1 * 27 + 1 * 26 + 1 * 25 + 0 * 24 + 1 * 23 + 0 * 22 + 0 * 21 + 1 * 20 = 128 + 64 + 32 + 0 + 8 + 0 + 0 + 1 = 233 SISTEMA OCTAL. Es un sistema de base 8, es decir, con slo ocho smbolos distintos 0,1,2,3,4,5,6,7 .

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Por ejemplo: 40712 8 es un nmero en base 8 y representa el nmero:

\large 4 \times 8^4 + 0 \times 8^3 + 7 \times 8^2 + 1 \times 8^1 + 2 \times 8^0 = 4 \times 4094 + 0 \times 512 + 7 \times 64 + 1 \times 8 + 2 \times 1 = 16384 + 0 + 448 + 8 + 2 = 16842 Los nmeros octales pueden construirse a partir de nmeros binarios agrupando cada tres dgitos consecutivos de estos ltimos (de derecha a izquierda) y obteniendo su valor decimal. Por ejemplo, el nmero binario para 74 (en decimal) es 1001010 (en binario), lo agruparamos como 1 001 010. De modo que el nmero decimal 74 en octal es 112. En informtica, a veces se utiliza la numeracin octal en vez de la hexadecimal. Tiene la ventaja de que no requiere utilizar otros smbolos diferentes de los dgitos. Es posible que la numeracin octal se usara en el pasado en lugar del decimal, por ejemplo, para contar los espacios interdigitales o los dedos distintos de los pulgares. Esto explicara por qu en latn nueve (novem) se parece tanto a nuevo (novus). Podra tener el significado de nmero nuevo. Fracciones La numeracin octal es tan buena como la binaria y la hexadecimal para operar con fracciones, puesto que el nico factor primo para sus bases es 2.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital Resultado en octal 0,4 0,25252525 peridico 0,2 0,14631463 peridico 0,125252525 peridico 0,111111 peridico 0,07070707 peridico 0,063146314 peridico

Fraccin Octal 1/2 1/3 1/4 1/5 1/6 1/7 1/8 1/9 1/10 1/2 1/3 1/4 1/5 1/6 1/7

1/10 0,1 1/11 1/12

Tabla de la suma en base 8:

+0

1 2 3 4 5 6 7

0 0 1 2 3 4 5 6 7 1 1 2 3 4 5 6 7 10 2 2 3 4 5 6 7 10 11 3 3 4 5 6 7 10 11 12 4 4 5 6 7 10 11 12 13 5 5 6 7 10 11 12 13 14 6 6 7 10 11 12 13 14 15 7 7 10 11 12 13 14 15 16

Tabla de la multiplicacin en base 8:

*01

2 3 4 5 6 7

0 00 0 0 0 0 0 0 1 01 2 3 4 5 6 7 2 0 2 4 6 10 12 14 16

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital 3 0 3 6 11 14 17 22 25 4 0 4 10 14 20 24 30 34 5 0 5 12 17 24 31 36 43 6 0 6 14 22 30 36 44 52 7 0 7 16 25 34 43 52 61

El sistema de numeracin ms utilizado actualmente en computacin es el hexadecimal o base 16, el cual consta de 16 dgitos smbolos 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E y F . El sistema hexadecimal un sistema de numeracin vinculado a la informtica, ya que los ordenadores interpretan los lenguajes de programacin en bytes, que estn compuestos de ocho dgitos. A medida de que los ordenadores y los programas aumentan su capacidad de procesamiento, funcionan con mltiplos de ocho, como 16 o 32. Por este motivo, el sistema hexadecimal, de 16 dgitos, es un estndar en la informtica. Como nuestro sistema de numeracin slo dispone de diez dgitos, debemos incluir seis letras para completar el sistema. Estas letras y su valor en decimal son: A = 10, B = 11, C = 12, D = 13, E = 14 y F = 15. El sistema hexadecimal es posicional y por ello el valor numrico asociado a cada signo depende de su posicin en el nmero, y es proporcional a las diferentes potencias de la base del sistema que en este caso es 16. Veamos un ejemplo numrico: 3E0,A (16) = ( 316 ) + ( E16 ) + ( 0160 ) + ( A161 ) = ( 3256 ) + ( 1416 ) + ( 01 ) + ( 100,0625 ) = 992,625 La utilizacin del sistema hexadecimal en los ordenadores, se debe a que un dgito hexadecimal representa a cuatro dgitos binarios (4 bits = 1 nibble), por tanto dos dgitos hexadecimales representaran a ocho dgitos binarios (8 bits

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

= 1 byte) que como es sabido es la unidad bsica de almacenamiento de informacin. Por ejemplo: 2A703 16 es un nmero en base 16 y representa el nmero:

{$ 2 * 16^4 + 10 * 16^3 + 7 * 8^2 + 0 * 16^1 + 3 * 16^0 = 2 * 65536 + 10 * 1096 + 7 * 256 + 0 * 16 + 3 * 1 = 16384 + 10960 + 1792 + 0 + 3 = 29139 $} Tabla de la suma en base 16:

0 1 2 3 4 5 6 7 8 9 A B C D E

F F

0 0 1 2 3 4 5 6 7 8 9 A B C D E 1 1 2 3 4 5 6 7 8 9 A B C D E 2 2 3 4 5 6 7 8 9 A B C D E 3 3 4 5 6 7 8 9 A B C D E

F 10

F 10 11

F 10 11 12

4 4 5 6 7 8 9 A B C D E F 10 11 12 13 5 5 6 7 8 9 A B C D E F 10 11 12 13 14 6 6 7 8 9 A B C D E F 10 11 12 13 14 15 7 7 8 9 A B C D E F 10 11 12 13 14 15 16 8 8 9 A B C D E F 10 11 12 13 14 15 16 17 9 9 A B C D E F 10 11 12 13 14 15 16 17 18 A A B C D E F 10 11 12 13 14 15 16 17 18 19 B B C D E F 10 11 12 13 14 15 16 17 18 19 1A C C D E F 10 11 12 13 14 15 16 17 18 19 1A 1B D D E F 10 11 12 13 14 15 16 17 18 19 1A 1B 1C E E F 10 11 12 13 14 15 16 17 18 19 1A 1B 1C 1D F F 10 11 12 13 14 15 16 17 18 19 1A 1B 1C 1D 1E

Tabla de la multiplicacin en base 16:

3 6 9

7 8 9 A B C D E

F 10

2 4 3 6 4 8 Ing. Mecatronica

8 A C E 10 12 14 16 18 1A 1C 1E 20 C F 12 15 18 1B 1E 21 24 27 2A 2D 30

C 10 14 18 1C 20 24 28 2C 30 34 38 3C 40 Gonzlez Rico Brenda

Electrnica Digital 5 A F 14 19 1E 23 28 2D 32 37 3C 41 46 4B 50 6 C 12 18 1E 24 2A 30 36 3C 42 48 4E 54 5A 60 7 E 15 1C 23 2A 31 38 3F 46 4D 54 5B 62 69 70 8 10 18 20 28 30 38 40 48 50 58 60 68 70 78 80 9 12 1B 24 2D 36 3F 48 51 5A 63 6C 75 7E 87 90 A 14 1E 28 32 3C 46 50 5A 64 6E 78 82 8C 96 A0 B 16 21 2C 37 42 4D 58 63 6E 79 84 8F 9A A5 B0 C 18 24 30 3C 48 54 60 6C 78 84 90 9C A8 B4 C0 D 1A 27 34 41 4E 5B 68 75 82 8F 9C A9 B6 C3 D0 E 1C 2A 38 46 54 62 70 7E 8C 9A A8 B6 C4 D2 E0 F 1E 2D 3C 4B 5A 69 78 87 96 A5 B4 C3 D2 E1 F0 10 20 30 40 50 60 70 80 90 A0 B0 C0 D0 E0 F0 100

Tabla de los primeros 16 nmeros


Decimal Binario Octal Hexadecimal 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 0 1 10 11 100 101 110 111 1000 1001 1010 1011 1100 1101 1110 1111 0 1 2 3 4 5 6 7 10 11 12 13 14 15 16 17 0 1 2 3 4 5 6 7 8 9 A B C D E F

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Representacin Un nmero binario puede ser representado por cualquier secuencia de bits (dgitos binarios), que a su vez pueden ser representados por cualquier mecanismo capaz de estar en dos estados mutuamente exclusivos. Las secuencias siguientes de smbolos podran ser interpretadas todas como el mismo valor binario numrico: 1010011010 |-|--||-|xoxooxxoxo ynynnyynyn El valor numrico representado en cada caso depende del valor asignado a cada smbolo. En un ordenador, los valores numricos pueden ser representados por dos voltajes diferentes y tambin se pueden usar polaridades magnticas sobre un disco magntico. Un positivo, s, o sobre el estado no es necesariamente el equivalente al valor numrico de uno; esto depende de la arquitectura usada. De acuerdo con la representacin acostumbrada de cifras que usan nmeros rabes, los nmeros binarios comnmente son escritos usando los smbolos 0 y 1. Cuando son escritos, los nmeros binarios son a menudo subindicados, prefijados o sufijados para indicar su base, o la raz. Las notaciones siguientes son equivalentes:

100101 binario (declaracin explcita de formato) 100101b (un sufijo que indica formato binario) 100101B (un sufijo que indica formato binario) bin 100101 (un prefijo que indica formato binario) 1001012 (un subndice que indica base 2 (binaria) notacin) %100101 (un prefijo que indica formato binario) 0b100101 (un prefijo que indica formato binario, comn en lenguajes de programacin)

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Fracciones en Hexadecimal.Fraccin Octal 1/2 1/3 1/4 1/5 1/6 1/7 1/8 1/9 1/10 1/2 1/3 1/4 1/5 1/6 1/7 Resultado en octal 0,4 0,25252525 peridico 0,2 0,14631463 peridico 0,125252525 peridico 0,111111 peridico 0,07070707 peridico 0,063146314 peridico

1/10 0,1 1/11 1/12

Sistema Hexadecimal.El sistema hexadecimal, a veces abreviado como hex, es el sistema de numeracin posicional de base 16 empleando por tanto 16 smbolos. Su uso actual est muy vinculado a la informtica y ciencias de la computacin, pues los computadores suelen utilizar el byte u octeto como unidad bsica de memoria Tabla del Sistema Decimal, Binario, Octal y Hexadecimal.-

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Decimal Binario Octal Hexadecimal 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 0 1 10 11 100 101 110 111 1000 1001 1010 1011 1100 1101 1110 1111 0 1 2 3 4 5 6 7 10 11 12 13 14 15 16 17 0 1 2 3 4 5 6 7 8 9 A B C D E F

1.3.2 Conversin entre sistemas numricos.


Conversin de decimal a cualquier otro sistema de numeracin: Para convertir de decimal a cualquier otro sistema se har por divisin sucesiva, es decir que si queremos convertir a binario un numero de decimal, bastara dividir entre dos la cantidad y el resultado volverlo a dividir hasta que el resultado sea menor a 2, siempre con nmeros enteros, de tal manera si l numero decimal es non o impar sobrara siempre uno y si es par sobrara cero y estos residuos se pondrn en orden de la ultima divisin a la primera y se da dicho numero binario.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Para convertir de cualquier sistema de numeracin a decimal se har por el peso de los dgitos, convirtindose estos a decimal y sumando el resultado. DECIMAL 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 BINARIO 0 1 10 11 100 101 110 111 1000 1001 1010 1011 1100 1101 1110 1111 10000 BASE 4 0 1 2 3 10 11 12 13 20 21 22 23 30 31 32 33 40 20 21 22 23 24 25 26 27 OCTAL 0 1 2 3 4 5 6 7 10 11 12 13 14 15 16 17 20 1 2 4 8 16 32 64 128 HEXADECIMAL 0 1 2 3 4 5 6 7 8 9 A B C D E F 10

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

28 29 210 211 212 213 214 215 216 217 218 219 220 80 81 82 83 84 85 86 87 160 161 162 163 164 165 1 8 64 512 4, 096 32, 768 262, 144 2' 097, 152 1 16 256 4, 096 65, 536 1' 048, 576

256 512 1024 2048 4096 8192 16, 384 32, 768 65, 573 131, 072 262, 144 524, 288 1' 048, 576

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

En matemticas, varios sistemas de notacin que se han usado o se usan para representar cantidades abstractas denominadas nmeros. Un sistema numrico est definido por la base que utiliza. La base de un sistema numrico es el nmero de smbolos diferentes o guarismos, necesarios para representar un nmero cualquiera de los infinitos posibles en el sistema. A lo largo de la historia se han utilizado multitud de sistemas numricos diferentes, pero existen 4 de sistemas numricos de los ms utilizados en la actualidad y son:

Binario o Base 2 (2 Dgitos, 0 - 1) Octal o Base 8 (8 Dgitos, 0 - 7) Decimal o Base 10 (10 Dgitos, 0 - 9) Hexadecimal o Base 16 (16 Dgitos, 0 - f)

Valores posicinales La posicin de una cifra indica el valor de dicha cifra en funcin de los valores exponenciales de la base. En el sistema decimal, la cantidad representada por uno de los diez dgitos -0, 1, 2, 3, 4, 5, 6, 7, 8 y 9- depende de la posicin del nmero completo. Para convertir un nmero n dado en base 10 a un nmero en base b, se divide (en el sistema decimal) n por b, el cociente se divide de nuevo por b, y as sucesivamente hasta obtener un cociente cero. Sistema Numrico Binario o Base 2 El sistema de numeracin ms simple que usa la notacin posicional es el sistema de numeracin binario. Este sistema, como su nombre lo indica, usa solamente dos dgitos (0,1).

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Nmeros decimales del 0 al 10 y sus equivalentes en binario Decimal 0 1 2 3 4 5 6 7 8 9 10 Sistema Numrico Octal o Base 8 El sistema de numeracin octal es tambin muy usado en la computacin por tener una base que es potencia exacta de 2 o de la numeracin binaria. Esta caracterstica hace que la conversin a binario o viceversa sea bastante simple. El sistema octal usa 8 dgitos (0,1,2,3,4,5,6,7) y tienen el mismo valor que en el sistema de numeracin decimal. Como el sistema de numeracin octal usa la notacin posicional entonces para el nmero 3452.32q tenemos: 2*(80) + 5*(81) + 4*(82) + 3*(83) + 3*(8-1) + 2*(8-2) = 2 + 40 + 4*64 + 64 + 3*512 + 3*0.125 + 2*0.015625 = 2 + 40 + 256 + 1536 + 0.375 + 0.03125 = 1834 + 40625dentonces, 3452.32q = 1834.40625d Los nmeros octales pueden construirse a partir de nmeros binarios agrupando cada tres dgitos consecutivos de estos ltimos (de derecha a izquierda) y obteniendo su valor decimal. Binario 0 1 10 11 100 101 110 111 1000 1001 1010

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Por ejemplo, el nmero binario para 74 (en decimal) es 1001010 (en binario), lo agruparamos como 1 001 010. De modo que 74 en octal es 112. Es posible que la numeracin octal se usara en el pasado en lugar del decimal, por ejemplo, para contar los espacios interdigitales o los dedos distintos de los pulgares. Esto explicara por qu en latn nueve (novem) se parece tanto a nuevo (novus). Podra tener el significado de nmero nuevo. Sistema Numrico Decimal o Base 10 El sistema de numeracin decimal es el ms usado, tiene como base el nmero 10, o sea que posee 10 dgitos (o smbolos) diferentes (0, 1, 2, 3, 4, 5, 6, 7, 8, 9). El sistema de numeracin decimal fue desarrollado por los hindes, posteriormente lo introducen los rabes en Europa, donde recibe el nombre de sistema de numeracin decimal o arbigo. Si se aplica la notacin posicional al sistema de numeracin decimal entonces el dgito nmero n tiene el valor: (10n)* A Este valor es positivo y es mayor o igual que uno si el dgito se localiza a la izquierda del punto decimal y depende del dgito A, en cambio el valor es menor que uno si el dgito se localiza a la derecha del punto decimal. Por ejemplo, el nmero 3489.125 expresado en la notacin posicional es:

Primero 9 * (100) = 9 segundo 8 * (101) = 80 tercero 4 * (102) = 400 cuarto 3 * (103) = 3000 Notacin Posicional del Sistema

--------- primero 1*(10-1) = 0.1 -------- segundo 2*(10-2) = 0.02 -------- tercero 5*(10-3) = 0.005

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

(10-6) = 0.000001 (10-5) = 0.00001 (10-4) = 0.0001 (10-3) = 0.001 (10-2) = 0.01 (10-1) = 0.1 (100) = 1 (101) = 10 (102) = 100 (103) = 1000 (104) = 10000 (105) = 100000 (106) = 10000000

Sistema Numrico Hexadecimal o Base 16 El sistema de numeracin hexadecimal, o sea de base 16, (es comn abreviar hexadecimal como hex aunque hex significa base seis y no base diecisis). El sistema hexadecimal es compacto y nos proporciona un mecanismo sencillo de conversin hacia el formato binario, debido a esto, la mayora del equipo de cmputo actual utiliza el sistema numrico hexadecimal. Como la base del sistema hexadecimal es 16, cada dgito a la izquierda del punto hexadecimal representa tantas veces un valor sucesivo potencia de 16, por ejemplo, el nmero 123416 es igual a: 1*163 + 2*162 + 3*161 + 4*160 Lo que da como resultado: 4096 + 512 + 48 + 4 = 466010

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Cada dgito hexadecimal puede representar uno de diecisis valores entre 0 y 1510. Como slo tenemos diez dgitos decimales, necesitamos inventar seis dgitos adicionales para representar los valores entre 1010 y 1510. En lugar de crear nuevos smbolos para estos dgitos, utilizamos las letras A a la F.

Para convertir un nmero hexadecimal en binario, simplemente sustituya los correspondientes cuatro bits para cada dgito hexadecimal, por ejemplo, para convertir 0ABCDh en un valor binario: 0 A B C D (Hexadecimal) 0000 1010 1011 1100 1101 (Binario)

1.3.3 Operaciones bsicas en binario. 1.3.3.1 Nmeros negativos, complemento a 2.

El complemento a dos de un nmero N que, expresado en el sistema binario est compuesto por n dgitos, se define como:
.

Veamos un ejemplo: tomemos el nmero que, cuando se expresa en binario es , con 6 dgitos, y calculemos su complemento a dos: Cabe sealar que en este ejemplo se ha limitado el nmero de bits a 6, por lo que no sera posible distinguir entre el -45 y el 19 (el 19 en binario es 10011). En realidad, un nmero en complemento a dos se expresa con una cantidad arbitraria de unos a la izquierda, de la misma manera que un nmero binario

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

positivo se expresa con una cantidad arbitraria de ceros. As, el 45, expresado en complemento a dos usando 8 bits sera 11010011, mientras que el 19 sera 00010011; y expresados en 16 bits seran 1111111111010011 y 0000000000010011 respectivamente. Se presenta la tabla de verdad del complemento a 2 para cuatro dgitos. El clculo del complemento a dos es muy sencillo y muy fcil de realizar mediante puertas lgicas, donde reside su utilidad. Para comenzar los nmeros positivos se quedarn igual en su representacin binaria. Los nmeros negativos deberemos invertir el valor de cada una de sus cifras, es decir realizar el complemento a uno, y sumarle 1 al nmero obtenido. Podemos observar esto en la tabla de ejemplo. Cabe recordar que debido a la utilizacin de un bit para representar el signo, el rango de valores ser diferente al de una representacin binaria habitual; el rango de valores decimales para n bits ser:

Una forma de hallar el opuesto de un nmero binario positivo en complemento a dos es comenzar por la derecha (el dgito menos significativo), copiando el nmero original (de derecha a izquierda) hasta encontrar el primer 1, despus de haber copiado el 1, se niegan (complementan) los dgitos restantes (es decir, copia un 0 si aparece un 1, o un 1 si aparece un 0). Este mtodo es mucho ms rpido para las personas, pues no utiliza el complemento a uno en su conversin.1 Por ejemplo, el complemento a dos de 0011 11010 es 1100 00110Otra forma es negar todos los dgitos (se halla el complemento a 1) y despus sumar un 1 al resultado, viene a ser lo mismo que lo anteriormente explicado. 100001 ---> 011110 --> 011111

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

1.3.3.2 suma. Las posibles combinaciones al sumar dos bits son


0+0=0 0+1=1 1+0=1 1 + 1 = 10

100110101 + 11010101 1000001010 Operamos como en el sistema decimal: comenzamos a sumar desde la derecha, en nuestro ejemplo, 1 + 1 = 10, entonces escribimos 0 en la fila del resultado y llevamos 1 (este "1" se llama arrastre). A continuacin se suma el acarreo a la siguiente columna: 1 + 0 + 0 = 1, y seguimos hasta terminar todas la columnas (exactamente como en decimal). La suma binaria se puede realizar cmodamente siguiendo las tres reglas descritas: 1 Si el nmero de unos (en sentido vertical) es par el resultado es 0. 2 Si el nmero de unos (en sentido vertical) es impar el resultado es 1. 3 Acarreo tantos unos como parejas (completas) de nmeros 1 haya. Por ejemplo: 0 + 0=0, 0+1=1, 1+0=1, 1+1=10 se pone 0 y se acarrea un 1 a la posicin siguiente Hay que sumar 1010 (que en decimal es 10) y 1111 (que en decimal es 15). 10 + 15 = 25

10110 +11100 110010


Ing. Mecatronica

100100 + 10010 110110

10.1 +11.01 101.11


Gonzlez Rico Brenda

Electrnica Digital

1 0 1

+ + +

1 1 1

=1 =1 =10

Ejemplo: Sumar: 30 20 50 0 1 1 1 1 0 1 0 1 0 0 1 10 0 1 0

50

1.3.3.3 Resta.
Resta de nmeros binarios El algoritmo de la resta en binario es el mismo que en el sistema decimal. Pero conviene repasar la operacin de restar en decimal para comprender la operacin binaria, que es ms sencilla. Los trminos que intervienen en la resta se llaman minuendo, sustraendo y diferencia. Las restas bsicas 0-0, 1-0 y 1-1 son evidentes:

0-0=0 1-0=1 1-1=0 0 - 1 = no cabe o se pide prestado al prximo.

La resta 0 - 1 se resuelve, igual que en el sistema decimal, tomando una unidad prestada de la posicin siguiente: 10 - 1 = 1 y me llevo 1, lo que

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

equivale a decir en decimal, 2 - 1 = 1. Esa unidad prestada debe devolverse, sumndola, a la posicin siguiente. Veamos algunos ejemplos: Restamos 17 - 10 = 7 10001 -01010 00111 Restamos 217 - 171 = 46 11011001 -10101011 00101110

7 Restamos 35 - 15 Restamos

46 50 11

100011 001111 010100 20

0110010 001011 100111 3

1.3.3.4 Multiplicacin.
Multiplicacin de nmeros binarios El algoritmo del producto en binario es igual que en nmeros decimales; aunque se lleva cabo con ms sencillez, ya que el 0 multiplicado por cualquier nmero da 0, y el 1 es el elemento neutro del producto. Por ejemplo, multipliquemos 22 por 9 = 198

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

10110 1001 10110 00000 00000 10110 11000110


198

Multiplicar:

25 * 5 = 125 11001 00101 11001 00000 11001 00000 00000 001111011 125

1.3.3.5 Divisin.
La divisin en binario es similar al decimal, la nica diferencia es que a la hora de hacer las restas, dentro de la divisin, estas deben ser realizadas en binario. Por ejemplo, vamos a dividir 100010010 (274) entre 1101 (13)= 20

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Capitulo dos Algebra de boole y compuertas lgicas.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Las lgebras booleanas, estudiadas por primera vez en detalle por George Boole , constituyen un rea de las matemticas que ha pasado a ocupar un lugar prominente con el advenimiento de la computadora digital. Son usadas ampliamente en el diseo de circuitos de distribucin y computadoras, y sus aplicaciones van en aumento en muchas otras reas. En el nivel de lgica digital de una computadora, lo que comnmente se llama hardware, y que est formado por los componentes electrnicos de la mquina, se trabaja con diferencias de tensin, las cuales generan funciones que son calculadas por los circuitos que forman el nivel. stas funciones, en la etapa de disea del hardware, son interpretadas como funciones de boole. En el presente trabajo se intenta dar una definicin de lo que es un lgebra de boole; se tratan las funciones booleanas, haciendo una correlacin con las frmulas proposicionales. Asimismo, se plantean dos formas cannicas de las funciones booleanas, que son tiles para varios propsitos, tales como el de determinar si dos expresiones representan o no la misma funcin. Pero para otros propsitos son a menudo engorrosas, por tener ms operaciones que las necesarias. Particularmente, cuando estamos construyendo los circuitos electrnicos con que implementar funciones booleanas, el problema de determinar una expresin mnima para una funcin es a menudo crucial. No resultan de la misma eficiencia en dinero y tiempo, principalmente, dos funciones las cuales calculan lo mismo pero donde una tiene menos variables y lo hace en menor tiempo. Como solucin a este problema, se plantea un mtodo de simplificacin, que hace uso de unos diagramas especiales llamados mapas o diagramas de Karnaugh, y el cual tiene la limitacin de poder trabajar adecuadamente slo con pocas variables. Se realizan estas presentaciones con el fin de demostrar la afinidad existente entre el lgebra de boole y la lgica proposicional, y con el objeto de cimentar el procedimiento de simplificacin presentado en la lgica de proposiciones.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

2.1 Postulados bsicos del algebra booleana.


El lgebra booleana es un sistema matemtico deductivo centrado en los valores cero y uno (falso y verdadero). Un operador binario " " definido en ste juego de valores acepta un par de entradas y produce un solo valor booleano, por ejemplo, el operador booleano AND acepta dos entradas booleanas y produce una sola salida booleana. Para cualquier sistema algebraico existen una serie de postulados inciales, de aqu se pueden deducir reglas adicionales, teoremas y otras propiedades del sistema, el lgebra booleana a menudo emplea los siguientes postulados:

Cerrado. El sistema booleano se considera cerrado con respecto a un operador binario si para cada par de valores booleanos se produce un solo resultado booleano. Conmutativo. Se dice que un operador binario " " es conmutativo si A B = B A para todos los posibles valores de A y B. Asociativo. Se dice que un operador binario " " es asociativo si (A B) C = A (B C) para todos los valores booleanos A, B, y C. Distributivo. Dos operadores binarios " " y " % " son distributivos si A (B % C) = (A B) % (A C) para todos los valores booleanos A, B, y C. Identidad. Un valor booleano I se dice que es un elemento de identidad con respecto a un operador binario " " si A I = A. Inverso. Un valor booleano I es un elemento inverso con respecto a un operador booleano " " si A I = B, y B es diferente de A, es decir, B es el valor opuesto de A.

Para nuestros propsitos basaremos el lgebra booleana en el siguiente juego de operadores y valores: - Los dos posibles valores en el sistema booleano son cero y uno, a menudo llamaremos a stos valores respectivamente como falso y verdadero. - El smbolo representa la operacin lgica AND. Cuando se utilicen nombres de variables de una sola letra se eliminar el smbolo , por lo tanto
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

AB representa la operacin lgica AND entre las variables A y B, a esto tambin le llamamos el producto entre A y B. - El smbolo "+" representa la operacin lgica OR, decimos que A+B es la operacin lgica OR entre A y B, tambin llamada la suma de A y B. - El complemento lgico, negacin NOT es un operador unitario, en ste texto utilizaremos el smbolo " ' " para denotar la negacin lgica, por ejemplo, A' denota la operacin lgica NOT de A. - Si varios operadores diferentes aparecen en una sola expresin booleana, el resultado de la expresin depende de la procedencia de los operadores, la cual es de mayor a menor, parntesis, operador lgico NOT, operador lgico AND y operador lgico OR. Tanto el operador lgico AND como el OR son asociativos por la izquierda. Si dos operadores con la misma procedencia estn adyacentes, entonces se evalan de izquierda a derecha. El operador lgico NOT es asociativo por la derecha. Utilizaremos adems los siguientes postulados:

P1 El lgebra booleana es cerrada bajo las operaciones AND, OR y NOT P2 El elemento de identidad con respecto a es uno y con respecto a + es cero. No existe elemento de identidad para el operador NOT P3 Los operadores y + son conmutativos. P4 y + son distributivos uno con respecto al otro, esto es, A (B+C) = (AB)+(AC) y A+ (BC) = (A+B) (A+C). P5 Para cada valor A existe un valor A' tal que AA' = 0 y A+A' = 1. ste valor es el complemento lgico de A. P6 y + son ambos asociativos, esto es, (AB) C = A (BC) y (A+B)+C = A+ (B+C).

2.2 Teoremas fundamentales del algebra booleana.


Es posible probar todos los teoremas del lgebra booleana utilizando stos postulados, adems es buena idea familiarizarse con algunos de los teoremas ms importantes de los cuales podemos mencionar los siguientes:
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

Teorema 1: A + A = A Teorema 2: A A = A Teorema 3: A + 0 = A Teorema 4: A 1 = A Teorema 5: A 0 = 0 Teorema 6: A + 1 = 1 Teorema 7: (A + B)' = A' B' Teorema 8: (A B)' = A' + B' Teorema 9: A + A B = A Teorema 10: A (A + B) = A Teorema 11: A + A'B = A + B Teorema 12: A' (A + B') = A'B' Teorema 13: AB + AB' = A Teorema 14: (A' + B') (A' + B) = A' Teorema 15: A + A' = 1 Teorema 16: A A' = 0

Los teoremas siete y ocho son conocidos como Teoremas de DeMorgan en honor al matemtico que los descubri. Caractersticas: Un lgebra de Boole es un conjunto en el que destacan las siguientes caractersticas: 1- Se han definido dos funciones binarias (que necesitan dos parmetros) que llamaremos aditiva (que representaremos por x + y) y multiplicativa (que representaremos por xy) y una funcin monaria (de un solo parmetro) que representaremos por x'. 2- Se han definido dos elementos (que designaremos por 0 y 1) Y 3- Tiene las siguientes propiedades: Conmutativa respecto a la primera funcin: x + y = y + x Conmutativa respecto a la segunda funcin: xy = yx
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

Asociativa respecto a la primera funcin: (x + y) + z = x + (y +z) Asociativa respecto a la segunda funcin: (xy)z = x(yz) Distributiva respecto a la primera funcin: (x +y)z = xz + yz Distributiva respecto a la segunda funcin: (xy) + z = (x + z)( y + z) Identidad respecto a la primera funcin: x + 0 = x Identidad respecto a la segunda funcin: x1 = x Complemento respecto a la primera funcin: x + x' = 1 Complemento respecto a la segunda funcin: xx' = 0 Propiedades Del lgebra De Boole Idempotente respecto a la primera funcin: x + x = x Idempotente respecto a la segunda funcin: xx = x Maximalidad del 1: x + 1 = 1 Minimalidad del 0: x0 = 0 Involucin: x'' = x Inmersin respecto a la primera funcin: x + (xy) = x Inmersin respecto a la segunda funcin: x(x + y) = x Ley de Morgan respecto a la primera funcin: (x + y)' = x'y' Ley de Morgan respecto a la segunda funcin: (xy)' = x' + y' Funcin Booleana Una funcin booleana es una de A x A x A x....A en A, siendo A un conjunto cuyos elementos son 0 y 1 y tiene estructura de lgebra de Boole. Supongamos que cuatro amigos deciden ir al cine si lo quiere la mayora. Cada uno puede votar s o no. Representemos el voto de cada uno por xi. La funcin devolver s (1) cuando el nmero de votos afirmativos sea 3 y en caso contrario devolver 0. Si x1 vota 1, x2 vota 0, x3 vota 0 y x4 vota 1 la funcin booleana devolver 0. Producto mnimo (es el nmero posible de casos) es un producto en el que aparecen todas las variables o sus negaciones.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

El nmero posible de casos es 2n. Siguiendo con el ejemplo anterior. Asignamos las letras A, B, C y D a los amigos. Los posibles casos son:

Votos ABCD 1111 1110 1101 1100 1011 1010 1001 1000 0111 0110 0101 0100 0011 0010 0001 0000

Resultado 1 1 1 0 1 0 0 0 1 0 0 0 0 0 0 0

Las funciones booleanas se pueden representar como la suma de productos mnimos (minterms) iguales a 1. En nuestro ejemplo la funcin f(A,B,C,D) = ABCD + ABCD' + ABC'D + AB'CD + A'BCD booleana ser:

Diagramas de Karnaugh Los diagramas de Karnaugh se utilizan para simplificar las funciones booleanas. Se construye una tabla con las variables y sus valores posibles y se agrupan
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

los 1 adyacentes, siempre que el nmero de 1 sea potencia de 2. En esta pgina tienes un programa para minimizacin de funciones booleanas mediante mapas de Karnaugh

2.3 funciones booleanas AND, OR Y NOT.


La relacin que existe entre la lgica booleana y los sistemas de cmputo es fuerte, de hecho se da una relacin uno a uno entre las funciones booleanas y los circuitos electrnicos de compuertas digitales. Para cada funcin booleana es posible disear un circuito electrnico y viceversa, como las funciones booleanas solo requieren de los operadores AND, OR y NOT podemos construir nuestros circuitos utilizando exclusivamente stos operadores utilizando las compuertas lgicas homnimas Un hecho interesante es que es posible implementar cualquier circuito electrnico utilizando una sola compuerta, sta es la compuerta NAND Para probar que podemos construir cualquier funcin booleana utilizando slo compuertas NAND, necesitamos demostrar cmo construir un inversor (NOT), una compuerta AND y una compuerta OR a partir de una compuerta NAND, ya que como se dijo, es posible implementar cualquier funcin booleana utilizando slo los operadores booleanos AND, OR y NOT. Para construir un inversor simplemente conectamos juntas las dos entradas de una compuerta NAND. Una vez que tenemos un inversor, construir una compuerta AND es fcil, slo invertimos la salida de una compuerta NAND, despus de todo, NOT ( NOT (A AND B)) es equivalente a A AND B. Por supuesto, se requieren dos compuertas NAND para construir una sola compuerta AND, nadie ha dicho que los circuitos implementados slo utilizando compuertas NAND sean lo ptimo, solo se ha dicho que es posible hacerlo. La otra compuerta que necesitamos sintetizar es la compuerta lgica OR, esto es sencillo si utilizamos los teoremas de DeMorgan, que en sntesis se logra en tres pasos, primero se reemplazan todos los "" por "+" despus se invierte cada literal y por ltimo se niega la totalidad de la expresin:

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

A OR B A AND B.......................Primer paso para aplicar el teorema de DeMorgan A' AND B'.....................Segundo paso para aplicar el teorema de DeMorgan (A' AND B')'..................Tercer paso para aplicar el teorema de DeMorgan (A' AND B')' = A' NAND B'.....Definicin de OR utilizando NAND Si se tiene la necesidad de construir diferentes compuertas de la manera descrita, bien hay dos buenas razones, la primera es que las compuertas NAND son las ms econmicas y en segundo lugar es preferible construir circuitos complejos utilizando los mismos bloques bsicos. Observe que es posible construir cualquier circuito lgico utilizando slo compuertas de tipo NOR (NOR = NOT(A OR B)). La correspondencia entre la lgica NAND y la NOR es ortogonal entre la correspondencia de sus formas cannicas. Mientras que la lgica NOR es til en muchos circuitos, la mayora de los diseadores utilizan lgica NAND.

2.3.1 Representacin de los circuitos lgicos bsicos.


PUERTA NOT O INVERSORA Se trata de una operacin que solo maneja una variable de entrada y otra de salida. La salida toma el estado opuesto o inverso del que tiene la entrada.

PUERTA OR O SUMADORA

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Cuando distintas variables lgicas se combinan mediante la funcin OR, el resultado toma el estado alto, verdadero o 1 si alguna de ellas tiene dicho estado. La ecuacin que representa la funcin OR de dos variables de entrada es la siguiente: X=A+B

PUERTA AND O MULTIPLICADORA Cuando varias variables lgicas, de tipo binario, se combinan mediante la operacin lgica AND, producen una variable de salida, que solo toma el nivel lgico 1, estado alto o verdadero, si todas ellas tienen dicho nivel o estado. La ecuacin lgica de la funcin AND para dos variables de entrada es la siguiente:

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

PUERTA NAND O MULTIPLICADORA INVERSORA La puerta NAND produce la funcin inversa de la AND, o sea, la negacin del producto lgico de las variables de entrada. Acta como una puerta AND seguida de una NOT.

PUERTA OR EXCLUSIVA (OREX) La salida de esta compuerta es 1, estado alto o verdadero si cada entrada es 1 pero excluye la combinacin cuando las dos entradas son 1. La funcin OR exclusiva tiene su propio smbolo grfico o puede expresarse en trminos de operaciones complementarias AND, OR.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

2.3.2 Tablas de verdad.


La tabla de verdad es un instrumento utilizado para la simplificacin de circuitos digitales a travs de su ecuacin booleana. Las tablas de verdad pueden tener muchas columnas, pero todas las tablas funcionan de igual forma. Hay siempre una columna de salida (ltima columna a la derecha) que representa el resultado de todas las posibles combinaciones de las entradas. El nmero total de columnas en una tabla de verdad es la suma de las entradas que hay + 1 (la columna de la salida).

El nmero de filas de la tabla de verdad es la cantidad de combinaciones que se pueden lograr con las entradas y es igual a 2n, donde n es el nmero de columnas de la tabla de verdad (sin tomar en cuenta la columna de salida) Ejemplo: en la siguiente tabla de verdad hay 3 columnas de entrada, entonces habrn: 23 = 8 combinaciones (8 filas)

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Un circuito con 3 interruptores de entrada (con estados binarios "0" o "1"), tendr 8 posibles combinaciones. Siendo el resultado (la columna salida) determinado por el estado de los interruptores de entrada.

2.3.3. Formas canoncas y estndar.


FORMA CANONICA: Esta es til para el manejo del tema que se refiere al problema dual de cualquier problema de programacin lineal. La forma cannica aceptable y reconocida en la mayora de los textos debe cumplir con los siguientes requisitos: Funcin objetivo maximizar. Restricciones del tipo . Condiciones de negatividad para variables. Otra forma legtima para considerar como cannica es cumpliendo con los siguientes requisitos: Funcin objetivo de minimizar. Restricciones del tipo . Condiciones de no negatividad para variables.
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

FORMA ESTANDAR: El modelo de programacin lineal para resolverse, necesita arreglarse para igualdades, lo cual se consigue utilizando tanto variables de holgura como variables superfluas. Lo anterior da lugar a la presentacin del modelo cumpliendo con los siguientes requisitos: Funcin objetivo para Max. o bien Min. Restricciones del tipo =. Lado derecho de restricciones no negativo. Condiciones de no negativo para variables. 3 simplificacin de funciones booleanas.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Capitulo tres Simplificacin de funciones booleanas

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

3.1 Mapas de Karnaugh


Los Mapas de Karnaugh son una herramienta muy utilizada para la simplificacin de circuitos lgicos. Cuando se tiene una funcin lgica con su tabla de verdad y se desea implementar esa funcin de la manera ms econmica posible se utiliza este mtodo. Ejemplo: Se tiene la siguiente tabla de verdad para tres variables. Se desarrolla la funcin lgica basada en ella. (Primera forma cannica). Ver que en la frmula se incluyen solamente las variables (A, B, C) cuando F cuando es igual a "1". Si A en la tabla de verdad es "0" se pone A, si B = "1" se pone B, Si C = "0" se pone C, etc.

F = A B C + A B C + A BC + A B C + A B C + A B C Una vez obtenida la funcin lgica, se implementa el mapa de Karnaugh.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Este mapa tiene 8 casillas que corresponden a 2n, donde n = 3 (nmero de variables (A, B, C)) La primera fila corresponde a A = 0 La segunda fila corresponde a A = 1 La primera columna corresponde a BC = 00 (B=0 y C=0) La segunda columna corresponde a BC = 01 (B=0 y C=1) La tercera columna corresponde a BC = 11 (B=1 y C=1) La cuarta columna corresponde a BC = 10 (B=1 y C=0) En el mapa de Karnaugh se han puesto "1" en las casillas que corresponden a los valores de F = "1" en la tabla de verdad. Tomar en cuenta la numeracin de las filas de la tabla de verdad y la numeracin de las casillas en el mapa de Karnaugh. Para proceder con la simplificacin, se crean grupos de "1"s que tengan 1, 2, 4, 8, 16, etc. (slo potencias de 2). Los "1"s deben estar adyacentes (no en diagonal) y mientras ms "1"s tenga el grupo, mejor. La funcin mejor simplificada es aquella que tiene el menor nmero de grupos con el mayor nmero de "1"s en cada grupo

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

se ve del grfico que hay dos grupos cada uno de cuatro "1"s, (se permite compartir casillas entre los grupos). La nueva expresin de la funcin booleana simplificada se deduce del mapa de Karnaugh. - Para el primer grupo (rojo): la simplificacin da B (los "1"s de la tercera y cuarta columna) corresponden a B sin negar) - Para el segundo grupo (azul): la simplificacin da A (los "1"s estn en la fila inferior que corresponde a A sin negar) Entonces el resultado es F = B + A F = A + B Ejemplo: Una tabla de verdad como la de la derecha da la siguiente funcin booleana: F = ABC + AB C + A B C + A B C Se ve claramente que la funcin es un reflejo del contenido de la tabla de verdad cuando F = "1" Con esta ecuacin se crea el mapa de Karnaugh y se escogen los grupos. Se lograron hacer 3 grupos de dos "1"s cada uno. Se puede ver que no es posible hacer grupos de 3, porque 3 no es potencia de 2. Se observa que hay una casilla que es compartida por los tres grupos. La funcin simplificada es: F = AB + A C + B C

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Grupo en azul: AB, grupo marrn: AC, grupo verde:BC.

3.2 Mtodo de Quine M Clausky. Es un mtodo de simplificacin de funciones booleanas desarrollado por Willard Van Orman Quine y Edward J. McCluskey. Es funcionalmente idntico a la utilizacin del mapa de Karnaugh, pero su forma tabular lo hace ms eficiente para su implementacin en lenguajes computacionales, y provee un mtodo determinstico de conseguir la mnima expresin de una funcin booleana. El mtodo consta de dos pasos: 1. Encontrar todos los implicantes primos de la funcin. 2. Usar esos implicantes en una tabla de implicantes primos para encontrar los implicantes primos esenciales, los cuales son necesarios y suficientes para generar la funcin. Aunque es ms prctico que el mapa de Karnaugh, cuando se trata de trabajar con ms de cuatro variables, el tiempo de resolucin del algoritmo Quine-McCluskey crece de forma exponencial con el aumento del nmero de variables. Se puede demostrar que para una funcin de n variables el lmite superior del nmero de implicantes primos es 3n/n. Si n = 32 habr ms de 6.5 * 1015 implicantes primos. Funciones con un nmero grande de variables tienen que ser minimizadas con otros mtodos heursticos. Ejemplo Paso 1: Encontrando implicantes primos Minimizando una funcin arbitraria:

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

A m0 m1 m m m4 m5 m6 m7 m8 m9 m10 m11 m12 m13 m14 m15 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

B 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

C 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

D 0 0 0 0 1 0 0 0 1 X 1 1 1 0 X 1

Uno fcilmente puede formar la expresin cannica suma de productos de esta tabla, simplemente sumando mini trminos (dejando fuera las redundancias) donde la funcin se evala con 1:

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Por supuesto, esta expresin no es mnima. Para optimizarla, primero son colocados todos los mini trminos evaluados en la funcin como 1 en una tabla. Las redundancias tambin son agregadas a la tabla, estas pueden combinarse con los mini trminos: N. de 1s Minterm Representacin binaria 1 m4 m8 m9 m10 m12 m11 m14 m15 0100 1000 1001 1010 1100 1011 1110 1111

3 4

En este punto, uno puede empezar a combinar los mini trminos entre s. Si dos mini trminos slo varan en un solo dgito, ese dgito debe reemplazarse por un guion "-" indicando que ese bit no importa. Los trminos que ya no pueden combinarse ms son marcados con "*". Cuando van de tamao 2 a 4, tratamos '-' como un valor de bit. Ejemplo: -110 y -100 o -11- pueden ser combinados, pero no -110 y 011-. (Consejo: agrupar los '-' primero.)
Nmero de 1s Minterm Bin | Implicantes de tamao 2 | Implicantes de tamao 4 --------------------------------|-------------------------|----------------------1 m4 0100 | m(4,12) -100* | m(8,9,10,11) 10--* m8 1000 | m(8,9) 100| m(8,10,12,14) 1--0* --------------------------------| m(8,10) 10-0 |-----------------------

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital
2 m9 1001 | m(8,12) 1-00 | m(10,11,14,15) 1-1-* m10 1010 |-------------------------| m12 1100 | m(9,11) 10-1 | --------------------------------| m(10,11) 101| 3 m11 1011 | m(10,14) 1-10 | m14 1110 | m(12,14) 11-0 | --------------------------------|-------------------------| 4 m15 1111 | m(11,15) 1-11 | | m(14,15) 111|

Paso 2: tabla de implicantes primos Los trminos marcados con "*" ya no pueden combinarse ms, en este punto ya tenemos la tabla de implicantes primos. En el costado van los implicantes primos recientemente generados, y en la parte superior los mini trminos utilizados. Los mini trminos correspondientes a las redundancias son omitidos en este paso, no se colocan en la parte superior. 4 8 10 11 12 15 X XX X XX X X X X - 100 10- 1- - 0 X 1- 1-

En esta tabla vemos los mini trminos que "cubre" cada implicante primo. Ninguno de los implicantes de esta tabla est incluido dentro de otro (esto queda garantizado en el paso uno), pero si puede estar "cubierto" por dos o ms implicantes. Es el caso de y por y . o que est cubierto por que est cubierto

Por este motivo, cada uno de estos dos implicantes slo son esenciales en ausencia del otro. Un proceso adicional simple para reducir estos implicantes es prueba y error, pero un proceso ms sistemtico es el mtodo de Petrick.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

En el caso que estamos analizando, los dos implicantes primos y no llegan a incluir todos los mini trminos por lo que podemos combinar estos implicantes con cada uno de los implicantes no esenciales para conseguir dos funciones mnimas:

Las dos son equivalentes a esta funcin original:

3.3 Implementacin de funciones booleanas.


Una de las caractersticas de la electrnica digital que ms gustan al aficionado es que en ella es fcil iniciarse en el diseo de circuitos. En este artculo vamos a ver qu sencillo es disear un circuito digital con tal de que conozcamos la funcin lgica que debe de verificar. La funcin lgica estar compuesta por diversas variables lgicas relacionadas entre s mediante las operaciones del lgebra de Boole. Dichas operaciones son la suma lgica (+), el producto lgico (*) y la negacin (as, a negada la representaremos por a'). Sin ms prembulos, veamos cmo se "saca" el circuito digital para que "resuelva" una funcin lgica, y qu mejor forma de verlo que con un ejemplo concreto: Idese un circuito digital tal que implemente la funcin lgica G=(a*b)'+(c*(a+b')) Empecemos por ver cuntas variables forman a la funcin G. En este caso se ve que son tres, a, b y c. Pues ya podemos empezar a dibujar el circuito. Hay
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

que dibujar tantas lneas verticales como variables tenga la funcin, ponindole a cada una de ellas como ttulo el nombre de una variable:

Hay alguna variable aislada que est negada? Si la respuesta es s (y en este caso lo es, fjese en la funcin, en ella aparece b') habr que colocar una puerta inversora de tal forma que su entrada est conectada a la lnea de la variable que debe negarse. A la salida de esta puerta tendremos la variable negada:

Como puede apreciarse, la salida de la puerta se ha "extendido" con una lnea vertical. El siguiente y ltimo paso es ir realizando con puertas lgicas las operaciones de la funcin lgica. As, podramos hacer ahora el producto negado de la variable a con la variable b. Para ello emplearemos la puerta NAND:

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Podramos seguir con la suma lgica de a con b' (puerta OR):

La puerta OR recin colocada entrega a su salida a+b'. Si multiplicamos esto por c tendramos c*(a+b') (ver la expresin de la funcin G):

Por ltimo slo queda sumar (a*b)' (que est en la salida de la puerta NAND) con c*(a+b') (presente en la salida de la puerta AND) para obtener la funcin G de salida:

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Y ya tenemos nuestro circuito terminado. Este circuito calcula automticamente el valor de la funcin G para cualquier combinacin de valores de las variables que forman la funcin. Como se habr dado cuenta a lo largo de este artculo, para poder llevar a cabo la implementacin de la funcin con puertas lgicas es imprescindible conocer con detalle cada una de las puertas lgicas que existen. Por este motivo, y en el caso de que usted no las conozca, le invitamos a que eche un vistazo al artculo que trata de las puertas lgicas.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Capitulo cuatro Principios, prcticas y ejemplos de diseo de circuitos combi nacionales.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital
* Circuito Combinacional

Un circuito Combinacional es aquel que est formado por funciones lgicas elementales (AND, OR, NAND, NOR, etc.), que tiene un determinado nmero de entradas y salidas, dependiendo los valores que toman las salidas exclusivamente de los que toman las entradas en ese instante. Ejemplo de este tipo de circuitos son: los codificadores, decodificadores, multiplexores, demultiplexores, comparadores, generadores-detectores de paridad, etc.

* Anlisis de circuitos Combinacionales En este punto se trata el anlisis de circuitos combinacionales a nivel de puertas lgicas. La estructura del circuito vendr dada por su diagrama lgico, cuyos constituyentes sern puertas lgicas cuyo comportamiento lo determina el smbolo que lo representa.

Circuito Combinacional Un circuito Combinacional se analiza determinando la salida de los elementos lgicos que lo constituyen (normalmente puertas lgicas), partiendo de las variables de entrada y avanzando en el sentido de la seal hacia la salida.

4.1 Procedimiento de diseo


El diseo de circuitos combinacionales trata el problema inverso al anlisis: a partir de una especificacin inicial, se trata de determinar las ecuaciones booleanas (o tabla de verdad) que satisfaga dicha especificacin y, de estas, el esquema del circuito. Ejemplo: Se desea disear un sistema de aviso muy simple para un coche, que debe operar del siguiente modo: - Si el motor est apagado y las puertas abiertas, sonar una alarma

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

- Si el motor est encendido y el freno de mano est puesto, tambin sonar la alarma. Las situaciones reales, motor encendido o apagado, puertas abiertas o cerradas, etc. pueden tratarse como variables binarias. Sean f,e,p tres variables binarias que indican f freno de mano. Toma el valor 1 si est puesto y 0 en caso contrario. p Puerta. Toma el valor 1 si alguna de las puertas del coche est abierta y 0 cuando todas las puertas estn cerradas. e encendido. Toma el valor 1 si el motor est arrancado, 0 si est apagado. La salida A puede considerarse tambin como una seal binaria, A, que toma dos valores posibles: Si A=1, la alarma se activa, si A=0, la alarma no se activa.

f 0 0 0 0 1 1 1 1

|p | 0 |0 |1 |1 |0 |0 |1 |1

|e |0 |1 |0 |1 |0 |1 |0 |1

|A |0 |0 |1 |0 |0 |1 |1 |1

| | | | | | | | |

A partir de la tabla de verdad se pueden obtener la expresin suma de min trminos asociada:
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

A = f p e + f p e + f p e +f p

4.2 Principales circuitos combinacionales y sus configuraciones en MSI. 4.2.1 Sumadores.


En electrnica un Sumador es un Circuito Lgico que calcula la operacin suma. En los computadores modernos se encuentran en lo que se denomina Unidad Aritmtico lgica (ALU). Generalmente realizan operaciones aritmticas en cdigo binario decimal o BCD exceso 3, por regla general los sumadores emplean el sistema binario. En los casos en los que se est empleando un complemento a dos para representar nmeros negativos el sumador se convertir en un sumador-sustractor (Adder-subtracter). * Tipos de Sumadores: * Half-adder. * Full-Adder. * Carry-Look- Ahead. * Carry-Select.

* Sumadores binarios de 4 bits: Las operaciones aritmticas se presentan con tal frecuencia que se han desarrollado un nmero de circuitos integrados especiales para llevarlas a cabo. El 74LS283 es un buen exponente de esta clase de dispositivos, siendo, en esencia, un sumador hexadecimal de 4 bits, Por lo tanto, acepta como entradas dos nmeros de 4 bits de cada uno, A y B, y un bit de acarreo previo, CO. Los 4 bits correspondientes al nmero A se conectan a las entradas Al, A2, A3 y A4. Las cuatro entradas del dato B se conecta de manera similar. El sumador genera como resultado un nmero de 4 bits
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

correspondientes a la suma de los dos datos, A y B, adems de un bit de acarreo, C4. En la figura N2 se muestra la configuracin de pines del 74LS283.

Figura: Configuracin de pines del 74LS283 La operacin del circuito integrado puede describirse en forma resumida de la siguiente manera: * Si la suma de los dos datos de entrada ms el acarreo previo arroja un resultado entre O y 15, la suma aparecer en las salidas de suma y el bit de acarreo de salida, C4 se hace igual a cero. * Si el resultado de la suma se sita entre 16 y 31, el bit de acarreo C4 se pone en 1 y las salidas correspondientes a los bits de suma se hacen iguales al valor del resultado menos 16. Observe que en el sumador de 4 bits, el bit de acarreo resultante posee un peso binario igual a 16. Ejemplo: Suponga entradas a un sumador como el siguiente: A4A3A2A1= 01112 (716) B4B3B2B1 = 10102 (A16) CO=1 En este caso, la suma de los tres datos de entrada, 0111 + 1010 + 1 resulta ser igual 18. De acuerdo a las reglas anteriores, se produce un bit de acarreo igual 1 y las salidas adoptan un valor de 2 (esto es, 18 menos 16). Por lo tanto, C4 = 1 y 4 3 2 1=0010. * Sumadores en cascada

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Es posible implementar sumadores para palabras de tamao superiores a 4 bits si se disponen varios 74LS283 en cascada. Para el efecto, basta simplemente con conectar la salida C4 del sumador de menor peso a la entrada CO del sumador siguiente. En la figura N 3 se muestra como se conectaran dos 74LS283 en cascada para con formar un sumador de 8 bits. Los dos sumadores se muestran recibiendo como datos a dos nmeros binarios de 8 bits cada uno cuyos valores son: A=11001010, B = 11100111, CO=0. El resultado de la operacin, mostrado tambin en la misma figura es 10110001 y C4= 1.+

4.2.2 Restadores
La sustraccin de dos nmeros binarios puede llevarse a cabo tomando el complemento del sustraendo y agregndolo al minuendo. Por este mtodo, la operacin de sustraccin, llega a ser una operacin de divisin que requiere sumadores completos para su implementacin en mquina. Es posible implementar la sustraccin con circuitos lgicos en una forma directa, como se hace con lpiz y papel. Por este mtodo cada bit sustraendo del nmero se sustrae de su bit minuendo correspondiente significativo para formar un bit de diferencia. Si el bit minuendo es menor que el bit sustraendo, se toma un 1 de la siguiente posicin significativa. El hecho de que se ha tomado un 1 debe llevarse al siguiente par ms alto de bit mediante una seal binaria que llega de fuera (salida) de una etapa dada y va a (entrada) la siguiente etapa ms alta. En forma precisa as como hay medios sumadores y sumadores completos, hay medio restadores y restadores completos. * Medio Restador Un medio restador es un circuito combinacional que sustrae dos bits y produce su diferencia. Tambin tiene una salida para especificar si se ha tomado un 1. Se designa el bit minuendo por x y el bit sustraendo mediante
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

y. Para llevar a cabo x - y, tienen que verificarse las magnitudes relativas de x y y. Si x > y se tienen tres posibilidades; 0 - 0 = 0, 1 0 = 1 y, 1 - 1 = 0. El resultado se denomina bit de diferencia. Si x < y. tenemos 0 - 1 y es necesario tomar un 1 de la siguiente etapa ms alta. El 1 que se toma de la siguiente etapa ms alta aade 2 al bit minuendo, de la misma forma que en el sistema decimal lo que se toma aade 10 a un dgito minuendo. Con el minuendo igual a 2, la diferencia llega a ser 2 - 1 = 1. El medio restador requiere dos salidas. Una salida genera la diferencia y se denotar por el smbolo D. La segunda salida, denotada B para lo que se toma, genera la seal binaria que informa a la siguiente etapa que se ha tomado un 1. La tabla de verdad para las relaciones de entrada-salida de un medio restador ahora puede derivarse como sigue: x 0 0 1 1 y | B 0 1 0 1 0 1 0 0 D 0 1 1 0

La salida que toma B es un 0 en tanto que x > y. Es un l para x = 0 y y = 1. La salida D es el resultado de la operacin aritmtica 2B + x - y. Es interesante observar que la lgica para D es exactamente la misma que la lgica para la salida S en el medio sumador.

* Restador completo Un restador completo es un circuito Combinacional que lleva a cabo una sustraccin entre dos bits, tomando en cuenta que un 1 se ha tomado por

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

una etapa significativa ms baja. Este circuito tiene tres entradas y dos salidas. Las tres entradas, x, y y z, denotan al minuendo, sustraendo y a la toma previa, respectivamente. Las dos salidas, D y B, representan la diferencia y la salida tomada, respectivamente. La tabla de verdad para el circuito es como sigue: x y z | 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 B D 0 0 1 1 1 1 1 0 0 1 0 0 0 0 1 1

Los ocho renglones bajo las variables de entrada designan todas las combinaciones posibles de 1 y 0 que pueden tomar las variables binarias. Los 1 y 0 para las variables de salida estn determinados por la sustraccin de x y - z. Las combinaciones que tienen salida de toma z = 0 se reducen a las mismas cuatro condiciones del medio sumador. Para x = 0,, y = 0 y z = 1, tiene que tomarse un 1 de la siguiente etapa, lo cual hace B = 1 y aade 2 a x. Ya que 2 0 - 1 = 1, D = 1. Para x = 0 y yz = 11, necesita tomarse otra vez, haciendo B = 1 y x = 2. Ya que 2 - 1 - 1 = 0, D = 0. Para x = 1 y yz = 01, se tiene x - y - z = 0, lo cual hace B = 0 y D = 0. Por ltimo, para x = 1, y = l, z = 1, tiene que tomarse 1, haciendo B = 1 y x = 3 y, 3 - 1 - 1 = 1, haciendo D = 1.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

4.2.3 Multiplexores/ Demultiplexores.


Los Multiplexores Vamos a estudiar, en ste captulo, una serie de circuitos combinatorios relacionados con la transferencia de informacin; es decir, analizaremos la situacin de tener varias seales binarias a una red digital. Mediante una seal de control deseamos seleccionar una de las entradas y que sta aparezca a la salida. Haciendo una analoga elctrica, podemos comparar un multiplexor con un conmutador de varias posiciones, de manera que, situando el selector en una de las posibles entradas, sta aparecer en la salida. Los multiplexores son circuitos combinacionales con varias entradas y una salida de datos, y estn dotados de entradas de control capaces de seleccionar una, y slo una, de las entradas de datos para permitir su transmisin desde la entrada seleccionada a la salida que es nica. La entrada seleccionada viene determinada por la combinacin de ceros (0) y unos (1) lgicos en las entradas de control. La cantidad que necesitaremos ser igual a la potencia de 2 que resulte de analizar el nmero de entradas. As, por ejemplo, a un multiplexor de 8 entradas le correspondern 3 de control. Podemos decir que la funcin de un multiplexor consiste en seleccionar una de entre un nmero de lneas de entrada y transmitir el dato de un canal de informacin nico. Por lo tanto, es equivalente a un conmutador de varias entradas y una salida. Dentro de un multiplexor hay que destacar tres tipos de seales: los datos de entrada, las entradas de control y la salida El diseo de un multiplexor se realiza de la misma manera que cualquier sistema combinatorio desarrollado hasta ahora. Veamos, como ejemplo, el caso de un multiplexor de cuatro entradas y una salida que tendr, segn lo dicho anteriormente, dos entradas de control. Esta tabla de verdad define
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

claramente cmo, dependiendo de la combinacin de las entradas de control, a la salida se transmite una u otra entrada de las cuatro posibles. As:

CONTROL| AB 00 00 01 01 10 10 11 11 | | | | | | | | |

ENTRADAS DATOS | I0 I1 I2 I3 0XXX 1XXX X0XX X1XX XX1X XXX0 XXX0 XXX1 | | | | | | | | |

SALIDA S 0 1 0 1 1 0 0 1

| | | | | | | | | |

Si deducimos de esta tabla de verdad la expresin booleana que nos dar la funcin salida, tendremos la siguiente ecuacin: S = (/A*/B*I0) + (/A*B*I1) + (A*/B*I2) + (A*B*I3) Con la que podremos disear nuestro circuito lgico. La estructura de los multiplexores es siempre muy parecida a esta que hemos descrito, aunque a veces se aade otra entrada suplementaria de validacin o habilitacin, denominada strobe o enable que, aplicada a las puertas AND, produce la presentacin de la salida. * Tipos de multiplexores Dentro de la gran variedad de multiplexores que existen en el mercado, hay varios tipos que conviene destacar a causa de su gran utilidad en circuitos digitales, estos son:

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Multiplexor de 8 entradas. Multiplexor de 16 entradas. Doble multiplexor de 4 entradas.

Dentro del primer tipo podemos hacer la distincin entre tener la entrada de strobe o no. La tecnologa utilizada para su diseo es TTL, de alta integracin, y la potencia que disipan suele ser de unos 150 mW. El tiempo de retardo tpico es de unos 25 nanosegundos y tienen un "fan - out" de 10. Normalmente, estos circuitos suelen darnos dos tipos de salida: una afirmada y la otra negada. En cuanto al segundo tipo de multiplexores, sealaremos que se diferencian de los primeros en el nmero de entradas, que es el doble, y que no existe la posibilidad de tener dos salidas, sino que slo podemos optar por la negada y, en consecuencia, a la salida nicamente se tendrn los datos de la entrada complementados. La potencia de disipacin para estos multiplexores viene a ser de aproximadamente unos 200 mW. El tiempo de retardo y el "fan - out" son ms o menos iguales que en el caso del multiplexor de 8 entradas. Diagrama bsico de un multiplexor de 16 entradas y 2 seales de control En la ilustracin correspondiente podemos ver un multiplexor de 16 entradas, donde, si hacemos 0 el strobe, en la salida se obtiene el dato negado de la entrada seleccionada mediante las cuatro entradas de control. En el ltimo de los tipos, dentro del mismo encapsulado del circuito integrado, tenemos dos multiplexores de cuatro entradas de datos: dos de control y una seal de strobe cada uno. Doble multiplexor de cuatro entradas donde las seales de control son comunes Las entradas de control son comunes para ambos multiplexores, como podemos ver en el circuito de la figura. Al igual que los anteriores, se suelen

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

realizar con tecnologa TTL de alta integracin, y tienen una disipacin media de unos 180 mW. Con estos tres tipos de multiplexores trabajaremos habitualmente, incluso en el caso de tener que emplear algn otro de orden superior, es decir, con mayor nmero de entradas. Para ello, necesitaremos utilizar ms de un multiplexor de los descritos anteriormente. Multiplexor de 32 entradas construido a partir de cuatro multiplexores de 8 entradas y uno de 4 entradas La forma de conectarlos entre s depende de la aplicacin concreta de que se trate, pero siempre habr que disponer de ms de una etapa de multiplexores, lo cual acarrea un tiempo de retardo. As, por ejemplo, para seleccionar un dato de entre las 32 entradas de que disponemos, deberemos disear un sistema anlogo al representado en la figura correspondiente. El primer multiplexor de 8 entradas sita secuencialmente los datos de entrada I0 a I7 en la lnea de salida de ste, a medida que el cdigo de las seales de control va variando. Anlogamente, el segundo multiplexor, tambin de 8 entradas, transmitir los datos I8 a I15 a su lnea de salida, dependiendo de las seales de control.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

* Los Demultiplexores Una de las aplicaciones ms caractersticas de los decodificadores era su transformacin en los circuitos digitales denominados demultiplexores. Un Demultiplexor consta de una entrada de datos, varias seales de control y las lneas de salida El demultiplexor es un circuito destinado a transmitir una seal binaria a una determinada lnea, elegida mediante un seleccionador, de entre las diversas lneas existentes. El dispositivo mecnico equivalente a un demultiplexor ser un conmutador rotativo unipolar, de tantas posiciones como lneas queramos seleccionar. El seleccionador determina el ngulo de giro del brazo del conmutador. La analoga mecnica de un demultiplexor es un selector con una entrada y varias posiciones de salida Un decodificador se convierte en un Demultiplexor aadindole una seal ms a su circuitera interna. Si se aplica esta seal, la salida ser el complemento de dicha seal, ya que la salida es 0 si todas las entradas son 1, y aparecer nicamente en la lnea seleccionada. Se puede aplicar a un Demultiplexor una seal de habilitacin o "enable", conectndose en cascada el decodificador con el circuito compuesto de una puerta AND y dos puertas NOT cuyas entradas son la seal de habilitacin y el dato que queremos transmitir.

Si la entrada de habilitacin es 0, la salida ser el complemento del dato, es decir, que el dato aparecer en la lnea con el cdigo deseado. Si la entrada de "enable" es 1, la salida ser 0, se inhiben los datos en cualquier lnea y todas las entradas permanecen en 1. Veamos, de otra manera, en qu consiste la funcin de un circuito Demultiplexor. Estos son circuitos que realizan una funcin contraria a la de los multiplexores, es decir, tienen una nica entrada de datos que, mediante
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

unas entradas de control, se pone en comunicacin con una de entre varias salidas de datos. La salida concreta seleccionada depende de la combinacin de valores lgicos presentada en las entradas de control. De la definicin ya se desprende que cualquier decodificador que excite slo una salida entre varias, y est provisto de entrada de inhibicin o "enable", puede utilizarse como Demultiplexor, ya que las entradas del cdigo se pueden emplear como entradas de control y la seal de inhibicin como entrada de datos. Por el contrario, los decodificadores del tipo BCD a 7 segmentos que dan varias de sus salidas para cada combinacin de entrada, no pueden ser utilizados como demultiplexores. En la prctica, no existen circuitos integrados demultiplexores, sino que se fabrican circuitos decodificadores/demultiplexores, que en realidad son decodificadores con entrada de inhibicin ("enable" o "strobe"). En la figura se muestra la construccin mediante puertas lgicas de un decodificador/demultiplexor de 2 a 4 lneas. A continuacin, veremos el funcionamiento de un decodificador como demultiplexor. Suponemos que se ha representado una combinacin de entradas, como por ejemplo 1 0 1, es decir, A /B C, y con ellas se selecciona la salida nmero 5. Cuando se ponga 1 en la entrada de "enable" se tendr 1 en la salida 5, y cuando se ponga 0 en la seal de "strobe" aparecer 0 en 5, es decir, que la salida sigue a la entrada de datos y sta es, precisamente, la funcin del demultiplexor. Dentro de los demultiplexores existen varios tipos caractersticos y utilizados dentro de nuestro PC. Describamos algunos de ellos. * Demultiplexor de 4 a 16 lneas Si un valor correspondiente a un nmero decimal que exceda de nueve se aplica a las entradas de un demultiplexor, la orden queda rechazada, por lo

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

tanto, las diez salidas quedarn a 1. Si se desea seleccionar una de 16 lneas de salida, el sistema se ampliar aadiendo seis puertas NAND ms y se emplearn los 16 cdigos posibles con cuatro bits binarios. El demultiplexor de 4 a 16 lneas tiene 4 lneas de seleccin, 16 de salida, una entrada de "enable", una entrada de datos, una toma de tierra y otra para la alimentacin, de modo que en total se precisa un encapsulado de 24 patillas. Tambin existen demultiplexores de 2 a 4 y 3 a 8 lneas encapsulados e integrados individuales. Un demultiplexor de 1 a 2 lneas se forma con dos puertas NAND de otras tantas entradas. La lnea de salida 0 proviene de la NAND, cuyas entradas son la de datos y la lnea A; mientras que la salida 1 est conectada a la NAND, cuyas entradas son la de datos y la seal A. Esta ltima entrada se denomina de control, ya que si A es 0, en la lnea 0 aparecer el complemento del dato. * Demultiplexores de gran nmero de lneas Si el nmero de salidas excede de 16 se emplean demultiplexores de 16, 8, 4 2 lneas, dispuestos formando una cascada para conseguir el nmero de salidas deseado. Para construir un demultiplexor superior a 16 lneas, es necesario combinar los distintos tipos de multiplexor de 2, 4, 8 16 lneas. Este es el caso del multiplexor de 32 lneas Por ejemplo, para un demultiplexor de 32 lneas podemos emplear uno de cuatro lneas del que se ramifican cuatro demultiplexores de 8 lneas, como se indica en la figura correspondiente. Observemos que el nmero total de salidas es el producto del nmero de lneas de los cuatro multiplexores por el nmero de ellos, es decir, 4 * 8 = 32. Las lneas 0 a 7 se decodifican en el primer demultiplexor, mientras que el segundo decodifica las ocho siguientes, y as sucesivamente.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Para el valor de las seales de control del demultiplexor de cuatro lneas igual a 01, las lneas 8 a 15 se decodifican secuencialmente a medida que las seales de control A B C pasan desde 0 0 0 hasta 1 1 1. Por ejemplo, la lnea 12 se decodificar con la seleccin de todas las seales de control de los demultiplexores de cuatro y ocho lneas, con el siguiente resultado 0 1 1 0 0, que no es ms que la representacin binaria del nmero decimal 12. Puesto que en un encapsulado hay dos demultiplexores de 2 a 4 lneas, para el sistema representado se necesitar el equivalente a 4,5 encapsulados. Este mismo sistema se puede lograr con un demultiplexor de 8 lneas y ocho de 4 lneas o con uno de 2 lneas y dos de 16. El diseo ms apropiado viene determinado por el coste total.

4.2.4 Decodificadores
* Codificadores y Decodificadores

En un sentido general, se puede decir que un codificador es un circuito hecho para pasar informacin de un sistema a otro con clave diferente, y en tal caso un decodificador sera el circuito o dispositivo que retorne los datos o informacin al primer sistema. Debido a que el caso que nos ocupa es el de la lgica digital, y en especial la aritmtica binaria, hemos de dar sentido ms directo a los trminos "codificador" y "decodificador". Un codificador es un bloque Combinacional hecho para convertir una entrada no binaria en una salida de estricto orden binario. En otras palabras, es un circuito integrado por un conjunto de componentes electrnicos con la habilidad para mostrar en sus terminales de salida un word binario (01101, 1100, etc.), equivalente al nmero presente en sus entradas, pero escrito en un cdigo diferente. Por ejemplo, un Octal-tobinary encoder es un circuito codificador con ocho entradas (un terminal
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

para cada dgito Octal, o de base 8) y tres salidas (un terminal para cada bit binario).

Los codificadores pueden, tambin, proporcionar otras operaciones de conversin, tal como ocurre en las calculadoras de bolsillo con el teclado: El Keyboard (teclas, llaves) encoder convierte la posicin de cada tecla (No. 9, No. 3, No. 5, + , %, etc.) en su correspondiente word asignado previamente. Un ejemplo de lo anterior es el teclado codificador en ASCII (American Standard Code for Informacin Interchange), que genera el word de 7 bits 0100101 cuando es presionada la tecla del porcentaje (%).

El decodificador es un circuito Combinacional diseado para convertir un nmero binario (entrada) en word de "unos" y "ceros" (niveles altos y bajos de voltaje) con un orden distinto, para ejecutar un trabajo especial. En otras palabras, el word que sale es diferente al word que entr, aunque tenga la misma cantidad de bits. En Electrnica Digital es a menudo necesario pasar un nmero binario a otro formato, tal como el requerido para energizar los siete segmentos de los display hechos con diodos emisores de luz, en el orden adecuado para que se ilumine la figura de un individual nmero decimal. Los decodificadores son tambin usados en los microprocesadores para convertir instrucciones binarias en seales de tiempo, para controlar mquinas en procesos industriales o implementar circuitos lgicos avanzados. El decodificador convierte nmeros binarios en sus equivalentes Octales (base 8), decimales (base 10) y Hexadecimales.

* Los Decodificadores En el tema de los codificadores vimos en qu consista un codificador, es decir, explicbamos cmo pasar una informacin utilizada usualmente a una
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

forma codificada que pueda entender nuestro ordenador. Seguidamente, describiremos el modo de realizar la funcin opuesta mediante los llamados decodificadores.

Decodificador bsico de dos entradas y cuatro salidascontruido a partir de compuertas NAND En un sistema digital, como puede ser nuestro PC, se pueden transmitir tanto instrucciones como nmeros mediante niveles binarios o trenes de impulsos. Si, por ejemplo, los cuatro bits de un mensaje se disponen para transmitir rdenes, se pueden lograr 16 instrucciones diferentes, esto es lo que denominbamos, informacin codificada en sistema binario. Otras veces nos interesa que un conmutador de varias posiciones pueda funcionar de acuerdo con este cdigo, es decir, para cada uno de los diecisis cdigos debe ser excitada una sola lnea. A este proceso de identificacin de un cdigo particular se le denomina decodificacin. Dicho de otra manera, un decodificador realiza la funcin opuesta a la de codificar, es decir, convierte un cdigo binario de varias entradas en salidas exclusivas. Podemos distinguir dos tipos bsicos de decodificadores: los excitadores y los no excitadores. En el primero de los casos tenemos, por ejemplo, aquellos cuya misin es convertir el cdigo BCD de sus entradas al formato de salida necesario para excitar un visualizador numrico o alfanumrico.

Decodificador de cuatro entradas y siete salidas del tipo no excitado Para entender el segundo de los tipos veamos algunos ejemplos de ellos. Tomemos un decodificador de dos entradas. Este har corresponder, a cada una de las cuatro palabras posibles de formar con las dos entradas, una de las salidas. Para la salida Y0, ser 1 cuando los bits de entrada A y B son 0. Luego,

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

la expresin booleana que le corresponde es: Y0 = /A * /B. El mismo razonamiento se puede repetir para el resto de salidas.

Dentro de este mismo tipo de decodificadores tenemos el BCD a decimal. Supongamos que deseamos decodificar una instruccin BCD que represente un nmero dgito decimal, como puede ser el 5; esta operacin se puede llevar a cabo con una puerta AND de cuatro entradas excitadas por los cuatro bits BCD. Por ejemplo, la salida de la puerta AND ser 1 si las entradas son 1, 0, 1, 0. Puesto que este cdigo representa el nmero decimal 5, la salida se seala como lnea 5. Si completamos un decodificador BCD a decimal, ste tendr cuatro entradas, normalmente denominadas A, B, C y D, y diez lneas de salida. Las entradas complementarias, /A, /B, /C y /D, se pueden obtener por medio de inversores dentro del propio integrado. Habitualmente, para su construccin se emplean puertas NAND y, por lo tanto, una salida es 0 si el cdigo resulta correcto en BCD y ser 1 para cualquier otro cdigo no vlido. A este sistema digital tambin se le denomina decodificador 4 a 10, indicando que una entrada de cuatro bits selecciona una de las diez lneas de salida. En este decodificador, los estados 1010, 1011, 1100, 1110, 1101 y 1111 no estn incluidos en el cdigo BCD, y se consideran como datos falsos de entrada producindose para todas ellas un 1 en todas las salidas, como se indic anteriormente. Luego, estamos ante un decodificador BCD a decimal con rechazo de datos falsos de entrada. Tambin se puede construir un decodificador BCD a decimal que no rechace los datos falsos de entrada. Este decodificador minimiza el nmero de entradas de las puertas NAND. As, por ejemplo, si se presentase en las entradas del decodificador la combinacin 1111, aparecera seal en las salidas 7 y 9. Es decir, se han tomado los datos de entrada falsos como condiciones opcionales.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

En muchas aplicaciones es deseable que la decodificacin se realice nicamente durante intervalos de tiempo especficos, de forma que sean rechazados los datos de entrada que no parezcan durante esos intervalos. Esto se consigue aadiendo una entrada denominada "strobe". Cuando esta seal es 1 se ejecuta la decodificacin y cuando es 0 se inhibe la decodificacin. Dependiendo de que el decodificador rechace o no los datos falsos, el modo de utilizar la seal de "strobe" debe ser distinto. Este tipo de circuito lo podemos observar en la figura correspondiente, donde la inhibicin para la decodificacin se logra mediante una entrada extra en cada puerta NAND del decodificador. Cuando esta entrada es 0 las salidas son todas 1 y no se permite la decodificacin. Dentro del tipo de decodificadores excitadores podemos poner como ejemplo uno de los ms utilizados en la electrnica digital: el llamado decodificador excitador BCD - 7 segmentos. En la actualidad, se utilizan normalmente una serie de dispositivos de representacin visual fabricados a base de siete segmentos o barras independientes, mediante las cuales se pueden presentar los dgitos decimales. Estos segmentos pueden ser cristales lquidos, diodos LED, etc. Para excitar a estos dispositivos se han desarrollado toda una gama de decodificadores que reciben la informacin, procedente de un ordenador o de un aparato de medida, en cdigo BCD y entregan siete salidas preparadas para alimentar los siete segmentos que componen cada dgito decimal. Veamos la estructura de un decodificador excitador BCD-7 segmentos de los ms sencillos.

Tpica aplicacin de un decodificador conectado a un LED Dado que el cdigo BCD permite hasta 16 combinaciones diferentes y slo se utilizan 10 para dgitos decimales y 5 para signos especiales, la combinacin que queda apaga todos los segmentos. Existe una entrada aadida a las de los cuatro bits del cdigo, que sirve para impedir o permitir la salida del
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

decodificador una vez representadas las entradas. Por lo tanto, el decodificador ser un sistema combinacional de cinco entradas y siete salidas. Representamos la tabla de verdad correspondiente solamente a los diez dgitos decimales, teniendo en cuenta que la entrada de inhibicin o "strobe" siempre se encuentra a 1: EntradasABCD 0000 | 1000 | 0100 | 1100 | |abcdefg 1111110 0110000 1101101 1111001 0110011 1011011 0011111 1110000 1111111 1110011 | SIGNO |0 |1 |2 |3 |4 |5 |6 |7 |8 |9 | | | | | | | | | | |

0010 | 1010 | 0110 | 1110 | 0001 | 1001 |

A partir de esta tabla se pueden obtener todas las expresiones booleanas para la construccin de cada una de las salidas del cdigo de 7 segmentos. La representacin visual de los diez dgitos decimales se suele realizar a travs del denominado cdigo de visualizacin de siete segmentos La entrada de inhibicin se puede aplicar de diversas formas y en distintas etapas, segn convenga, para la realizacin fsica del circuito integrado. Los decodificadores suelen ir conectados a las entradas de etapa de presentacin visual, como en el caso de la conexin de un cristal lquido

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Hemos de tener presente que, segn el sistema de visualizacin utilizado en la informacin, har falta un tipo distinto de decodificador: siete segmentos, matrices de puntos, impresora, etc. Los circuitos combinatorios decodificadores se disearn, dependiendo de su complejidad, a partir de una memoria ROM.

4.3 Dispositivos lgicos programables con aplicaciones combinacionales.


El Incremento de popularidad y de utilizacin de los dispositivos lgicos programables o PLDs est siguiendo un proceso solamente comparable al que hace algunos aos acompa a los microprocesadores. Los PLDs se utilizan en casi todos los nuevos equipos electrnicos de control, industriales, de consumo, de oficina, de comunicaciones, etc. Desde finales de la dcada de los sesenta, los equipos electrnicos digitales se han construido utilizando circuitos integrados de funcin lgica fija, realizados en pequea o mediana escala de integracin. Para las realizaciones muy complejas que exigiran un nmero elevado de circuitos integrados (CI) de funcin fija, se utilizan circuitos diseados a medida que slo sirven para una aplicacin. Son los llamados CI especficos a una aplicacin o ASIC (Application Specific Integrated Circuit). Por regla general, los ASICs los producen los fabricantes de CI con las especificaciones proporcionadas por el usuario. Los equipos realizados con ASICs ocupan menos espacio, son ms fiables, consumen menos energa y en grandes series resultan ms baratos que los equipos equivalentes realizados con CI de funcin fija. Por otro lado, estos circuitos son muy difciles de copiar. Diferentes modalidades de ASICs son; los Circuitos a Medida (Full Custom), las Matrices de Puertas (Gate Arrays), las Clulas Normalizadas (Standard
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

Cell) y los FPICs (Field Programmable Integrated Circuits); estos ltimos son circuitos programables por el usuario final

4.3.1 Lenguajes de descripcin de hardware (VHDL)


VHDL es el acrnimo que representa la combinacin de VHSIC y HDL, donde VHSIC es el acrnimo de Very High Speed Integrated Circuit y HDL es a su vez el acrnimo de Hardware Description Language. Es un lenguaje definido por el IEEE (Institute of Electrical and Electronics Engineers) (ANSI/IEEE 1076-1993) usado por ingenieros para describir circuitos digitales. Otros mtodos para disear circuitos son la captura de esquemas (con herramientas CAD) y los diagramas de bloques, pero stos no son prcticos en diseos complejos. Otros lenguajes para el mismo propsito son Verilog y ABEL. Aunque puede ser usado de forma general para describir cualquier circuito se usa principalmente para programar PLD (Programable Logic Device Dispositivo Lgico Programable), FPGA (Field Programmable Gate Array), ASIC y similares.

Formas de describir un circuito Dentro del VHDL hay varias formas con las que podemos disear el mismo circuito y es tarea del diseador elegir la ms apropiada. Funcional: Describimos la forma en que se comporta el circuito. Esta es la forma que ms se parece a los lenguajes de software ya que la descripcin es secuencial. Estas sentencias secuenciales se encuentran dentro de los llamados procesos en VHDL. Los procesos son ejecutados en paralelo entre s, y en paralelo con asignaciones concurrentes de seales y con las instancias a otros componentes.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Flujo de datos: describe asignaciones concurrentes (en paralelo) de seales. Estructural: se describe el circuito con instancias de componentes. Estas instancias forman un diseo de jerarqua superior, al conectar los puertos de estas instancias con las seales internas del circuito, o con puertos del circuito de jerarqua superior. Mixta: combinacin de todas o algunas de las anteriores. En VHDL tambin existen formas metdicas para el diseo de mquinas de estados, filtros digitales, bancos de pruebas etc.

Estructura de programa VHDL fue diseado en base a los principios de la programacin estructurada. La idea es definir la interfaz de un modulo de hardware mientras deja invisible sus detalles internos. La entidad (ENTITY) en VHDL es simplemente la declaracin de las entradas y salidas de un modulo mientras que la arquitectura (ARCHITECTURE) es la descripcin detallada de la estructura interna del modulo o de su comportamiento. En la siguiente figura se ilustra el concepto anterior. Muchos diseadores conciben la Entity como una funda de la arquitectura dejando invisible los detalles de lo que hay dentro (architecture). Esto forma la base de un sistema de diseo jerrquico, la arquitectura de la entidad de ms nivel (top level) puede usar otras entidades dejando invisible los detalles de la arquitectura de la identidad de menos nivel. En la figura las entidades B, E y F no utilizan a otras entidades. Mientras que la entidad A utiliza a todas las dems. A la pareja entidad - arquitectura se le llama modelo. En un fichero texto VHDL la entidad y la arquitectura se escriben separadas, por ejemplo a continuacin se muestra un programa muy simple en VHDL de una compuerta de 2 entradas. Como en otros programas VHDL ignora los espacios y saltos de lneas. Los comentarios se escriben con 2 guiones (--) y termina al final de la lnea. En la figura siguiente se muestra la estructura de un modelo en VHDL. SINTAXIS PARA LA DECLARACION DE LA ENTIDAD VHDL define muchos caracteres especiales
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

llamados palabras reservadas. Aunque las palabras reservadas no son sensibles a las maysculas o minsculas, el ejemplo que sigue las utilizaremos en maysculas y negritas para identificarlas. ENTITY Nombre_entidad IS PORT (Nombre de seal: modo tipo de seal; ... Nombre de seal: modo tipo de seal); END nombre entidad ; Adems de darle nombre a la entidad el propsito de la declaracin es definir sus seales (o ports) de interfaz externa en su declaracin de ports. Adems de las palabras reservadas o clavesENTITY, IS, PORT and END, una ENTITY tiene los siguientes elementos.

Nombre_entidad; es un identificador seleccionado por el usuario para seleccionar la entidad. Nombre de seal; es una lista de uno o ms identificadores separados por una coma y seleccionados por el usuario para identificar las seales externas de la interfaz. MODO es una de las 4 siguientes palabras reservadas para indicar la direccin de la seal: Modo IN OUT Descripcin En este modo las seales solo entran en la entidad Las seales salen de la entidad

Este modo se utiliza para las seales que adems de BUFFER salir de la entidad pueden usarse como entradas realimentadas

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

INOUT

Este modo se utiliza para seales bidireccionales. Se emplea en salida con tres estados. Se puede asignar como sustituto de los tres modos anteriores, pero no se aconseja pues dificulta la comprensin del programa.

Cuando se omite el modo de una seal en la declaracin de la entidad se sobreentiende que es de entrada.

Tipo de seal; en VHDL, hay varios tipos de seales predefinidas por el lenguaje, tales como: TIPO BIT Booleana Caractersticas En este tipo las seales solo toman los valores de "1" y "0" En este tipo las seales solo toman los valores de True y False En este tipo las seales toman 9 valores, entre ellos tenemos: "1", "0", "Z" (para el 3er estado), "-" (para los opcionales). En este tipo las seales toman valores enteros. Los 1 y los 0 se escriben sin En este tipo los valores de las seales son una cadena de unos y ceros. Ejemplo: 1000

Std_logic

Integer Bit_Vector

En este tipo los valores de las seales son una Std_Logic_ cadena de los nueve valores permisibles para el tipo Vector std_logic. Character Contiene todos los caracteres ISO de 8 bits, donde los primeros 128 son los caracteres ASCII.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Ejemplo: 1-0Z -231 + 1 231 - 1 Integer -2 147 483 647 2 147 483 647 Bit Character Severity_level Bit_vector Integer String Boolean Real time

Oper adores Tipo U X 0 1 Z W L H Este tipo es parte del paquete IEEE 1164 Adems el usuario puede definir otros tipos de seales, lo que resulta muy conveniente en algunos casos, como en el diseo de mquinas de estados. El lenguaje VHDL concede mxima importancia a los tipos de seales, no se
Ing. Mecatronica Gonzlez Rico Brenda

Std_logic Uninitialize d Forcing Unknown Forcing 0 Forcing 1 High Impedance Weak Unknown Weak 0 Weak 1 Dont care

Electrnica Digital

admite realizar una asignacin mezclando tipos diferentes. Un PORT de una entidad y sus modos y tipos pueden ser vistos por otros mdulos que la utilicen. La operacin interna de la entidad est definida en la architecture cuya sintaxis general se muestra a continuacin. Ejemplo, escriba la declaracin de la entidad para un circuito digital con dos entradas a y b y una salida F segn se muestra en la siguiente figura. ENTITY ejemplo1 IS PORT ( a, b : IN bit; F : OUT bit ); END ejemplo1 ; Sintaxis para la definicin de la arquitectura La sintaxis para la declaracin de la arquitectura es la siguiente (aparecen en mayscula las palabras reservadas del lenguaje VHDL, pero esto no es necesario): ARCHITECTURE nombre_arquitectura OF nombre_entidad IS Declaracin de tipos Declaracin de seales. Declaracin de constantes Declaracin de componentes Definicin de funciones Definicin de procedimientos

BEGIN Enunciado concurrente ...

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Enunciado concurrente END nombre_arquitectura; Las declaraciones y definiciones que preceden al BEGIN, pueden estar presentes todas, algunas o ninguna. Esto depende del tipo de diseo que se est realizando. No obstante la declaracin de seales se utiliza mucho, pues contribuye entre otras cosas a la claridad del diseo. Nombre_entidad es el nombre de su entidad. Nombre_arquitectura es el nombre dado por el usuario a la arquitectura. Las seales externas de la arquitectura son las declaradas en el port de la entidad, no obstante una arquitectura puede contener seales y otras declaraciones que solo existen localmente en esa arquitectura. Declaraciones comunes a varias entidades pueden ser puestas en un paquete separado utilizado por todas las entidades. Las declaraciones en la arquitectura pueden aparecer en diferente orden, pero lo ms usual es comenzar por la declaracin de las seales. Signal signal-name : signal-type Variables en VHDL son similares a las seales excepto que ellas no tienen significado fsico en el circuito. En el ejemplo anterior no se puso declaracin de variables, ellas son usadas en funciones, procedimientos y procesos. Todas las seales, variables y constantes en VHDL tienen asociadas un tipo, este especifica el conjunto de valores que el objeto puede tomar. Tambin hay un conjunto de operadores tales como add, and etc, asociados con un tipo dado.

4.3.2 PALS
Las PAL (Arreglos Lgicos Programables), que como su nombre lo indica son arreglos matriciales de fusibles y diodos que mediante una cierta lgica pueden llegar a desempear cualquier funcin booleana. Su arquitectura interna consiste en trminos AND programables que alimentan trminos OR fijos. Todas las entradas a la matriz pueden ser combinadas mediante AND entre si, pero los trminos AND especficos se dedican a trminos OR especficos. Las PAL tienen una arquitectura muy popular y son probablemente el tipo de dispositivo programable por usuario ms
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

empleado. Si un dispositivo contiene macroclulas, comnmente tendr una arquitectura PAL. Las PAL son PLD que se han desarrollado para superar ciertas desventajas de la PLA, tales como los largos retardos debidos a los fusibles adicionales que resultan de la utilizacin de dos matrices programables y la mayor complejidad del circuito. Bsicamente la PAL est formada por una matriz AND programable y una matriz OR fija con la lgica de salida, esta estructura permite implementar cualquier suma de productos lgica con un nmero de variables definido, sabiendo que cualquier funcin lgica puede expresarse como suma de productos. La PAL es el dispositivo lgico programable ms comn y se implementa con tecnologa bipolar TTL o ECL.

2- Arreglos Lgicos Programables (PAL)

Un dispositivo lgico programable es aquel cuyas caractersticas pueden ser modificadas y almacenadas mediante programacin. Entre los dispositivos lgicos programables el PAL es el ms simple, son los ms populares y los ms utilizados.

El circuito interno de un PAL consiste de una matriz de conexiones, una matriz de compuertas AND programables y un arreglo de compuertas OR fijo [1]. La matriz de conexiones es una red de conductores distribuidos en filas y columnas con un fusible en cada punto de interseccin, mediante la cual se seleccionan cuales entradas del dispositivo sern conectadas al arreglo OR y as obtener una funcin lgica en forma de suma de productos. Los circuitos PAL son no reprogramables; ya que la sntesis de las ecuaciones lgicas se realiza a travs de la quema de fusibles en cada punto de interseccin de los pines de entrada con las compuertas.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

3. Estructura de la PAL En la Figura 1 se muestra la estructura interna de una PAL, en esta se observa que cada lnea de salida es conectada a tres lneas producto y por consiguiente representa una suma de tres trminos producto.

Figura 1. Estructura interna de la PAL.

Debido al arreglo OR, la representacin del PAL mostrada en la Figura 2 es ms usada que el de la Figura 1.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Figura 2. Estructura interna de la PAL estndar.

4. Funcionamiento de la PAL. Como se ha mencionado, las PAL estn formadas por una matriz de puertas AND programable conectada a una puerta OR fija. Esta estructura permite implementar cualquier suma de productos lgicos con un nmero de variables definidas y limitadas, entre otras, por el nmero de entradas y salidas que tenga el dispositivo. En la Figura 3 se muestra la estructura bsica de un PAL para tres variables de entrada y salida sin programar [2]. Cada punto de interseccin entre una fila y una columna se denomina celda y es el elemento programable de la PAL. Cada fila se conecta a la entrada de una puerta AND y cada columna a la variable de entrada o su complemento. En funcin de la presencia o ausencia de las conexiones creadas por programacin, se puede aplicar cualquier combinacin de variables de entrada o sus complementos a una puerta AND para generar cualquier operacin producto que se desee.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Figura 3. PAL con matriz AND sin programar.

La implementacin de una funcin lgica sobre un PAL, se muestra la figura 4, donde las seales que entran a la matriz son las variables de entrada y sus complementos. Cuando se requiere la conexin entre una fila y una columna, el fusible queda intacto, Cuando dicha conexin no se requiere, el fusible se abre en el proceso de programacin. La salida de la puerta OR proporciona finalmente la suma de productos. Observe que si alguna entrada de una puerta AND queda sin conectar, esta adquiere el valor del elemento neutro del producto lgico, sin afectar el resultado de dicha puerta.

Figura 4. PAL con matriz AND programada. 5. Smbolo simplificado de la PAL. Puesto que las PAL son circuitos integrados muy complejos desde el punto de vista interno, los fabricantes han adoptado una notacin simplificada para eliminar los diagramas lgicos complicados. En la Figura 5 se muestra la notacin.
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

Figura 5. Smbolo simplificado de una PAL.

Buffer de entrada: Para evitar cargar con la gran cantidad de entradas de puertas AND a las que se pueden conectar una variable o su complemento, se aade un buffer a las variables de entrada de la PAL. Un buffer inversor genera el complemento de una variable de entrada. Puertas AND: una matriz AND de una puerta PAL tpica tiene una gran cantidad de lneas de interconexin y cada puerta AND tiene entradas mltiples. El diagrama lgico de una PAL muestra cada puerta AND que realmente tienen varias entradas, utilizando una sola lnea para representar a todas las lneas de entrada. Conexiones de una PAL: Para obtener un diagrama lo ms sencillo posible, los fusibles de una matriz AND programable se indican mediante una X en el punto de interseccin si el fusible queda intacto y no se indica nada s el fusible esta fundido. Las conexiones fijas emplean el punto estndar. 6. Diagrama de bloque de una PAL. Las salidas de la matriz AND son las entradas de la matriz OR y la salida de cada puerta OR se asocia a una variable lgica de salida. Una PAL tpica tiene ocho o ms entradas en la matriz AND y hasta ocho bloques lgicos de salida como se muestra en la Figura 6 [3].

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Figura 6. Diagrama de bloque de una PAL.

Algunas PAL disponen de pines de entrada/salida (E/S) combinados, que se pueden programar como salida o como entrada. La presencia de estos pines es muy til cuando se desea, por ejemplo, realizar una realimentacin entre variables de salida y entrada como es el caso del diseo de un biestable.

4.3.3 GALs.
AL (Generic Array Logic), en espaol Arreglo Lgico Genrico, son un tipo de circuito integrado, de marca registrada por Lattice Semiconductor, que ha sido diseados con el propsito de sustituir a la mayora de las PAL, manteniendo la compatibilidad de sus terminales. Los dispositivos GALs, surgen como respuesta a la necesidad de incrementar las interconexiones internas de los dispositivos, teniendo sus salidas conectadas a macroceldas, las cuales pueden ser configuradas a sistemas combinatorios o de lgica con registros. Las estructuras GAL son, bsicamente estructuras CMOS PAL, son bsicamente la misma idea que la PAL pero en vez de estar formada por una red de conductores ordenados en filas y columnas en las que en cada punto de interseccin hay un fusible, el fusible se reemplaza por una celda CMOS elctricamente borrable (EECMOS). Mediante la programacin se activa o desactiva cada celda EECMOS y se puede aplicar cualquier combinacin de variables de entrada, o sus
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

complementos, a una compuerta AND para generar cualquier operacin producto que se desee. Una celda activada conecta su correspondiente interseccin de fila y columna, y una celda desactivada desconecta la interseccin. Las celdas se pueden borrar y reprogramar elctricamente. ESTRUCTURA DEL GAL El GAL bsicamente est formado por una matriz AND reprogramable y una matriz OR fija con configuracin programable de salidas y/o entradas. (ver figura 3). Las estructuras GAL son estructuras PAL construidas con tecnologa CMOS, y fueron comercializadas por primera vez en 1984 por Lattice Semiconductor. Como se ha mencionado, son programables y borrables elctricamente. Son reprogramables y ms flexibles, a la salida de la matriz AND/OR hay un circuito ms complejo con selectores y flip-flops que permiten implementar ecuaciones ms complejas. Hay distintas arquitecturas segn la versin del fabricante. La Figura 4 presenta un ejemplo de una GAL (GAL22V10). Donde el circuito a la salida de la matriz se denomina macrocelda y tienen una escala de integracin baja/media. El Terminal puede funcionar como entrada o salida segn la programacin. Los trminos productos se dibujan todos sobre una sola lnea para simplificar el diagrama. Un terminal en modo salida puede reflejar la salida Q y Q negada del flip-flop para circuitos secuenciales o la entrada D y negada para circuitos combinacionales. De modo similar puede realimentar el terminal de salida o la salida Q negada del flip flop hacia otros trminos. Las GAL se usan para circuitos lgicos sencillos y de complejidad media. La macrocelda, en el idioma ingls es por sus siglas OLMC (Output Logic MacroCells), contienen puertas OR y lgica programable, circuitos lgicos que

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

se pueden programar como lgica combinacional o lgica secuencial (flip-flops, contadores y registros).

Figura 4, Esquematico de la GAL22V10

CONSTRUCCION DEL GAL Basada en la estructura bsica mostrada anteriormente, la construccin de los dispositivos GALs se diferencian por sus caractersticas de entradas, salidas y configuracin interna de las macroceldas empleadas, por ejemplo detallemos el GAL 16V8, donde ciertas localidades en el arreglo de memoria son diseadas para controlar las conexiones programables a la matriz de los trminos de entrada, cada bit en esta matriz representa una conexin programable entre un rengln y una columna. Afortunadamente, no es necesario buscar dentro de las direcciones de cada localidad de bit en la matriz. El software de programacin cuida de estos detalles en una manera amigable. El diagrama lgico completo del GAL 16V8 se muestra en la figura 5. Este dispositivo tiene ocho terminales de entrada dedicadas (terminales 2-

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

9), dos con funciones especiales (1 y 11), y ocho (12-19) que pueden ser usadas como entradas o salidas. La mayora de los componentes de las GALs son; la matriz de los trminos de entrada, las compuertas AND (las cuales generan los trminos producto de entrada), y las macroceldas lgicas de salida (OLMCs, Output Logic Macro Cells). Hay que notar que las 8 terminales de entrada son conectados directamente a una columna de la matriz de trminos de entrada, y as cada complemento de entrada es conectado a est. Estas terminales siempre sern especificadas como entradas cuando se programa el dispositivo. Un nivel lgico y su complemento son siempre retroalimentados de cada macro a una columna de la matriz de entrada. La fuente de estos niveles lgicos es determinada por la configuracin de cada macro. Con esto, da un total de 32 variables de entrada (columnas en la matriz de entrada) que pueden ser programadas como conexiones a las 64 compuertas AND de entradas mltiples. En la matriz de entrada, cualquier columna puede ser conectada a un rengln de entrada durante el proceso de programacin.

La flexibilidad del GAL 16V8 consiste en su macrocelda lgica de salida programable (ver figura 6). Ocho productos diferentes (salidas de las compuertas AND) son aplicadas como entradas a cada una de las ocho macroceldas. Dentro de cada macro los productos son enviados a una compuerta OR al mismo tiempo para generar la suma de productos (SOP, sum of products). Recordando, cualquier funcin puede ser expresada como una forma de SOP. Dentro de la macro, la salida del SOP puede ser enrutado a la terminal de salida para implementar un circuito combinacional, o como entrada a un flip-flop tipo D para implementar un circuito con salida registrada.

4.3.4 FPGAs.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Una FPGA (del ingls Field Programmable Gate Array) es un dispositivo semiconductor que contiene bloques de lgica cuya interconexin y funcionalidad puede ser configurada 'in situ' mediante un lenguaje de descripcin especializado. La lgica programable puede reproducir desde funciones tan sencillas como las llevadas a cabo por una puerta lgica o un sistema combinacional hasta complejos sistemas en un chip. Las FPGAs se utilizan en aplicaciones similares a los ASICs sin embargo son ms lentas, tienen un mayor consumo de potencia y no pueden abarcar sistemas tan complejos como ellos. A pesar de esto, las FPGAs tienen las ventajas de ser reprogramables (lo que aade una enorme flexibilidad al flujo de diseo), sus costes de desarrollo y adquisicin son mucho menores para pequeas cantidades de dispositivos y el tiempo de desarrollo es tambin menor. Ciertos fabricantes cuentan con FPGAs que slo se pueden programar una vez, por lo que sus ventajas e inconvenientes se encuentran a medio camino entre los ASICs y las FPGAs reprogramables. Histricamente las FPGA surgen como una evolucin de los conceptos desarrollados en las PAL y los CPLD. Una jerarqua de interconexiones programables permite a los bloques lgicos de un FPGA ser interconectados segn la necesidad del diseador del sistema, algo parecido a un breadboard (es una placa de uso genrico reutilizable o semi permanente) programable. Estos bloques lgicos e interconexiones pueden ser programados despus del proceso de manufactura por el usuario/diseador, as que el FPGA puede desempear cualquier funcin lgica necesaria. Una tendencia reciente ha sido combinar los bloques lgicos e interconexiones de los FPGA con microprocesadores y perifricos relacionados para formar un Sistema programable en un chip. Ejemplo de tales tecnologas hbridas pueden ser encontradas en los dispositivos Virtex-II

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

PRO y Virtex-4 de Xilinx, los cuales incluyen uno o ms procesadores PowerPC embebidos junto con la lgica del FPGA. El FPSLIC de Atmel es otro dispositivo similar, el cual usa un procesador AVR en combinacin con la arquitectura lgica programable de Atmel. Otra alternativa es hacer uso de ncleos de procesadores implementados haciendo uso de la lgica del FPGA. Esos ncleos incluyen los procesadores MicroBlaze y PicoBlaze de Xlinx, Nios y Nios II de Altera, y los procesadores de cdigo abierto LatticeMicro32 y LatticeMicro8. Muchos FPGA modernos soportan la reconfiguracin parcial del sistema, permitiendo que una parte del diseo sea reprogramada, mientras las dems partes siguen funcionando. Este es el principio de la idea de la computacin reconfigurable, o los sistemas reconfigurables. En la FPGA no se realiza programacin tal cual como se realiza en otros dispositivos como DSP, CPLD o microcontroladores. La FPGA tiene celdas que se configuran con una funcin especfica ya sea como memoria (FLIP-FLOP tipo D), como multiplexor o con una funcin lgica tipo AND, OR, XOR. La labor del "programador" es describir el hardware que tendr la FPGA. Por consiguiente, la tarea del "programador" es definir la funcin lgica que realizar cada uno de los CLB, seleccionar el modo de trabajo de cada IOB e interconectarlos. El diseador cuenta con la ayuda de entornos de desarrollo especializados en el diseo de sistemas a implementarse en un FPGA. Un diseo puede ser capturado ya sea como esquemtico, o haciendo uso de un lenguaje de programacin especial. Estos lenguajes de programacin especiales son conocidos como HDL o Hardware Description Language (lenguajes de descripcin dehardware). Los HDLs ms utilizados son:

VHDL Verilog ABEL

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

En un intento de reducir la complejidad y el tiempo de desarrollo en fases de prototipaje rpido, y para validar un diseo en HDL, existen varias propuestas y niveles de abstraccin del diseo. Los niveles de abstraccin superior son los funcionales y los niveles de abstraccin inferior son los de diseo al nivel de componentes hardware bsicos. Entre otras, National InstrumentsLabVIEW FPGA propone un acercamiento de programacin grfica de alto nivel.

4.3.5 Aplicaciones
Cualquier circuito de aplicacin especfica puede ser implementado en un FPGA, siempre y cuando esta disponga de los recursos necesarios. Las aplicaciones donde ms comnmente se utilizan los FPGA incluyen a los DSP (procesamiento digital de seales), radio definido por software, sistemas aeroespaciales y de defensa, prototipos de ASICs, sistemas de imgenes para medicina, sistemas de visin para computadoras, reconocimiento de voz, bioinformtica, emulacin de hardware de computadora, entre otras. Cabe notar que su uso en otras reas es cada vez mayor, sobre todo en aquellas aplicaciones que requieren un alto grado de paralelismo.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Capitulo cinco Principios, prcticas y ejemplos de diseo de circuitos secuenciales.

5.1 Generador de pulsos


El generador de pulsos es ampliamente utilizado en aplicaciones digitales como el corazn del circuito ya que permite que estos funcionen.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Tambin se puede utilizar como modulador de ancho de pulsos para controlar motores, luces, etc.

5.1.1 Concepto
Es un circuito que es capaz de convertir la corriente continua en una corriente que vara de forma peridica en el tiempo; estas oscilaciones pueden ser senoidales, cuadradas, triangulares, etc., dependiendo de la forma que tenga la onda producida. Un oscilador de onda cuadrada suele denominarse multivibrador.

Circuito integrado 555 El circuito integrado 555 es de bajo costo y de grandes prestaciones. Inicialmente fue desarrollado por la firma Signetics. En la actualidad es construido por muchos otros fabricantes. Entre sus aplicaciones principales cabe destacar las de multivibrador astable y monoestable. Adems de ser tan verstil contiene una precisin aceptable para la mayora de los circuitos que requieren controlar el tiempo, su funcionamiento depende nicamente de los componentes pasivos externos que se le interconectan al microcircuito 555.

5.5.2 Configuraciones.
El 555 puede funcionar con fuentes de alimentacin que van de los +5 a +18 V. Se puede considerar que el temporizador 555 es un bloque funcional formado por 2 comparadores, dos transistores, tres resistencias iguales, un multivibrador biestable (flip-flop) y una etapa de salida.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital Fig. 1, bloque funcional del 555

El temporizador 555 por si solo produce un rango mximo de aproximadamente de 15 minutos. Existen dos modos de configuracin del 555 el modo monoestable y el estable. En la fig. 2 a, se puede ver el funcionamiento del 555 en modo astable. En este el voltaje de salida pasa de un estado alto a uno bajo y repite el ciclo. El tiempo durante el cual la salida es alta, o baja, se determina por medio del circuito de resistencia y el capacitor que se conectan externamente al temporizador 555. Cuando el temporizador funciona como modo monoestable, Fig. 2 b, el voltaje de salida es bajo, hasta que el pulso de disparo negativo se aplica al temporizador; en este momento el voltaje de salida pasa a un nivel alto. El tiempo de durante el que la salida permanece en este nivel alto est determinado por una resistencia y un capacitor conectados al temporizador.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Al termino del intervalo de temporizacin, el nivel de voltaje se salida vuelve a un nivel bajo.

5.1.3 Seal de reloj.


Seal de reloj Una seal de reloj (en ingls clock signal, o simplemente clock) es en la electrnica digital una seal binaria, que sirve para coordinar las acciones de varios circuitos, en especial para la sincronizacin de biestables en sistemas digitales complexos. Segn su aplicacin, la seal de reloj se puede repetir con una frecuencia predefinida o tambin ser aperidica. En los casos en los que hay una seal de reloj, suele darse por medio de un generador de reloj. La seal oscila entre un estatus alto y bajo, que se caracteriza por un perodo de oscilacin o bien por un valor de cambio, la frecuencia de reloj y el ciclo de trabajo. Los circuitos que utilizan la seal de reloj para sincronizarse, pueden, segn su construccin, basarse en el flanco ascendente o en el descendente de la seal (en el caso de DDR se utilizan ambos flancos). En hojas tcnicas y diagramas a la seal de reloj se le suele describir como CLK. La mayora de circuitos integrados complejos requieren una seal de reloj, para sincronizar diferentes partes del chip y equilibrar los retrasos de las puertas. Dado que los chips son cada vez ms complejos, es cada vez ms difcil proveer de una seal de reloj precisa y homognea en todos los sitios. Ejemplo ilustrativo de este problema son los microprocesadores, componente central de los ordenadores modernos. Para los transistores se suele indicar la frecuencia, hasta la que es posible amplificar una pequea seal. sta suele ser diez veces mayor a la frecuencia de reloj.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Frecuencia de reloj de los procesadores

Bajo el trmino frecuencia de reloj se da a entender el ritmo con el que se tramitan los datos en un ordenador, y se especifica en herzios. Dado que la frecuencia de los procesadores modernos alcanza los miles de millones de herzios, las cantidades suelen representarse con la ayuda de prefijos como giga (G) para miles de millones y mega (M) para millones (mientras que para los sistemas embebidos an es frecuente hablar de kHz). Por ejemplo, una frecuencia de reloj de 1 GHz significa un perodo de reloj de un nanosegundo. El flujo de datos de un procesador resulta de la frecuencia de reloj y del flujo de datos en su conexin con la memoria principal. Las prestaciones de clculo (medidas por ejemplo en MIPS o en FLOPS) no slo depende de la frecuencia de reloj, sino tambin de la arquitectura de todo el procesador. Incluso aquellos procesadores que utilizan el mismo conjunto de instrucciones, pueden contar con prestaciones muy diferentes a pesar de tener la misma frecuencia de reloj, motivadas, por ejemplo, por la cantidad de IPC (instrucciones por ciclo), por caractersticas propias del fabricante (por ejemplo, ampliaciones de SIMD) o por el ya mencionado flujo de la memoria. El flujo de IPC especifica cuantas instrucciones puede ejecutar un procesador gracias a hacerlas en paralelo. Un procesador con un flujo de IPC mayor, consigue por ello ms operaciones de clculo por cada ciclo de reloj y calcula de forma ms rpida.

5.2 Flip-Flop.
A diferencia de los circuitos lgicos combinacionales, los circuitos secuenciales tiene memoria; pueden reflejar en su salida el efecto de una seal de entrada que hubo segundos o das antes. Los circuitos AND, OR y NOT funcionan sin memoria. Por ejemplo, en el caso del circuito AND, una salida lgica 1 es obtenida nicamente durante el
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

tiempo que todas las entradas estn simultneamente en lgica 1. Si cada entrada pasa por lgica 1 de una manera secuencial, no-simultnea, la salida permanecer aqu la necesidad en lgica 0. De de un circuito electrnico que se pueda colocar en uno cualquiera de los dos estados lgicos indefinidamente, hasta que sea intencionalmente pasado al estado contrario. Tal circuito es conocido como BIESTABLE, o simplemente FLIP-FLOP. El biestable, en efecto, provee una memoria, ya que puede "recordar" el ltimo estado en el que haba sido colocado. Los circuitos flip-flops desempean un papel muy importante en la electrnica digital. Ellos son usados para medir frecuencia, computar el tiempo, dividir trenes de pulsos por una constante fija, generar seales en secuencia, memorizacin de registros(words), etc. Aunque hay muchas clases de flip-flop, todos ellos tienen por fin primordial almacenar un bit binario, representado por un estado elctrico alto o bajo. El circuito secuencial ms simple es un Flip-Flop tipo RS.

5.2.1 Flip-Flop R-S


Un RS flip-flop llamado algunas veces un "set-reset" flip-flop, es un circuito con dos entradas y dos salidas. Las salidas son complementos entre s, o sea que, cuando la una est en alto, la otra estar en bajo. Deriva su nombre del hecho de poder quitar (reset) y poner (set) el estado alto en la salida Q. cuando se aplique un pulso en cada una de las dos entradas: un pulso alto en la entrada S (set) quita, "borra", el pulso alto puesto en anterioridad en Q. En la prctica la polaridad del pulso de manejo depender del tipo de compuertas con las cuales se haya implementado el RS flip-flop. De las tablas de verdad podemos deducir su funcionamiento teniendo en cuenta que, cuando Q tiene lgica 1, el flip-flop se considera "set" (puesto), y cuando Q tiene lgica 0 es porque el flop-flop est "reset" (cleared, borrado).

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Las dos salidas Q y no-Q son simtricas(iguales) en lo que a caractersticas elctricas se refiere, por lo que, de acuerdo con las circunstancias, se podr tomar una u otra como tal.

CLOCKED RS FLIP-FLOP

El flip-flop RS bsico es "asynchronous" (no-sincronizado), responde a las entradas tan pronto como ellas ocurren; muestra cambios en la salida cada que se presentan cambios en Set y Reset. En ciertos procesos se requiere "tosynchronize" (sincronizar) la operacin del RS flip-flop, de tal manera que slo se produzcan cambios en la salida cuando se cumpla cierto requisito anterior. En caso contrario, las acciones se SET y RESET no deben alterar la salida Q. Una manera de sincronizar la operacin de un RS Flip-Flop con otros circuitos lgicos, es colocar una compuerta a cada entrada, de tal forma que ellas respondan a S y R solamente cuando sean "habilitadas" (capacitadas) por un lgico 1 (nivel alto) procedente de un CLOCK. (un clock es un circuito secuencial generador de un tren de pulsos, "ceros" y "unos" de manera alternada). La figura 14 muestra un clocked Rs flip-flop(controlado, no sincronizado).

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

5.2.2 Flip-Flop J-K.


Probablemente es el flip-flop ms usado en los circuitos secuenciales lgicos, por su capacidad para CONTAR y DIVIDIR. Entrega un pulso completo de salida por cada dos pulsos de entrada, caracterstica tenida en cuenta por muchos para denominarlo, tambin, "toggle"(basculante, ondulante, Si - No Si - No, etc.) flip-flop, o sencillamente un T flip-flop. Bsicamente, el JK flip-flop es un biestable RS flip-flop con compuertas (clocked) dispuestas de tal forma que la accin PONER - QUITAR (set - reset) sea llevada a cabo por una sola lnea de entrada.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Bsicamente, se puede considerar el JK flip-flop como un circuito biestable con una sola entrada y dos salidas, completamente entre s. En la prctica, el circuito integrado dispone de dos entradas auxiliares, marcadas J y K, dispuestas para "condicionar}" el estado que debe tomar la salida a partir del momento que llegue la prxima transicin activa del pulso clock.

La figura 16 muestra el circuito lgico y tabla de verdad para este flip-flop. Se puede observar que, de acuerdo a como se encuentren las entradas J y K, la salida Q puede operar como toggle o quedarse indefinidamente en un determinado estado, sin importar los pulsos en la entrada clock. Cuando se utiliza al flip-flop como contador o divisor, se pueden dejar libres las entradas J y K; en este caso, los pulsos cuya frecuencia se desea modificar, se deben poner en la entrada correspondiente al clock.

RESETABLE JK FLIP - FLOP

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Muchas veces se requiere flip-flops tipo JK que puedan ser Set y Reset a estados conocidos, antes de que ellos inicien su uso en un proceso particular. Por ejemplo, si se dispone de varios flip-flops para efectuar un trabajo en equipo, es prcticamente un requisito poderlos colocar con todas sus salidas en un nivel igual, lgico 1 o lgico =. Para esta funcin, el integrado tiene dos terminales auxiliares, marcados PRESET (PR) y CLEAR (CLR). El preset coloca en estado lgico 1 en la salida Q cuando es alimentado con un pulso bajo; si esto se hace con la entrada clear, el la salida Q aparece un estado lgico 0. se considera que las entradas preset y clear estn en un nivel inactivo, cuando se las mantiene en alto(libres, "al aire"). A las entradas "clear" y "preset" se les conoce como "entradas de control prioritario", a las entradas "j" y "k" se les denomina "entradas de control simple".

5.2.3 Flip-Flop T
El flip-flop T se obtiene del tipo JK cuando las entradas J y K se conectan para proporcionar una entrada nica designada por T. El flip-flop T, por lo tanto, tiene slo dos condiciones. Cuando T = 0 ( J = K = 0) una transicin de reloj no cambia el estado del flip-flop. Cuando T = 1 (J = K = 1) una transicin de reloj complementa el estado del flip-flop. Su unidad bsica se dibuja a continuacin que, como acta por "niveles" de amplitud (0-1) recibe el nombre de Flip-Flop T activado por nivel (FF-T-AN). Cuando no se especifica este detalle es del tipo Flip-Flop T maestro-esclavo (FF-T-ME). Su ecuacin y tabla de funcionamiento son Q=Tq

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

A partir del FF-RS-AN puede disearse este FF-T-AN siguiendo los pasos mostrados anteriormente, pero no tiene sentido ya que al ser activado por nivel no tiene utilidad.

5.2.4 Flip-Flop D
El flip-flop D (datos) es una ligera modificacin del flip-flop SR. Un flip-flop SR se convierte a un flip-flop D insertando un inversor entre S y R y asignando el smbolo D a la entrada nica. La entrada D se muestra durante la ocurrencia de uan transicin de reloj de 0 a 1. Si D = 1, la salida del flip-flop va al estado 1, pero si D = 0, la salida del flip-flop va a el estado 0. Su unidad bsica se dibuja a continuacin que, como acta por "niveles" de amplitud (0-1) recibe el nombre de Flip-Flop D activado por nivel (FF-D-AN). Cuando no se especifica este detalle es del tipo Flip-Flop D maestro-esclavo (FF-D-ME) comnmente denominado tambin Cerrojo Latch. Su ecuacin y tabla de funcionamiento son

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Q=D

A partir del FF-RS-AN puede disearse este FF-D-AN siguiendo los pasos mostrados anteriormente, pero no tiene sentido ya que al ser activado por nivel no tiene utilidad.

Flip-flop D El flip-flop D mostrado en la figura anterior es una modificacin del flip-flop RS sincronizado. Las compuertas NAND 1 y 2 forman el flip-flop bsico y las compuertas 3 y 4 las modifican para conformar el flip-flop RS sincronizado. La entrada D va directamente a la entrada S y su complemento se aplica a la entrada R a travs de la compuerta 5. Mientras que el pulso de reloj de entrada sea un 0, las compuertas 3 y 4 tienen un 1 en sus salidas, independientemente del valor de las otras entradas. Esto esta de acuerdo a los requisitos de que las dos entradas del flip-flop bsico NAND permanezcan inicialmente en el nivel de 1. La entrada D se comprueba durante la ocurrencia del pulso de reloj. Si es 1, la salida de la compuerta 3 va a 0, cambiando el flip-flop al estado de puesta a uno (a no ser que ya este en ese

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

estado). Si en 0, la salida de la compuerta 4 va a 0, cambiando el flip-flop al estado de borrado. Flip-flop D temporizado El flip-flop tipo D recibe su nombre por la habilidad de transmitir "datos" a un flip-flop. Es bsicamente un flip-flop RS con un inversor en la entrada R. el inversor agregado reduce el numero de entradas de dos a uno. Este tipo de flip-flop se llama algunas veces bloqueador D con compuertas o flip-flop de bloqueo. La entrada CP se le da a menudo la designacin variable G (de gate) para indicar que esta entrada esta habilita el flip-flop de bloqueo para hacer posible que los datos entren al mismo. El smbolo para el flip-flop D sincronizado se muestra en la figura. La tabla caracterstica se lista en la parte (c) y la ecuacin caracterstica se lista en la parte (d). la ecuacin caracterstica muestra que el siguiente estado del flipflop es igual a la entrada D y es independiente del valor del presente estado.

5.2.5 Flip-Flop maestro-esclavo.


Todos los cuatro FF-AN pueden implementarse siguiendo las rdenes de un FF-D-AN a su entrada como muestra el dibujo esquemtico. El FF-D hace de puerta (Cerrojo). Cada pulso en el clock har que la seal entre al sistema (como salida del FF-D-AN) y salga la misma a la salida final respetando la tabla de verdad del FF esclavo. As, si el esclavo es un FF-X-AN, todo el conjunto se comporta como un FF-X-ME aqu X puede ser un FF o bien tambin un sistema secuencial complejo.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Accesorios de los Flip-Flop Los Flip-Flop, normalmente y si no se especifica otro detalle, son siempre Maestro-Esclavo, y suelen traer patas accesorias combinacionales. Nombramos las siguientes: Reset pone a cero Q Set pone a 1 a Q Clock Inhibicin inhibe (no deja pasar) la entrada de seal

5.3 Tablas caractersticas, de estados y de exitacin de los FlipFlops. 5.3.1 Flip Flop tipo S R
La operacin del FF S R disparado por flanco es similar a la operacin analizada anteriormente, con la diferencia de que el cambio de estado se efecta en el flanco de bajada del pulso de reloj. El estado S=R=1 sigue siendo un estado prohibido. La tabla caracterstica resume el comportamiento del FF tipo S R disparado por flanco negativo.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

5.3.2 Flip Flop tipo J K


La operacin de un FF tipo J K es muy similar a la de un FF S C. La nica diferencia es que no tiene un estado invalido. Para la condicin J=K=1 el FF complementa el estado presente. La tabla caracterstica resume el comportamiento del FF tipo J K disparado por flanco negativo.

5.3.3 Flip Flop tipo T

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Solo posee una entrada adems de la del reloj. Se le denomina "toggle". Si hay un 0 en la entrada T, cuando se aplica el pulso de reloj la salida mantiene el valor del estado presente. Si hay un 1 se complementa La tabla caracterstica resume el comportamiento del FF tipo T disparado por flanco negativo. Para el caso de los FF disparados por flanco positivo la diferencia es que el cambio de estado ocurre en la subida del pulso de reloj. La diferencia bsica entre flip flops disparados por flanco y los disparados por nivel, analizados en la guia anterior es que en los disparados por flanco los cambios se efectuan en el frente de bajada o en el de subida del pulso de reloj, y aunque las entradas cambien de valor durante la duracin del pulso, no se efectuan cambios hasta el siguiente pulso de reloj. En los flip flops disparados por nivel en cambio el flip flop responde a los cambios de las entradas mientras el pulso de reloj est en 1. En cuanto a la representacin los FF disparados por nivel no poseen el simbolo > en la entrada de reloj.

5.3.4 Flip Flop tipo D

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

La operacin de un FF tipo D es mucho ms simple. Solo posee una entrada adems de la del reloj. Se le denomina "data" y es muy til cuando queremos almacenar un dato de un bit (0 o 1). Si hay un 1 en la entrada D cuando se aplica el pulso de reloj la salida Q toma el valor de 1 (SET) y lo almacena. Si hay un 0 en la entrada D, cuando se aplica el pulso de reloj la salida toma el valor de 0 (RESET) y lo almacena. El cambio en la salida del FF se efecta en el flanco de bajada del reloj. La tabla caracterstica resume el comportamiento del FF tipo D disparado por flanco negativo.

5.4 Diagramas de Estados.


Diagrama de Estados: Es una representacin grfica del comportamiento del sistema, mostrando cada combinacin de entrada y cada estado, de la misma forma muestra el resultado de la salida y el valor del estado siguiente despus de un pulso de clock.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

5.5 Ecuaciones de estados. Ecuaciones de estado Un circuito secuencial queda completamente determinado si en cada estado presente en que se encuentre se conoce. 1. Los valores de las seales de salida del circuito y 2. El estado siguiente al cual pasa el circuito cuando ocurra un pulso de reloj. Como el estado del circuito secuencial es el conjunto de estados de los flipflops individuales, para conocer el estado siguiente del circuito se debe conocer el estado siguiente de cada uno de los flip-flops. Una ecuacin de estado es una expresin algebraica que proporciona el estado siguiente de un flip-flop particular en funcin del estado presente en un circuito secuencial y de los valores que tengan las seales de entrada al mismo. El lado izquierdo de la ecuacin denota el estado siguiente y el lado derecho es una funcin de Boole que evaluada produce el valor del estado siguiente del flip-flop. Por ejemplo, la ecuacin de estado A(t+1) = xAB + xAB es la ecuacin de estado del flip-flop A de un circuito secuencial con dos flip-flops A y B y una sola seal de entrada: x. La ecuacin anterior nos dice que el estado siguiente del flip-flop A, A(t+1), es 1 si: 1. el estado presente en el circuito es el estado 01 y x=0, 2. el estado presente en el circuito es el estado 00 y x=1. En cualquier otra condicin, el estado siguiente del flip-flop A ser el estado 0.

5.6 Principales configuraciones en MSI


Ing. Mecatronica

circuitos

secuenciales

sus

Gonzlez Rico Brenda

Electrnica Digital

5.6.1 Contadores
Los contadores son circuitos secuenciales capaces de recorrer una secuencia previamente especificada de estados. Reciben un tren de impulsos y responden con una sucesin de estados correspondientes a la representacin en binario del nmero de impulsos recibidos desde que se inici el ciclo.

Contadores asncronos. Compuestos por bsculas JK con J=K=1 (bsculas T) de forma que la entrada de reloj entra en la primera bscula (bit de menor peso) y el reloj del resto delas bsculas es la salida Q de la bscula anterior. Esto provoca el sentido asncrono del contador, ya que cuando entra el impulso de reloj a la primera bscula esta empieza a bascular, pero la siguiente no bascular hasta que no lo haya hecho la anterior. Este efecto provoca una reaccin que se va aadiendo de bscula a bscula y por lo tanto el tiempo de cambio de un estado al otro puede ser el resultado de acumular los tiempos de transicin del nmero de bsculas que intervienen en dicho cambio. Contadores sncronos: Inconvenientes de los contadores asncronos.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

La frecuencia mxima de trabajo depende de la suma de los retardos que introducen los biestables que lo componen. Los estados estables no se alcanzan siempre al mismo tiempo. Estos inconvenientes se solucionan utilizando contadores sncronos, en los cuales el reloj de entrada se conecta a la entrada de reloj de todas las bsculas, de forma que la transicin de ellas se produce en funcin de los valores que se introduzcan en sus entradas de datos. De esta manera cuando el contador adquiere un estado (estable) posiciona las entradas de las bsculas antes de que se produzca la entrada del siguiente ciclo de reloj. Cuando esta aparezca todas, las bsculas comenzarn simultneamente el proceso de cambio (si es que se ha de producir segn los valores de sus entradas).

5.6.2 Registros
Un registro es circuito digital con dos funciones bsicas: Almacenamiento de datos. Movimiento de datos. Con tales funciones una cuestin elemental es el modo de introducir y el modo de sacar dicha informacin. Teniendo en cuenta que hay dos maneras de manipular los datos: serie/paralelo; ello da lugar a tener diferentes configuraciones de registros en funcin de la entrada y la salida de dichos datos: Entrada serie / Salida serie. Entrada serie / Salida paralelo. Entrada paralelo / Salida serie. Entrada paralelo / Salida paralelo.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Aplicaciones de los registros. Se utilizan donde se necesiten un almacenamiento temporal de informacin, por ejemplo, conectados a las salidas de circuitos combinacionales aritmticos para recoger el resultado de una operacin, para almacenar operaciones intermedias o proporcionar informacin estable a un sistema de representacin por displays. Los distintos registros comparten lneas comunes llamadas buses tanto para recoger la informacin del bus como para volcar la informacin al bus, pero no todos los registros a la vez, sino uno y despus otro.

5.7 Registros con aplicaciones de memoria.


Los registros del procesador se emplean para controlar instrucciones en ejecucin, manejar direccionamiento de memoria y proporcionar capacidad aritmtica. Los registros son espacios fsicos dentro del microprocesador con capacidad de 4 bits hasta 64 bits dependiendo del microprocesador que se emplee. Los registros son direccionables por medio de una vieta, que es una direccin de memoria. Los bits, por conveniencia, se numeran de derecha a izquierda (15,14,13. 3,2,1,0), los registros estn divididos en seis grupos los cuales tienen un fin especifico. Los registros se dividen en:

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

Registros de segmento Registros de apuntadores de instrucciones Registros apuntadores Registros de propsitos generales Registro ndice Registro de bandera. Registros de segmento. Un registro de segmento se utiliza para alinear en un limite de prrafo o dicho de otra forma codifica la direccin de inicio de cada segmento y su direccin en un registro de segmento supone cuatro bits 0 a su derecha. Un registro de segmento tiene 16 bits de longitud y facilita un rea de memoria para direccionamientos conocidos como el segmento actual. Los registros de segmento son:

Registro CS Registro DS Registro SS Registro ES Registro FS y GS Registro CS. El DOS almacena la direccin inicial del segmento de cdigo de un programa en el registro CS. Esta direccin de segmento, mas un valor de desplazamiento en el registro de apuntado de instruccin (IP), indica la
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

direccin de una instruccin que es buscada para s ejecucin. Para propsito de programacin normal, no e necesita referenciar el registro CS.

Registro DS. La direccin inicial de un segmento de datos de programa es almacenada en el registro DS. En trminos sencillos, esta direccin, mas un valor de desplazamiento en una instruccin, genera una referencia a la localidad de un bytes especifico en el segmento de datos. Registro SS. El registro SS permite la colocacin en memoria de una pila, para almacenamiento temporal de direcciones y datos. El DOS almacena la direccin de inicio del segmento de pila de un programa en el registro SS. Esta direccin de segmento, ms un valor de desplazamiento en el registro del apuntador de la pila (SP), indica la palabra actual en la pila que est siendo direccionada. Para propsitos de programacin normal, no se necesita referenciar el registro SS. Registro ES Algunas operaciones con cadenas de caracteres (datos de caracteres) utilizan el registro esta de segmento para manejar el direccionamiento de memoria. En este contexto, el registro ES esta asociado con el registro DI (ndice) . un programa que requiere el uso del registro ES puede inicializarlo con una direccin apropiada. Registros FS y GS. Son registros extra de segmento en los procesadores 80386y posteriores a estos procesadores.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

5.8 Dispositivos lgicos programables con aplicaciones secuenciales


El siguiente paso consiste en integrar todo el sistema secuencial en un solo integrado, es decir, la lgica combinacional y los elementos de memoria. Por lo tanto, las principales caractersticas de estos elementos sern el nmero de entradas, el nmero de trminos producto, el nmero de biestables y el nmero de salidas, as como el tipo de biestables que utilizan (del cual van a depender las funciones de prximo estado).

5.8.1 Lenguaje de descripcin de hardware (VHDL).


Es un lenguaje definido por el IEEE (Institute of Electrical and Electronics Engineers) (ANSI/IEEE 1076-1993) usado por ingenieros para describir circuitos digitales. Otros mtodos para disear circuitos son la captura de esquemas (con herramientas CAD) y los diagramas de bloques, pero stos no son prcticos en diseos complejos. Otros lenguajes para el mismo propsito son Verilog y ABEL. Aunque puede ser usado de forma general para describir cualquier circuito se usa principalmente para programar PLD (Programable Logic Device Dispositivo Lgico Programable), FPGA (Field Programmable Gate Array), ASIC y similares. Formas de describir un circuito Dentro del VHDL hay varias formas con las que podemos disear el mismo circuito y es tarea del diseador elegir la ms apropiada. Funcional: Describimos la forma en que se comporta el circuito. Esta es la forma que ms se parece a los lenguajes de software ya que la descripcin es secuencial. Estas sentencias secuenciales se encuentran dentro de los
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

llamados procesos en VHDL. Los procesos son ejecutados en paralelo entre s, y en paralelo con asignaciones concurrentes de seales y con las instancias a otros componentes. Flujo de datos: describe asignaciones concurrentes (en paralelo) de seales. Estructural: se describe el circuito con instancias de componentes. Estas instancias forman un diseo de jerarqua superior, al conectar los puertos de estas instancias con las seales internas del circuito, o con puertos del circuito de jerarqua superior. Mixta: combinacin de todas o algunas de las anteriores. En VHDL tambin existen formas metdicas para el diseo de mquinas de estados, filtros digitales, bancos de pruebas etc.

5.8.2 PALs.
Las PAL son dispositivos de matriz programable. La arquitectura interna consiste en trminos AND programables que alimentan trminos OR fijos. Todas las entradas a la matriz pueden ser combinadas mediante AND entre si, pero los trminos AND especficos se dedican a trminos OR especficos. Las PAL tienen una arquitectura muy popular y son probablemente el tipo de dispositivo programable por usuario ms empleado. Si un dispositivo contiene macroclulas, comnmente tendr una arquitectura PAL. Las macroclulas tpicas pueden programarse como entradas, salidas, o entrada/salida (e/s) usando una habilitacin tri-estado. Normalmente tienen registros de salida que pueden usarse o no conjuntamente con el pin de e/s asociado. Otras macroclulas tiene ms de un registro, varios tipos de retroalimentacin en las matrices, y ocasionalmente realimentacin entre macroclulas.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

5.8.3 GALs.
Las GAL son dispositivos de matriz lgica genrica. Estn diseados para emular muchas PAL pensadas para el uso de macroclulas. Si un usuario tiene un diseo que se implementa usando varias PAL comunes, puede configurar varias de las mismas GAL para emular cada de uno de los otros dispositivos. Esto reducir el nmero de dispositivos diferentes en existencia y aumenta la cantidad comprada. Comnmente, una cantidad grande del mismo dispositivo debera rebajar el costo individual del dispositivo. Estos dispositivos tambin son elctricamente borrables, lo que los hace muy tiles para los ingenieros de diseo.

5.8.4 FPGAs
Las FPGA son Campos de Matrices de Puertas Programables. Simplemente son matrices de puertas elctricamente programables que contienen mltiples niveles de lgica. Las FPGA se caracterizan por altas densidades de
Ing. Mecatronica Gonzlez Rico Brenda

Electrnica Digital

puerta, alto rendimiento, un nmero grande de entradas y salidas definibles por el usuario, un esquema de interconexin flexible, y un entorno de diseo similar al de matriz de puertas. No estn limitadas a la tpica matriz AND-OR. Por contra, contienen una matriz interna configurable de relojes lgicos (CLBs) y un anillo de circunvalacin de bloques de e/s (IOBs). Cada CLB contiene lgica programable combinacional y registros de almacenamiento. La seccin de lgica combinacional es capaz de implementar cualquier funcin booleana de sus variables de entrada. Cada IOB puede programarse independientemente para ser una entrada, y salida con control tri-estado o un pin bidireccional. Tambin contiene flipflops que pueden usarse como buffers de entrada y salida. Los recursos de interconexin son una red de lneas que corren horizontalmente y verticalmente las filas y columnas entre el CLBS. Los interruptores programables conectan las entradas y salidas de IOBS y CLBS a lneas cercanas. Las lneas largas recorren la anchura o longitud entera del dispositivo, estableciendo intercambios para proporcionar una distribucin de seales crticas con la mnima demora o distorsin. Los diseadores que usan FPGAs pueden definir funciones lgicas en un circuito y revisar estas funciones como sea necesario. As, las FPGAs pueden disearse y verificarse en unos das, a diferencia de las varias semanas necesarias para las matrices de puerta programables.

Ing. Mecatronica

Gonzlez Rico Brenda

Electrnica Digital

5.8.5 CPLDL
Los PLDs complejos son lo que el nombre implica, Dispositivos Complejos de Lgica Programable. Se consideran PAL muy grandes que tienen algunas caractersticas de las PLA. La arquitectura bsica es muy parecida a la PAL con la capacidad para aumentar la cantidad de trminos AND para cualquier trmino OR fijo. Esto se puede realizar quitando trminos AND adyacentes o empleando trminos AND desde una matriz expandida. Esto permite que cualquier diseo pueda ser implementado dentro de estos dispositivos.

5.8.6 Aplicaciones.
Como su mismo nombre lo indica, se ha diseado para programar y controlar procesos secuenciales en tiempo real. Por lo general, es posible encontrar este tipo de equipos en ambientes industriales. Los PLC sirven para realizar automatismos; son dispositivos electrnicos que reproducen programas informticos, que permiten controlar procesos.

Ing. Mecatronica

Gonzlez Rico Brenda

You might also like