You are on page 1of 46

GATE EC 2007

Q.1 to Q.20 carry one mark each


MCQ 1.1

If E denotes expectation, the variance of a random variable X is given by (A) E [X2] E2 [X] (B) E [X2] + E2 [X] (C) E [X2] (D) E2 [X] The variance of a random variable x is given by E [X2] E2 [X] Hence (A) is correct option. The following plot shows a function which varies linearly with x . The value of the integral I = ydx # 1
2

SOL 1.1

MCQ 1.2

is

(A) 1.0 (C) 4.0


SOL 1.2

(B) 2.5 (D) 5.0

The given plot is straight line whose equation is x +y =1 1 1 or Now y = x+1 I = ydx # 1
2

(x + 1) dx # 1
2

=;

(x + 1) 2 2 9 4 E = = 2.5 2 2 2

Hence (B) is correct answer.


MCQ 1.3

For x << 1, coth (x) can be approximated as Visit us at: www.nodia.co.in

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Page 2

GATE EC 2007

www.gatehelp.com

SOL 1.3

(A) x (C) 1 x Hence (C) is correct answer. coth x = cosh x sinh x as x << 1, cosh x . 1 and sinh x . x Thus coth x . 1 x sin b l 2 is lim "0 (A) 0.5 (C) 2

(B) x2 (D) 12 x

MCQ 1.4

(B) 1 (D) not defined

SOL 1.4

Hence (A) is correct answer. sin ^ sin ^ 2h 2h 1 lim sin ^ 2 h = 1 = 0.5 lim = lim = "0 " 0 2^ h 2 2 "0 ^ 2 2h Which one of following functions is strictly bounded? (A) 1/x2 (B) ex (C) x2 (D) e - x
2

MCQ 1.5

SOL 1.5

Hence (D) is correct answer. We have, lim 12 = 3 x"0 x lim x2 = 3


x"3 x"3

lim e - x = 3
2

x"3

lim e - x = 0
2

lim e - x = 1
x"0

Thus e - x is strictly bounded.

MCQ 1.6

For the function e - x , the linear approximation around x = 2 is (A) (3 x) e - 2 (B) 1 x (C) 63 + 3 2 (1 2 ) x @e - 2 (D) e - 2 Hence (A) is correct answer. We have f (x) = e - x = e - (x - 2) - 2 = e - (x - 2) e - 2 = ;1 (x 2) + (x 2) 2 ...E e - 2 2! Neglecting higher powers Visit us at: www.nodia.co.in

SOL 1.6

= 61 (x 2)@ e - 2 Brought to you by: Nodia and Company PUBLISHING FOR GATE

Page 3

GATE EC 2007

www.gatehelp.com

= (3 x) e - 2
MCQ 1.7

An independent voltage source in series with an impedance Zs = Rs + jXs delivers a maximum average power to a load impedance ZL when (B) ZL = Rs (A) ZL = Rs + jXs (C) ZL = jXs (D) ZL = Rs jXs According to maximum Power Transform Theorem * ZL = Zs = (Rs jXs) Hence (D) is correct option. The RC circuit shown in the figure is

SOL 1.7

MCQ 1.8

(A) a low-pass filter (C) a band-pass filter


SOL 1.8

(B) a high-pass filter (D) a band-reject filter

At " 3 , capacitor acts as short circuited and circuit acts as shown in fig below

Here we get V0 = 0 Vi At " 0 , capacitor acts as open circuited and circuit look like as shown in fig below

Here we get also V0 = 0 Vi So frequency response of the circuit is as shown in fig and circuit is a Band pass filter.

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Visit us at: www.nodia.co.in

Page 4

GATE EC 2007

www.gatehelp.com

Hence (C) is correct option.


MCQ 1.9

The electron and hole concentrations in an intrinsic semiconductor are ni per cm3 at 300 K. Now, if acceptor impurities are introduced with a concentration of NA per cm3 (where NA >> ni , the electron concentration per cm3 at 300 K will be) (A) ni (B) ni + NA (C) NA ni
2 (D) ni NA

SOL 1.9

As per mass action law np = ni2 If acceptor impurities are introduces p = NA Thus nNA = ni2 2 or n = ni NA Hence option (D) is correct. In a p+ n junction diode under reverse biased the magnitude of electric field is maximum at (A) the edge of the depletion region on the p -side (B) the edge of the depletion region on the n -side (C) the p+ n junction (D) the centre of the depletion region on the n -side The electric field has the maximum value at the junction of p+ n . Hence option (C) is correct. The correct full wave rectifier circuit is

MCQ 1.10

SOL 1.10

MCQ 1.11

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Visit us at: www.nodia.co.in

Page 5

GATE EC 2007

www.gatehelp.com

SOL 1.11

The circuit shown in (C) is correct full wave rectifier circuit.

Hence (C) is correct option.


MCQ 1.12

In a transconductance amplifier, it is desirable to have (A) a large input resistance and a large output resistance (B) a large input resistance and a small output resistance (C) a small input resistance and a large output resistance (D) a small input resistance and a small output resistance

SOL 1.12

In the transconductance amplifier it is desirable to have large input resistance and large output resistance. Hence (A) is correct option. X = 01110 and Y = 11001 are two 5-bit binary numbers represented in twos complement format. The sum of X and Y represented in twos complement format using 6 bits is (A) 100111 (B) 0010000 (C) 000111 (D) 101001

MCQ 1.13

SOL 1.13

MSB of Y is 1, thus it is negative number and X is positive number Now we have X = 01110 = (14) 10 and Y = 11001 = ( 7) 10 X + Y = (14) + ( 7) = 7 In signed twos complements from 7 is (7) 10 = 000111 Visit us at: www.nodia.co.in

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Page 6

GATE EC 2007

www.gatehelp.com

Hence (C) is correct answer.


MCQ 1.14

The Boolean function Y = AB + CD is to be realized using only 2 - input NAND gates. The minimum number of gates required is (A) 2 (B) 3 (C) 4 (D) 5

SOL 1.14

Hence (B) is correct answer. Y = AB + CD = AB .CD This is SOP form and we require only 3 NAND gate s5 If the closed-loop transfer function of a control system is given as T (s) (s + 2)( s + 3) , then It is (A) an unstable system (B) an uncontrollable system (C) a minimum phase system (D) a non-minimum phase system

MCQ 1.15

SOL 1.15

In a minimum phase system, all the poles as well as zeros are on the left half of the s plane. In given system as there is right half zero (s = 5), the system is a nonminimum phase system. Hence (D) is correct option. 1 If the Laplace transform of a signal Y (s) = , then its final value is s (s 1) (A) 1 (B) 0 (C) 1 (D) Unbounded Hence (D) is correct answer. 1 Y (s) = s (s 1) Final value theorem is applicable only when all poles of system lies in left half of S -plane. Here s = 1 is right s plane pole. Thus it is unbounded.

MCQ 1.16

SOL 1.16

MCQ 1.17

If R () is the auto correlation function of a real, wide-sense stationary random process, then which of the following is NOT true (A) R () = R ( ) (B) R () # R (0) (C) R () = R ( ) (D) The mean square value of the process is R (0) Autocorrelation is even function. Hence (C) is correct option If S (f) is the power spectral density of a real, wide-sense stationary random process, then which of the following is ALWAYS true? (B) S (f) $ 0 (A) S (0) # S (f)

SOL 1.17

MCQ 1.18

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Visit us at: www.nodia.co.in

Page 7

GATE EC 2007

www.gatehelp.com

(C) S ( f) = S (f)
SOL 1.18

(D)

#- 3 S (f) df = 0
3

Power spectral density is non negative. Thus it is always zero or greater than zero. Hence (B) is correct option. A plane wave of wavelength is traveling in a direction making an angle 30c with positive x axis and 90c with positive y axis. The E field of the plane wave can be represented as (E0 is constant) t 0 e j c t (A) E = yE t 0 e jc t + (C) E = yE
3 x z m 3 x+ z m

MCQ 1.19

t 0 e jc t x (B) E = yE t 0 e jc t x + (D) E = yE

3z m 3z m

SOL 1.19

Hence (A) is correct option. = cos 30cx ! sin 30cy = 2 3 x ! 2 1 y 2 2 = 3 x! y E = ay E0 e j (t - ) = ay E0 e j;t - c


3 x! y mE

MCQ 1.20

If C is code curve enclosing a surface S , then magnetic field intensity H , the current density j and the electric flux density D are related by 2D 2D (B) H $ d l = (A) H $ ds = c j + 2t m $ d t c j + 2t m $ dS S c S S

##

##

##

(C)
SOL 1.20

H $ dS = # c j + 2D m $ d t ## 2t S C

(D)

H $ d l # = ## c j + 2D m $ ds # 2t C S
c

Hence (D) is correct option. 4# H = J + 2D 2t 2D 4# H $ ds = `J + 2t j .ds s s 2D H $ dl = `J + 2t j .ds

Maxwell Equations Integral form Stokes Theorem

##

## ##

Q.21 to Q.75 carry two marks each.


MCQ 1.21

It is given that X1, X2 ...XM at M non-zero, orthogonal vectors. The dimension of the vector space spanned by the 2M vectors X1, X2,... XM , X1, X2,... XM is (A) 2M (B) M + 1 (C) M (D) dependent on the choice of X1, X2,... XM

SOL 1.21

For two orthogonal vectors, we require two dimensions to define them and similarly Visit us at: www.nodia.co.in

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Page 8

GATE EC 2007

www.gatehelp.com

for three orthogonal vector we require three dimensions to define them. 2M vectors are basically M orthogonal vector and we require M dimensions to define them. Hence (C) is correct answer.
MCQ 1.22

Consider the function f (x) = x2 x 2 . The maximum value of f (x) in the closed interval [ 4, 4] is (A) 18 (B) 10 (C) 225 (D) indeterminate f (x) = x2 x + 2 f'( x) = 2x 1 = 0 " x = 1 2 f"( x) = 2 Since f"( x) = 2 > 0 , thus x = 1 is minimum point. The maximum value in closed 2 interval 6 4, 4@ will be at x = 4 or x = 4 Now maximum value = max [f ( 4), f (4)] = max (18, 10) = 18 Hence (A) is correct answer.

SOL 1.22

We have

MCQ 1.23

An examination consists of two papers, Paper 1 and Paper 2. The probability of failing in Paper 1 is 0.3 and that in Paper 2 is 0.2. Given that a student has failed in Paper 2, the probability of failing in Paper 1 is 0.6. The probability of a student failing in both the papers is (A) 0.5 (B) 0.18 (C) 0.12 (D) 0.06

SOL 1.23

Hence (C) is correct answer. Probability of failing in paper 1 is P (A) = 0.3 Possibility of failing in Paper 2 is P (B) = 0.2 Probability of failing in paper 1, when A student has failed in paper 2 is P^ B h = 0.6 We know that (P + B) Pb A l = B P (B) or P (A + B) = P (B) P b A l = 0.6 # 0.2 = 0.12 B d2 y The solution of the differential equation k2 2 = y y2 under the boundary dx conditions (i) y = y1 at x = 0 and Visit us at: www.nodia.co.in

MCQ 1.24

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Page 9

GATE EC 2007

www.gatehelp.com

(ii) y = y2 at x = 3 , where k, y1 and y2 are constants, is (A) y = (y1 y2) exp a x2 k + y2 k (C) y = ^y1 y2h sinh a x k + y1 k Hence (D) is correct answer. d2 y We have k2 2 = y y2 dx 2 d y y y or 2 = 2 2 dx k k2 A.E. D2 12 = 0 k or D =! 1 k C.F. = C1 e - + C2 e P.I. = Thus solution is
x k x k

(B) y = (y2 y1) exp a x k + y1 k (D) y = ^y1 y2h exp a x k + y2 k

SOL 1.24

2 y2 1 c 2 m = y2 D2 12 k k
x k x k

y = C1 e - + C2 e + y2 From y (0) = y1 we get C1 + C2 = y1 y2 From y (3) = y2 we get that C1 must be zero. Thus C2 = y1 y2 y = (y1 y2) e - + y2
x k

MCQ 1.25

The equation x3 x2 + 4x 4 = 0 is to be solved using the Newton - Raphson method. If x = 2 is taken as the initial approximation of the solution, then next approximation using this method will be (A) 2/3 (B) 4/3 (C) 1 (D) 3/2

SOL 1.25

We have f (x) = x3 x2 + 4x 4 f'( x) = 3x2 2x + 4 Taking x0 = 2 in Newton-Raphosn method 23 22 + 4 (2) 4 f (x0) =4 x1 = x0 = 2 2 3 f'( x0) 3 (2) 2 (2) + 4 Hence (B) is correct answer.

MCQ 1.26

Three functions f1 (t), f2 (t) and f3 (t) which are zero outside the interval [0, T] are shown in the figure. Which of the following statements is correct? Visit us at: www.nodia.co.in

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Page 10

GATE EC 2007

www.gatehelp.com

(A) f1 (t) and f2 (t) are orthogonal (C) f2 (t) and f3 (t) are orthogonal
SOL 1.26

(B) f1 (t) and f3 (t) are orthogonal (D) f1 (t) and f2 (t) are orthonormal =0

For two orthogonal signal f (x) and g (x)

#- 3
MCQ 1.27

+3

f (x) g (x) dx

i.e. common area between f (x) and g (x) is zero. Hence (C) is correct options. If the semi-circular control D of radius 2 is as shown in the figure, then the value 1 of the integral ds is 2 (s 1) D

(A) j (C)
SOL 1.27

(B) j (D)

We know that 1 ds = 2j [sum of residues] 2 s 1 D Singular points are at s = ! 1 but only s =+ 1 lies inside the given contour, Thus Residue at s =+ 1 is

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Visit us at: www.nodia.co.in

Page 11

GATE EC 2007

www.gatehelp.com

lim (s 1) f (s) = lim (s 1)


s"1 s"1

1 =1 s2 1 2

1 ds = 2j 1 = j # s2 `2j 1

Hence (A) is correct answer.


MCQ 1.28

Two series resonant filters are as shown in the figure. Let the 3-dB bandwidth of Filter 1 be B1 and that of Filter 2 be B2 . the value B1 is B2

(A) 4 (C) 1/2


SOL 1.28

(B) 1 (D) 1/4

We know that bandwidth of series RLC circuit is R . Therefore L Bandwidth of filter 1 is B1 = R L1 Bandwidth of filter 2 is B2 = R = R = 4R L2 L1 L1 /4 Dividing above equation B1 = 1 B2 4 Hence (D) is correct option. For the circuit shown in the figure, the Thevenin voltage and resistance looking into X Y are

MCQ 1.29

(A) (C)
SOL 1.29

4 3 4 3

V, 2 V, 2 3

(B) 4 V, 2 3 (D) 4 V, 2

Here Vth is voltage across node also. Applying nodal analysis we get

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Visit us at: www.nodia.co.in

Page 12

GATE EC 2007

www.gatehelp.com

Vth + Vth + Vth 2i = 2 2 1 1 But from circuit i = Vth = Vth 1 Therefore Vth + Vth + Vth 2Vth = 2 2 1 1

or Vth = 4 volt From the figure shown below it may be easily seen that the short circuit current at terminal XY is isc = 2 A because i = 0 due to short circuit of 1 resistor and all current will pass through short circuit.

Therefore Hence (D) is correct option.


MCQ 1.30

Rth = Vth = 4 = 2 isc 2

In the circuit shown, vC is 0 volts at t = 0 sec. For t > 0 , the capacitor current iC (t) , where t is in seconds is given by

(A) 0.50 exp ( 25t) mA (C) 0.50 exp ( 12.5t) mA


SOL 1.30

(B) 0.25 exp ( 25t) mA (D) 0.25 exp ( 6.25t) mA

The voltage across capacitor is At t = 0+ , Vc (0+) = 0 At t = 3 , VC (3) = 5 V The equivalent resistance seen by capacitor as shown in fig is Req = 20 20 = 10k Visit us at: www.nodia.co.in

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Page 13

GATE EC 2007

www.gatehelp.com

Time constant of the circuit is = Req C = 10k # 4 = 0.04 s Using direct formula Vc (t) = VC (3) [Vc (3) Vc (0)] et/ = VC (3) (1 et/) + VC (0) et/ = 5 (1 et/0.04) or Vc (t) = 5 (1 e25t) dV (t) Now IC (t) = C C dt = 4 # 106 # ( 5 # 25e25t) = 0.5e25t mA Hence (A) is correct option.
MCQ 1.31

In the ac network shown in the figure, the phasor voltage VAB (in Volts) is

(A) 0 (C) 12.5+30c


SOL 1.31

(B) 5+30c (D) 17+30c = (5 3j) (5 + 3j) = = (5 3j) # (5 + 3j) 5 3j + 5 + 3j

Hence (D) is correct option. Impedance

(5) 2 (3j) 2 = 25 + 9 = 3.4 10 10

VAB = Current # Impedance = 5+30c # 34 = 17+30c


MCQ 1.32

A p+ n junction has a built-in potential of 0.8 V. The depletion layer width a reverse bias of 1.2 V is 2 m. For a reverse bias of 7.2 V, the depletion layer width will be (A) 4 m (B) 4.9 m (C) 8 m (D) 12 m Hence option (A) is correct. W = K V + VR Now 2 = K 0.8 + 1.2 From above two equation we get Visit us at: www.nodia.co.in

SOL 1.32

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Page 14

GATE EC 2007

www.gatehelp.com

W = 2 or
MCQ 1.33

0.8 + 7.2 = 0.8 + 1.2 W2 = 4 m

8 =2 2

Group I lists four types of p n junction diodes. Match each device in Group I with one of the option in Group II to indicate the bias condition of the device in its normal mode of operation. Group - I Group-II (P) Zener Diode (1) Forward bias (Q) Solar cell (2) Reverse bias (R) LASER diode (S) Avalanche Photodiode (A) P - 1, Q - 2, R - 1, S - 2 (C) P - 2, Q - 2, R - 1, S- -2 (B) P - 2, Q - 1, R - 1, S - 2 (D) P - 2, Q - 1, R - 2, S - 2

SOL 1.33

Zener diode and Avalanche diode works in the reverse bias and laser diode works in forward bias. In solar cell diode works in forward bias but photo current is in reverse direction. Thus Zener diode : Reverse Bias Solar Cell : Forward Bias Laser Diode : Forward Bias Avalanche Photo diode : Reverse Bias Hence option (B) is correct. The DC current gain () of a BJT is 50. Assuming that the emitter injection efficiency is 0.995, the base transport factor is (A) 0.980 (B) 0.985 (C) 0.990 (D) 0.995

MCQ 1.34

SOL 1.34

Hence option (B) is correct. = 50 = 50 = + 1 50 + 1 51 Current Gain = Base Transport Factor # Emitter injection Efficiency = 1 # 2 50 or 1 = = = 0.985 51 # 0.995 2

MCQ 1.35

Group I lists four different semiconductor devices. match each device in Group I with its charactecteristic property in Group II Group-I Group-II (P) BJT (1) Population iniversion (Q) MOS capacitor (2) Pinch-off voltage Visit us at: www.nodia.co.in

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Page 15

GATE EC 2007

www.gatehelp.com

(R) LASER diode (S) JFET (A) P - 3, Q - 1, R - 4, S - 2 (C) P - 3, Q - 4, R - 1, S - 2


SOL 1.35

(3) Early effect (4) Flat-band voltage (B) P - 1, Q - 4, R - 3, S - 2 (D) P - 3, Q - 2, R - 1, S - 4

In BJT as the B-C reverse bias voltage increases, the B-C space charge region width increases which xB (i.e. neutral base width) > A change in neutral base width will change the collector current. A reduction in base width will causes the gradient in minority carrier concentration to increase, which in turn causes an increased in the diffusion current. This effect si known as base modulation as early effect. In JFET the gate to source voltage that must be applied to achieve pinch off voltage is described as pinch off voltage and is also called as turn voltage or threshold voltage. In LASER population inversion occurs on the condition when concentration of electrons in one energy state is greater than that in lower energy state, i.e. a non equilibrium condition. In MOS capacitor, flat band voltage is the gate voltage that must be applied to create flat ban condition in which there is no space charge region in semiconductor under oxide. Therefore BJT : Early effect MOS capacitor: Flat-band voltage LASER diode : Population inversion JFET : Pinch-off voltage Hence option (C) is correct. For the Op-Amp circuit shown in the figure, V0 is

MCQ 1.36

(A) -2 V (C) -0.5 V


SOL 1.36

(B) -1 V (D) 0.5 V

We redraw the circuit as shown in fig.

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Visit us at: www.nodia.co.in

Page 16

GATE EC 2007

www.gatehelp.com

Applying voltage division rule v+ = 0.5 V We know that v+ Thus v- = 0.5 V Now i = 1 0.5 = 0.5 mA 1k and i = 0.5 v0 = 0.5 mA 2k or v0 = 0.5 1 = 0.5 V Hence (C) is correct option.
MCQ 1.37

= v-

For the BJT circuit shown, assume that the of the transistor is very large and VBE = 0.7 V. The mode of operation of the BJT is

(A) cut-off (C) normal active


SOL 1.37

(B) saturation (D) reverse active

If we assume very large, then IB = 0 and IE = IC ; VBE = 0.7 V. We assume that BJT is in active, so applying KVL in Base-emitter loop IE = 2 VBE = 2 0.7 = 1.3 mA 1k RE Since is very large, we have IE = IC , thus IC = 1.3 mA Now applying KVL in collector-emitter loop 10 10IC VCE IC or VCE = 4.3 V Now VBC = VBE VCE = 0.7 ( 4.3) = 5 V Since VBC > 0.7 V, thus transistor in saturation. Hence (B) is correct option

=0

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Visit us at: www.nodia.co.in

Page 17 MCQ 1.38

GATE EC 2007

www.gatehelp.com

In the Op-Amp circuit shown, assume that the diode current follows the equation I = Is exp (V/VT ). For Vi = 2V, V0 = V01, and for Vi = 4V, V0 = V02 . The relationship between V01 and V02 is

(A) V02 =

2 Vo1

(B) Vo2 = e2 Vo1 (D) Vo1 Vo2 = VT 1n2

(C) Vo2 = Vo1 1n2


SOL 1.38

Here the inverting terminal is at virtual ground and the current in resistor and diode current is equal i.e. IR = ID Vi = I eV /V or s R or VD = VT 1n Vi Is R
D T

For the first condition VD = 0 Vo1 = VT 1n 2 Is R For the first condition VD = 0 Vo1 = VT 1n 4 Is R Subtracting above equation Vo1 Vo2 = VT 1n 4 VT 1n 2 Is R Is R or Vo1 Vo2 = VT 1n 4 = VT 1n2 2 Hence (D) is correct option.
MCQ 1.39

In the CMOS inverter circuit shown, if the trans conductance parameters of the NMOS and PMOS transistors are W kn = kp = n Cox Wn = Cox p = 40A/V2 Ln Lp and their threshold voltages ae VTHn = VTHp = 1 V the current I is

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Visit us at: www.nodia.co.in

Page 18

GATE EC 2007

www.gatehelp.com

(A) 0 A (C) 45 A
SOL 1.39

(B) 25 A (D) 90 A

Hence (D) is correct option We have Vthp = Vthp = 1 V W W P and = N = 40A/V2 LP LN From figure it may be easily seen that Vas for each NMOS and PMOS is 2.5 V A Thus ID = K (Vas VT ) 2 = 40 2 (2.5 1) 2 = 90 A V

MCQ 1.40

For the Zener diode shown in the figure, the Zener voltage at knee is 7 V, the knee current is negligible and the Zener dynamic resistance is 10 . If the input voltage (Vi) range is from 10 to 16 V, the output voltage (V0) ranges from

(A) 7.00 to 7.29 V (C) 7.14 to 7.43 V


SOL 1.40

(B) 7.14 to 7.29 V (D) 7.29 to 7.43 V

We have VZ = 7 volt, VK = 0, RZ = 10 Circuit can be modeled as shown in fig below

Since Vi is lies between 10 to 16 V, the range of voltage across 200 k V200 = Vi VZ = 3 to 9 volt The range of current through 200 k is 3 = 15 mA to 9 = 45 mA 200k 200k The range of variation in output voltage 15m # RZ = 0.15 V to 45m # RZ = 0.45 Thus the range of output voltage is 7.15 Volt to 7.45 Volt Hence (C) is correct option.
MCQ 1.41

The Boolean expression Y = ABC D + ABCD + ABC D + ABC D can be minimized to Visit us at: www.nodia.co.in

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Page 19

GATE EC 2007

www.gatehelp.com

(A) Y = ABC D + ABC + AC D (C) Y = ABCD + BC D + ABC D


SOL 1.41

(B) Y = ABC D + BCD + ABC D (D) Y = ABCD + BC D + ABC D

Hence (D) is correct answer. Y = ABCD + ABCD + ABC D + ABC D = ABCD + ABC D + ABC D + ABC D = ABCD + ABC D + BC D (A + A) = ABCD + ABC D + BC D

A+A = 1

MCQ 1.42

The circuit diagram of a standard TTL NOT gate is shown in the figure. Vi = 25 V, the modes of operation of the transistors will be

(A) Q1: revere active; Q2: normal active; Q3: saturation; Q4: cut-off (B) Q1: revere active; Q2: saturation; Q3: saturation; Q4: cut-off (C) Q1: normal active; Q2: cut-off; Q3: cut-off; Q4: saturation (D) Q1: saturation; Q2: saturation; Q3: saturation; Q4: normal active
SOL 1.42

In given TTL NOT gate when Vi = 2.5 (HIGH), then Q1 " Reverse active Q2 " Saturation Q3 " Saturation Q4 " cut - off region Hence (B) is correct answer. In the following circuit, X is given by

MCQ 1.43

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Visit us at: www.nodia.co.in

Page 20

GATE EC 2007

www.gatehelp.com

(A) X = ABC + ABC + ABC + ABC (B) X = ABC + ABC + ABC + ABC (C) X = AB + BC + AC (D) X = AB + BC + AC
SOL 1.43

The circuit is as shown below

Y = AB + AB and X = YC + YC = (AB + AB ) C + (AB + AB ) C = (AB + AB) C + (AB + AB ) C = ABC + ABC + ABC + ABC Hence (A) is correct answer.
MCQ 1.44

The following binary values were applied to the X and Y inputs of NAND latch shown in the figure in the sequence indicated below : X = 0,Y = 1; X = 0, Y = 0; X = 1; Y = 1 The corresponding stable P, Q output will be.

(A) P = 1, Q = 0; P = 1, Q = 0; P = 1, Q = 0 or P = 0, Q = 1 (B) P = 1, Q = 0; P = 0, Q = 1; or P = 0, Q = 1; P = 0, Q = 1 (C) P = 1, Q = 0; P = 1, Q = 1; P = 1, Q = 0 or P = 0, Q = 1 (D) P = 1, Q = 0; P = 1, Q = 1; P = 1, Q = 1


SOL 1.44

Hence (C) is correct answer. For X = 0, Y = 1 P = 1, Q = 0 For X = 0, Y = 0 P = 1, Q = 1 For X = 1, Y = 1 P = 1, Q = 0 or P = 0, Q = 1 For the circuit shown, the counter state (Q1 Q0) follows the sequence Visit us at: www.nodia.co.in

MCQ 1.45

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Page 21

GATE EC 2007

www.gatehelp.com

(A) 00, 01, 10, 11, 00 (C) 00, 01, 11, 00, 01
SOL 1.45

(B) 00, 01, 10, 00, 01 (D) 00, 10, 11, 00, 10

For this circuit the counter state (Q1, Q0) follows the sequence 00, 01, 10, 00 ... as shown below Clock 1st 2nd 3rd D1 D0 01 10 00 Q1 Q0 00 10 01 00 Q1 NOR Q0 1 0 0 0

Hence (A) is correct answer.


MCQ 1.46

An 8255 chip is interfaced to an 8085 microprocessor system as an I/O mapped I/O as show in the figure. The address lines A0 and A1 of the 8085 are used by the 8255 chip to decode internally its thee ports and the Control register. The address lines A3 to A7 as well as the IO/M signal are used for address decoding. The range of addresses for which the 8255 chip would get selected is

(A) F8H - FBH (C) F8H - FFH


SOL 1.46

(B) F8GH - FCH (D) F0H - F7H

Chip 8255 will be selected if bits A3 to A7 are 1. Bit A0 to A2 can be 0 or. 1. Thus address range is Visit us at: www.nodia.co.in

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Page 22

GATE EC 2007

www.gatehelp.com

11111000 11111111 Hence (C) is correct answer.


MCQ 1.47

F8H FFH

The 3-dB bandwidth of the low-pass signal et u (t), where u (t) is the unit step function, is given by (A) 1 Hz (B) 1 2 1 Hz 2 2 (C) 3 (D) 1 Hz

SOL 1.47

Hence (A) is correct answer. x (t) = et u (t) Taking Fourier transform X (j) = 1 1 + j X (j) = 1 2 1+ Magnitude at 3dB frequency is Thus or or 1 1 = 2 1 + 2 = 1 rad f = 1 Hz 2 (B) non-causal system (D) low-pass system 1 2

MCQ 1.48

A Hilbert transformer is a (A) non-linear system (C) time-varying system

SOL 1.48

A Hilbert transformer is a non-linear system. Hence (A) is correct answer. The frequency response of a linear, time-invariant system is given by H (f) = 1 + j5 10f . The step response of the system is
t

MCQ 1.49

(A) 5 (1 e5t) u (t) (B) 5 61 e 5@ u (t) t (C) 1 (1 e5t) u (t) (D) 1 ^1 e 5 h u (t) 2 5 SOL 1.49 Hence (B) is correct answer. 5 H (f) = 1 + j10f 5 H (s) = 5 = = 11 1 + 5s 5^s + 1 s+ 5 h 5 Step response Y (s) = 1 a 1 s ^s + 5 h or Y (s) = 1 1 1 = 5 5 1 s ^s + 5 h s s+ 5 Brought to you by: Nodia and Company Visit us at: www.nodia.co.in PUBLISHING FOR GATE

Page 23

GATE EC 2007

www.gatehelp.com

or
MCQ 1.50

y (t) = 5 (1 et/5) u (t)

A 5-point sequence x [n] is given as x [ 3] = 1, x [ 2] = 1, x [ 1] = 0, x [0] = 5 and x [1] = 1. Let X (ei) denoted the discrete-time Fourier transform of x [n]. The value of

X (e j) d is (B) 10 (D) 5 + j10

(A) 5 (C) 16
SOL 1.50

For discrete time Fourier transform (DTFT) when N " 3 x [n] = 1 X (e j) e jn d 2

# #

Putting n = 0 we get x [0] = 1 2 or

X (e j) e j0 d = 1 2

X (e j) d

X (e j) d = 2x [0] = 2 # 5 = 10

Hence (B) is correct answer.


MCQ 1.51
0.5 The z transform X (z) of a sequence x [n] is given by X [z] = 1 . It is given that 2z the region of convergence of X (z) includes the unit circle. The value of x [0] is (B) 0 (A) 0.5
1

(C) 0.25
SOL 1.51

(D) 05

Hence (B) is correct answer. X (z) = 0.5 1 1 2z Since ROC includes unit circle, it is left handed system x (n) = (0.5) (2) n u ( n 1) x (0) = 0 If we apply initial value theorem x (0) = lim X (z) = lim 0.5 1 = 0.5 z"3 z " 31 2z That is wrong because here initial value theorem is not applicable because signal x (n) is defined for n < 0 . A control system with PD controller is shown in the figure. If the velocity error constant KV = 1000 and the damping ratio = 0.5 , then the value of KP and KD are

MCQ 1.52

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Visit us at: www.nodia.co.in

Page 24

GATE EC 2007

www.gatehelp.com

(A) KP = 100, KD = 0.09 (C) KP = 10, KD = 0.09


SOL 1.52

(B) KP = 100, KD = 0.9 (D) KP = 10, KD = 0.9

Hence (B) is correct option We have Kv = lim sG (s) H (s)


s"0

or

1000 = lim s
s"0

(Kp + KD s) 100 = Kp s (s + 100)

Now characteristics equations is 1 + G (s) H (s) = 0 (K + KD s) 100 1000 = lims " 0 s p = Kp s (s + 100) Now characteristics equation is 1 + G (s) H (s) = 0 or 1+ (100 + KD s) 100 =0 s (s + 10) Kp = 100

or s2 + (10 + 100KD) s + 10 4 = 0
2 Comparing with s2 + 2n + n = 0 we get 2n = 10 + 100KD or KD = 0.9

MCQ 1.53

The transfer function of a plant is 5 T (s) = (s + 5)( s2 + s + 1) The second-order approximation of T (s) using dominant pole concept is 1 5 (A) (B) (s + 5)( s + 1) (s + 5)( s + 1) 5 s2 + s + 1 Hence (D) is correct option. (C) We have T (s) = (D) 1 s2 + s + 1

SOL 1.53

5 (s + 5)( s2 + s + 1) 5 = = 2 1 2 s 5`1 + j (s + s + 1) s +s+1 5

3 In given transfer function denominator is (s + 5)[( s + 0.5) 2 + 4 ]. We can see easily 3 that pole at s = 0.5 ! j 2 is dominant then pole at s = 5 . Thus we have approximated it.

MCQ 1.54

The open-loop transfer function of a plant is given as G (s) = s 1 . If the plant is -1 operated in a unity feedback configuration, then the lead compensator that an stabilize this control system is
2

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Visit us at: www.nodia.co.in

Page 25

GATE EC 2007

www.gatehelp.com

(A) (C)
SOL 1.54

10 (s 1) s+2

(B)

10 (s + 4) s+2

10 (s + 2) 2 (s + 2) (D) s + 10 s + 10 Hence (A) is correct option. 1 G (s) = 2 1 = ( s )( s 1) + 1 s 1 1 The lead compensator C (s) should first stabilize the plant i.e. remove term. (s 1) From only options (A), C (s) can remove this term ThusG (s) C (s) = 10 (s 1) 1 # (s + 1)( s 1) (s + 2) 10 = (s + 1)( s + 2)

Only option (A) satisfies.

MCQ 1.55

A unity feedback control system has an open-loop transfer function K G (s) = 2 s (s + 7s + 12) The gain K for which s = 1 + j1 will lie on the root locus of this system is (A) 4 (B) 5.5 (C) 6.5 (D) 10

SOL 1.55

For ufb system the characteristics equation is 1 + G (s) = 0 K or =0 1+ s (s2 + 7s + 12) or s (s2 + 7s + 12) + K = 0 Point s = 1 + j lie on root locus if it satisfy above equation i.e ( 1 + j)[( 1 + j) 2 + 7 ( 1 + j) + 12) + K] = 0 or K =+ 10 Hence (D) is correct option. The asymptotic Bode plot of a transfer function is as shown in the figure. The transfer function G (s) corresponding to this Bode plot is

MCQ 1.56

(A)

1 (s + 1)( s + 20)

(B)

1 s (s + 1)( s + 20) Visit us at: www.nodia.co.in

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Page 26

GATE EC 2007

www.gatehelp.com

SOL 1.56

100 100 (D) s (s + 1)( s + 20) s (s + 1)( 1 + 0.05s) At every corner frequency there is change of -20 db/decade in slope which indicate pole at every corner frequency. Thus K G (s) = s (1 + s)`1 + s j 20 Bode plot is in (1 + sT) form 20 log K = 60 dB = 1000 = 0. 1 (C) Thus Hence K =5 G (s) = 100 s (s + 1)( 1 + .05s)

Hence (D) is correct option.


MCQ 1.57

The state space representation of a separately excited DC servo motor dynamics is given as d 1 1 0 dt > di H = = 1 10G=ia G + =10Gu dt
o

where is the speed of the motor, ia is the armature current and u is the armature (s) of the motor is voltage. The transfer function U (s) 1 (A) 2 10 (B) 2 s + 11s + 11 s + 11s + 11 (C) 2 10s + 10 (D) 2 1 s + s + 11 s + 11s + 11
SOL 1.57

Hence (A) is correct option. d 1 1 0 dt We have > di H = = 1 10G=in G + =10Gu dt


a

or and

d = + i n dt dia = 10i + 10u a dt

...(1) ...(2)

Taking laplace transform (i) we get s (s) = (s) = Ia (s) or (s + 1) (s) = Ia (s) Taking laplace transform (ii) we get sIa (s) = (s) 10Ia (s) + 10U (s) or (s) = ( 10 s) Ia (s) + 10U (s) = ( 10 s)( s + 1) (s) + 10U (s) or (s) = [s2 + 11s + 10] (s) + 10U (s) Brought to you by: Nodia and Company PUBLISHING FOR GATE

...(3)

From (3)

Visit us at: www.nodia.co.in

Page 27

GATE EC 2007

www.gatehelp.com

or(s2 + 11s + 11) (s) (s) or = 2 10 U (s) (s + 11s + 11)


MCQ 1.58

= 10U (s)

In delta modulation, the slope overload distortion can be reduced by (A) decreasing the step size (B) decreasing the granular noise (C) decreasing the sampling rate (D) increasing the step size

SOL 1.58

Slope overload distortion can be reduced by increasing the step size 3 $ slope of x (t) Ts Hence (D) is correct option. The raised cosine pulse p (t) is used for zero ISI in digital communications. The expression for p (t) with unity roll-off factor is given by sin 4Wt p (t) = 4Wt (1 16W2 t2) The value of p (t) at t = 1 is 4W (A) 0.5 (C) 0.5 (B) 0 (D) 3

MCQ 1.59

SOL 1.59

Hence (C) is correct option. sin (4Wt) We have p (t) = 4Wt (1 16W2 t2) at t = 1 it is 0 form. Thus applying L' Hospital rule 0 4W 4W cos (4Wt) p( ) = 4W [1 48W2 t2]
1 4W

=
MCQ 1.60

cos (4Wt) = cos = 0.5 2 2 13 1 48W t

In the following scheme, if the spectrum M (f) of m (t) is as shown, then the spectrum Y (f) of y (t) will be

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Visit us at: www.nodia.co.in

Page 28

GATE EC 2007

www.gatehelp.com

SOL 1.60

The block diagram is as shown below

Here

t (f) M1 (f) = M Y1 (f) = M (f) c e

j 2 B

+ e -j2B m 2 e -j2B m 2

Y2 (f) = M1 (f) c e

j 2 B

Y (f) = Y1 (f) + Y2 (f) All waveform is shown below

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Visit us at: www.nodia.co.in

Page 29

GATE EC 2007

www.gatehelp.com

Hence (B) is correct option.


MCQ 1.61

During transmission over a certain binary communication channel, bit errors occur independently with probability p . The probability of AT MOST one bit in error in a block of n bits is given by (B) 1 pn (A) pn (C) np (1 p) n - 1 + (1 + p) n (D) 1 (1 p) n By Binomial distribution the probability of error is pe = n Cr pr (1 p) n - r Probability of at most one error = Probability of no error + Probability of one error = n C0 p0 (1 p) n - 0 + n C1 p1 (1 p) n - 1 = (1 p) n + np (1 p) n - 1 Hence (C) is correct option.

SOL 1.61

MCQ 1.62

In a GSM system, 8 channels can co-exist in 200 kHz bandwidth using TDMA. A GSM based cellular operator is allocated 5 MHz bandwidth. Assuming a frequency reuse factor of 1 , i.e. a five-cell repeat pattern, the maximum number of 5 simultaneous channels that can exist in one cell is (A) 200 (B) 40 (C) 25 (D) 5 Bandwidth allocated for 1 Channel = 5 M Hz Average bandwidth for 1 Channel 5 = 1 MHz 5 Total Number of Simultaneously Channel = 1M # 8 = 40 Channel 200k Hence (B) is correct option. In a Direct Sequence CDMA system the chip rate is 1.2288 # 106 chips per second. If the processing gain is desired to be AT LEAST 100, the data rate (A) must be less than or equal to 12.288 # 103 bits per sec (B) must be greater than 12.288 # 103 bits per sec (C) must be exactly equal to 12.288 # 103 bits per sec (D) can take any value less than 122.88 # 103 bits per sec

SOL 1.62

MCQ 1.63

SOL 1.63

Hence (A) is correct option. Chip Rate RC = 1.2288 # 106 chips/sec Visit us at: www.nodia.co.in

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Page 30

GATE EC 2007

www.gatehelp.com

Data Rate Rb = RC G Since the processing gain G must be at least 100, thus for Gmin we get 6 Rb max = RC = 1.2288 # 10 = 12.288 # 103 bps Gmin 100
MCQ 1.64

An air-filled rectangular waveguide has inner dimensions of 3 cm # 2 cm. The wave impedance of the TE20 mode of propagation in the waveguide at a frequency of 30 GHz is (free space impedance 0 = 377 ) (A) 308 (B) 355 (C) 400 (D) 461 The cut-off frequency is fc = c ` m j2 + ` n j2 2 a b Since the mode is TE20, m = 2 and n = 0 8 fc = c m = 3 # 10 # 2 = 10 GHz 2 2 2 # 0.03 o 377 = ' = = 400 10 2 fc 2 1c m 1 c 10 10 m f 3 # 10 Hence (C) is correct option.

SOL 1.64

MCQ 1.65

The H field (in A/m) of a plane wave propagating in free space is given by t 5 3 cos (t z) + y t`t z + j . H=x 0 2 The time average power flow density in Watts is (B) 100 (A) 0 100 0 (C) 502 0 (D) 50 0 H
2 2 2 2 2 = c 5 3 m + c 5 m = c 10 m = Hx + Hy o o o 2 2 o H E 2 = = o c 10 m = 50 watts P = 2 o o 2o 2 2

SOL 1.65

Hence (D) is correct option. We have For free space

MCQ 1.66

The E field in a rectangular waveguide of inner dimension a # b is given by 2 t E = 2 ` j H0 sin ` 2x j sin (t z) y a h 2 Where H0 is a constant, and a and b are the dimensions along the x axis and the y axis respectively. The mode of propagation in the waveguide is (B) TM11 (A) TE20 (C) TM20 (D) TE10 Visit us at: www.nodia.co.in

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Page 31 SOL 1.66

GATE EC 2007

www.gatehelp.com

Hence (A) is correct option. 2 t E = 2 ` j H0 sin ` 2x j sin (t z) y a h 2 This is TE mode and we know that my Ey \ sin ` mx j cos ` a b j Thus m = 2 and n = 0 and mode is TE20 A load of 50 is connected in shunt in a 2-wire transmission line of Z0 = 50 as shown in the figure. The 2-port scattering parameter matrix (s-matrix) of the shunt element is

MCQ 1.67

1 1 2 2 (A) > 1 1H 2 2

0 1 (B) = 1 0G
1 4 3 4 3 4

(C) >
SOL 1.67

(D) > 1H 4 The 2-port scattering parameter matrix is S11 S12 S == S21 S22 G (Z Z ) Zo (50 50) 50 S11 = L 0 = = 1 (ZL Z0) + Zo (50 50) + 50 3 2 (ZL Zo) 2 (50 50) S12 = S21 = = =2 (ZL Zo) + Zo (50 50) + 50 3 (Z Z ) Zo (50 50) 50 S22 = L o = = 1 (ZL Zo) + Zo (50 50) + 50 3 Hence (C) is correct option. The parallel branches of a 2-wire transmission line re terminated in 100 and 200 resistors as shown in the figure. The characteristic impedance of the line is Z0 = 50 and each section has a length of . The voltage reflection coefficient 4 at the input is

1 3

2 3 2 1 3 3

MCQ 1.68

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Visit us at: www.nodia.co.in

Page 32

GATE EC 2007

www.gatehelp.com

(A) j 7 5 (C) j 5 7 The input impedance is 2 Zin = Zo ; ZL


2 2 Zin1 = Zo1 = 50 = 25 ZL1 100 2 2 Zin2 = Zo2 = 50 = 12.5 ZL2 200

(B) 5 7 (D) 5 7 if l = 4

SOL 1.68

Now

ZL = Zin1 Zin2 25 12.5 = 25 3 Zs = (50) 2 = 300 25/3 = ZS Zo = 300 50 = 5 ZS + Zo 300 + 50 7

Hence (D) is correct option.


MCQ 1.69

A dipole is kept horizontally at a height of 0 above a perfectly conducting 2 2 infinite ground plane. The radiation pattern in the lane of the dipole (E plane) looks approximately as

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Visit us at: www.nodia.co.in

Page 33

GATE EC 2007

www.gatehelp.com

SOL 1.69

Using the method of images, the configuration is as shown below

Here d = , = , thus d = 2 Array factor is d cos + = cos ; E 2 = cos ; 2 cos + E = sin ( cos ) 2

Hence (B) is correct option.


MCQ 1.70

A right circularly polarized (RCP) plane wave is incident at an angle 60c to the normal, on an air-dielectric interface. If the reflected wave is linearly polarized, the relative dielectric constant r2 is.

(A) (C) 2
SOL 1.70

(B) (D) 3

The Brewster angle is r2 tan n = r1 r2 tan 60c = 1 or r2 = 3 Visit us at: www.nodia.co.in

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Page 34

GATE EC 2007

www.gatehelp.com

Hence (D) is correct option.

Common Data Questions

Common Data for Questions 71, 72, 73 :


The figure shows the high-frequency capacitance - voltage characteristics of Metal/ Sio 2 /silicon (MOS) capacitor having an area of 1 # 10 - 4 cm 2 . Assume that the permittivities (0 r ) of silicon and Sio2 are 1 # 10 - 12 F/cm and 3.5 # 10 - 13 F/cm respectively.

MCQ 1.71

The gate oxide thickness in the MOS capacitor is (A) 50 nm (B) 143 nm (C) 350 nm (D) 1 m

SOL 1.71

At low voltage when there is no depletion region and capacitance is decide by SiO2 thickness only, C = 0 r1 A D 13 4 # 10 = 50 nm or D = 0 r1 A = 3.5 # 10 C 7 # 10 12 Hence option (A) is correct The maximum depletion layer width in silicon is (A) 0.143 m (B) 0.857 m (C) 1 m (D) 1.143 m The construction of given capacitor is shown in fig below

MCQ 1.72

SOL 1.72

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Visit us at: www.nodia.co.in

Page 35

GATE EC 2007

www.gatehelp.com

When applied voltage is 0 volts, there will be no depletion region and we get C1 = 7 pF When applied voltage is V , a depletion region will be formed as shown in fig an total capacitance is 1 pF. Thus CT = 1 pF or CT = C1 C2 = 1 pF C1 + C2 1 = 1 + 1 or CT C1 C2 Substituting values of CT and C1 we get C2 = 7 pF 6 10 - 12 # 10 - 4 = 6 # 10 - 4 cm Now D2 = 0 r2 A = 1 # 7 - 12 C2 7 6 # 10

= 0.857 m Hence option (B) is correct.


MCQ 1.73

Consider the following statements about the C V characteristics plot : S1 : The MOS capacitor has as n -type substrate S2 : If positive charges are introduced in the oxide, the C V polt will shift to the left. Then which of the following is true? (A) Both S1 and S2 are true (B) S1 is true and S2 is false (C) S1 is false and S2 is true (D) Both S1 and S2 are false

SOL 1.73

Depletion region will not be formed if the MOS capacitor has n type substrate but from C-V characteristics, C reduces if V is increased. Thus depletion region must be formed. Hence S1 is false If positive charges is introduced in the oxide layer, then to equalize the effect the applied voltage V must be reduced. Thus the C V plot moves to the left. Hence S2 is true. Hence option (C) is correct. Visit us at: www.nodia.co.in

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Page 36

GATE EC 2007

www.gatehelp.com

Common Data for Questions 74 & 75 :


Two 4-array signal constellations are shown. It is given that 1 and 2 constitute an orthonormal basis for the two constellation. Assume that the four symbols in both the constellations are equiprobable. Let N0 denote the power spectral density 2 of white Gaussian noise.

MCQ 1.74

The if ratio or the average energy of Constellation 1 to the average energy of Constellation 2 is (A) 4a2 (B) 4 (C) 2 (D) 8 2 a) 2 + ( 2 a) 2 + ( 2 2 a) 2

SOL 1.74

Energy of constellation 1 is Eg1 = (0) 2 + ( 2 a) 2 + (

= 2a2 + 2a2 + 2a2 + 8a2 = 16a2 Energy of constellation 2 is Eg2 = a2 + a2 + a2 + a2 = 4a2 Eg1 16a2 = =4 Eg2 4a2 Hence (B) is correct option. Ratio =
MCQ 1.75

If these constellations are used for digital communications over an AWGN channel, then which of the following statements is true ? (A) Probability of symbol error for Constellation 1 is lower (B) Probability of symbol error for Constellation 1 is higher (C) Probability of symbol error is equal for both the constellations (D) The value of N0 will determine which of the constellations has a lower probability of symbol error

SOL 1.75

Noise Power is same for both which is N0 . 2 Thus probability of error will be lower for the constellation 1 as it has higher signal energy. Hence (A) is correct option.

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Visit us at: www.nodia.co.in

Page 37

GATE EC 2007

www.gatehelp.com

Linked Answer Questions : Q.76 to Q.85 carry two marks each.

Statement for Linked Answer Questions 76 & 77:


Consider the Op-Amp circuit shown in the figure.

MCQ 1.76

The transfer function V0 (s)/ Vi (s) is (A) 1 sRC 1 + sRC (C)

(B) 1 + sRC 1 sRC

SOL 1.76

1 1 (D) 1 sRC 1 + sRC The voltage at non-inverting terminal is 1 1 V+ = sC 1 Vi = V 1 + sCR i R + sC 1 Now V- = V+ = V 1 + sCR i Applying voltage division rule (V + Vi) V+ = R1 (V0 + Vi) = o R1 + R1 2 (V + Vi) 1 or Vi = o 1 + sCR 2 Vo = 1 + 2 or Vi 1 + sRC V0 = 1 sRC Vi 1 + sRC Hence (A) is correct option.

MCQ 1.77

If Vi = V1 sin (t) and V0 = V2 sin (t + ), then the minimum and maximum values of (in radians) are respectively (A) and (B) 0 and 2 2 2 (C) and 0 (D) and 0 2

Hence (C) is correct option. V0 = H (s) = 1 sRC Vi 1 + sRC Brought to you by: Nodia and Company PUBLISHING FOR GATE
SOL 1.77

Visit us at: www.nodia.co.in

Page 38

GATE EC 2007

www.gatehelp.com

H (j) =

1 jRC 1 + jRC

+H (j) = = tan - 1 RC tan - 1 RC = 2 tan - 2 RC Minimum value, Maximum value,

min max

= (at " 3) = 0( at = 0)

Statement for Linked Answer Questions 78 & 79 :


An 8085 assembly language program is given below. Line 1: MVI A, B5H 2: MVI B, OEH 3: XRI 69H 4: ADD B 5: ANI 9BH 6: CPI 9FH 7: STA 3010H 8: HLT
MCQ 1.78

The contents of the accumulator just execution of the ADD instruction in line 4 will be (A) C3H (B) EAH (C) DCH (D) 69H

SOL 1.78

Line

; Move B5H to A ; Move 0EH to B ; [A] XOR 69H and store in A ; Contents of A is CDH 4 : ADDB ; Add the contents of A to contents of B and ; store in A, contents of A is EAH 5 : ANI 9BH ; [a] AND 9BH, and store in A, ; Contents of A is 8 AH 6 : CPI 9FH ; Compare 9FH with the contents of A ; Since 8 AH < 9BH, CY = 1 7 : STA 3010 H ; Store the contents of A to location 3010 H 8 : HLT ; Stop Thus the contents of accumulator after execution of ADD instruction is EAH. Hence (B) is correct answer. After execution of line 7 of the program, the status of the CY and Z flags will be (A) CY = 0, Z = 0 (B) CY = 0, Z = 1 Visit us at: www.nodia.co.in

1 : MVI A, B5H 2 : MVI B, 0EH 3 : XRI 69H

MCQ 1.79

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Page 39

GATE EC 2007

www.gatehelp.com

(C) CY = 1, Z = 0
SOL 1.79

(D) CY = 1, Z = 1

The CY = 1 and Z = 0 Hence (C) is correct answer.

Statement for linked Answer Question 80 & 81 :


Consider a linear system whose state space representation is x (t) = Ax (t). If 1 the initial state vector of the system is x (0) = = G, then the system response is 2 1 e2x , then x (t) = > 2tH . If the itial state vector of the system changes to x (0) = = 2G 2e t e the system response becomes x (t) = > tH e The eigenvalue and eigenvector pairs (i vi) for the system are 1 1 1 1 (A) e 1 = Go and e 2 = Go (B) e 1, = Go and e2, = Go 1 2 1 2 1 1 (C) e 1, = Go and e 2, = Go 1 2
SOL 1.80

MCQ 1.80

1 1 (D) e 2 = Go and e1, = Go 1 2

Hence (A) is correct option. We have xo (t) = Ax (t) p q Let A == r sG 1 e2t For initial state vector x (0) = = G the system response is x (t) = > H 2 2e2t
d 2t e Thus > d dt 2t H ) dt ( 2e

==

t=0

p q 1 r s G= 2G p q 1

or

> 4e2 (0) H = =r s G= 2G


2 p 2q = 4 G = = r 2s G

2e

2 (0)

We get p 2q = 2 and r 2s = 4 1 et For initial state vector x (0) = = G the system response is x (t) = > tH 1 e Thus

...(i)

> d ( et)H dt
e

d dt

et

==

t=0

p q 1 r s G= 1G p q 1

> e (0) H = =r s G= 1G
1 pq = 1G = = r s G Brought to you by: Nodia and Company PUBLISHING FOR GATE Visit us at: www.nodia.co.in

(0)

Page 40

GATE EC 2007

www.gatehelp.com

We get p q = 1 and r s = 1 Solving (1) and (2) set of equations we get p q 0 1 =r s G = = 2 3G The characteristic equation I A = 0 1 =0 2 +3 or ( + 3) + 2 = 0 or = 1, 2 Thus Eigen values are 1 and 2 Eigen vectors for 1 = 1 (1 I A) X1 = 0 or 1 1 x11 = 2 + 3G=x G = 0 1 21 1 1 x11 = 2 2 G=x G = 0 21

...(2)

or x11 x21 = 0 or x11 + x21 = 0 We have only one independent equation x11 = x21.Let x11 = K , then x21 = K , the Eigen vector will be x11 K 1 =x G = = K G = K = 1G 21 Now Eigen vector for 2 = 2 (2 I A) X2 = 0 2 1 x12 or = 2 + 3G=x G = 0 2 22 2 1 x11 or = 2 1 G=x G = 0 21 or x11 x21 = 0 or x11 + x21 = 0 We have only one independent equation x11 = x21. Let x11 = K, then x21 = K , the Eigen vector will be x12 K 1 =x G = = 2K G = K = 2G 22
MCQ 1.81

The system matrix A is 0 1 (A) = 1 1G

1 1 (B) = 1 2G Visit us at: www.nodia.co.in

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Page 41

GATE EC 2007

www.gatehelp.com

SOL 1.81

2 1 0 1 (C) = (D) = G 1 1 2 3G As shown in previous solution the system matrix is 0 1 A == 2 3G Hence (D) is correct option.

Statement for Linked Answer Question 82 & 83 :


An input to a 6-level quantizer has the probability density function f (x) as shown in the figure. Decision boundaries of the quantizer are chosen so as to maximize the entropy of the quantizer output. It is given that 3 consecutive decision boundaries are 1'.'0' and '1' .

MCQ 1.82

The values of a and b are (A) a = 1 and b = 1 6 12

(B) a = 1 and b = 3 5 40

SOL 1.82

(C) a = 1 and b = 1 (D) a = 1 and b = 1 4 16 3 24 Area under the pdf curve must be unity Thus 2a + 4a + 4b 2a + 8b = 1 For maximum entropy three region must by equivaprobable thus 2a = 4b = 4b From (1) and (2) we get b = 1 and a = 1 12 6 Hence (A) is correct option.

=1 ...(1) ...(2)

MCQ 1.83

Assuming that the reconstruction levels of the quantizer are the mid-points of the decision boundaries, the ratio of signal power to quantization noise power is (A) 152 (B) 64 9 3 (C) 76 3 Hence correct option is ( ) (D) 28

SOL 1.83

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Visit us at: www.nodia.co.in

Page 42

GATE EC 2007

www.gatehelp.com

Statement for Linked Answer Question 84 and 85 :


In the Digital-to-Analog converter circuit shown in the figure below, VR = 10V and R = 10k

MCQ 1.84

The current i is (A) 31.25 A (C) 125 A

(B) 62.5 A (D) 250 A

SOL 1.84

Since the inverting terminal is at virtual ground the resistor network can be reduced as follows

The current from voltage source is I = VR = 10 = 1 mA R 10k This current will be divide as shown below

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Visit us at: www.nodia.co.in

Page 43

GATE EC 2007

www.gatehelp.com

Now

-3 i = I = 1 # 10 = 62.5 A 16 16

Hence (B) is correct answer.


MCQ 1.85

The voltage V0 is (A) 0.781 V (C) 3.125 V

(B) 1.562 V (D) 6.250 V

SOL 1.85

The net current in inverting terminal of OP - amp is I- = 1 + 1 = 5I 4 16 16 So that V0 = R # 5I = 3.125 16 Hence (C) is correct answer.

Answer Sheet

1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13.

(A) (B) (C) (A) (D) (A) (D) (C) (D) (C) (C) (A) (C)

19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31.

(A) (D) (C) (A) (C) (D) (B) (C) (A) (D) (D) (A) (D)

37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. 48. 49.

(B) (D) (D) (C) (D) (B) (A) (C) (A) (C) (A) (A) (B)

55. 56. 57. 58. 59. 60. 61. 62. 63. 64. 65. 66. 67.

(D) (D) (A) (D) (C) (B) (C) (B) (A) (C) (D) (A) (C)

73. 74. 75. 76. 77. 78. 79. 80. 81. 82. 83. 84. 85.

(C) (B) (A) (A) (C) (B) (C) (A) (D) (A) (*) (B) (C)

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Visit us at: www.nodia.co.in

Page 44

GATE EC 2007

www.gatehelp.com

14. 15. 16. 17. 18.

(B) (D) (D) (C) (B)

32. 33. 34. 35. 36.

(A) (B) (B) (C) (C)

50. 51. 52. 53. 54.

(B) (B) (B) (D)

68. 69. 70 71

(D) (B) (D) (A) (B)

72 (A) **********

Brought to you by: Nodia and Company PUBLISHING FOR GATE

Visit us at: www.nodia.co.in

You might also like