You are on page 1of 21

COMPARADORES Introduccin La funcin bsica que realizan estos bloques funcionales es la comparacin entre dos datos o palabras de n bits,

de tal manera que detectan si son iguales o diferentes, y en este ltimo caso cul de los dos datos es mayor. La estructura del bloque es como se indica en la siguiente figura. Tiene dos conjuntos de entradas de n bits, para los datos a comparar, y tres salidas que indican el resultado de la comparacin. O sea, que segn la salida activada se puede saber si los datos son iguales, o en caso contrario, cul es el mayor de los dos.

Comparador de 2 palabras de 1 bits La siguiente Tabla de verdad corresponde a un comparador de 2 palabras de bit.

Las funciones son las siguientes:

Implementacin fsica:

Ejercicio: Disear un comparador de dos palabras de 2 bits cada una.

Cl 7485: Comparador de datos de 4 bits Un bloque funcional comparador muy popular lo constituye el CI 7485, de tecnologa TTL. Tambin existe en tecnologa Cmos (74HC85). En la figura se representa su simbologa en su forma normalizada, en la cual aparece el indicativo COMP. Los datos de entrada a comparar son de 4 bits, especificados por P y Q. Dispone de tres entradas ms (<, = y >) que permiten obtener una mayor capacidad de comparacin, combinndolo con otros circuitos iguales. A continuacin se muestra una tabla con la c ual expresamos su funcionamiento:

Como se observa, el estado de las salidas, P<Q, P=Q y P>Q, depende de los datos de entrada a comparar y tambin de las entradas de ampliacin (<, = y >).

Figura Smbolo lgico normalizado del bloque comparador de datos de 4 bits, Cl 74HC85. Aplicacin prctica experimental del Cl 74HC85 En la siguiente figura se muestra un circuito prctico de experimentacin con Cl 7485. En esta aplicacin prctica, activamos los LED de forma directa, sin transistores, procurando no sobrecargar las salidas en detrimento de la intensidad luminosa:

CIRCUITOS ARITMETICOS SUMADORES En los sistemas de electrnica digital, segn las aplicaciones, puede ser necesaria una cierta capacidad de proceso aritmtico. Es el caso, por ejemplo, de sistemas de control industrial en los cuales la activacin de los elementos de salida (motores, electrovlvulas, etc.) dependa del resultado de ciertas operaciones aritmticas realizadas con magnitudes numricas de e ntrada, o bien, dichos resultados se tengan que representar por un visualizador (display). Los autmatas programables, por ejemplo, son sistemas digitales diseados especialmente de cara al control industrial, y disponen de una cierta capacidad de clculo. El bloque aritmtico fundamental es el sumador, ya que suele ser suficiente en la mayora de aplicaciones sencillas y, adems, combinndolo con otros circuitos, se pueden realizar tambin otras operaciones aritmticas fundamentales. Incluso en los microprocesadores, que son la base de la estructura de los ordenadores y de los autmatas, su unidad aritmtica se fundamenta tambin en un dispositivo sumador. Las dems operaciones se obtienen por procedimiento s de programacin basados en la suma; por ejemplo, una multiplicacin se puede obtener mediante sumas sucesivas. En esta parte del microprocesador se llevan a cabo tambin todo lo que son operaciones lgicas, por lo cual dicha parte recibe el nombre de unidad lgica aritmtica, o simplemente ALU (Arithmetic Logic Unit). Existen tambin bloques ALU en CI MSI; por ejemplo, el bloque integrado 74181 es una ALU muy popular. A nivel MSI, los bloques lgicos aritmticos ms populares son, por tanto, los sumadores, aunque existen tambin otros tipos de bloques aritmticos.

Semisumador
El circuito semisumador (half adder) es la mnima expresin de un circuito sumador (fig. 5.59). Tiene dos entradas, para los bits a sumar, y dos salidas; la salida de resultado, S, y la de acarreo (carry), C. Realiza la suma aritmtica entre dos bits, o sea, la operacin:

Bloque semisumador Por tanto, el semisumador cumple con la tabla de verdad siguiente

El circuito que se deduce es, pues, el presentado en la figura. La opera cin suma aritmtica la realiza la puerta O-exclusiva (XOR) y el acarreo lo genera la puerta AND:

Sumador total
No obstante, para poder realizar sumas con datos de ms de 1 bit, es preciso que el circuito sumador tenga una entrada de acarreo (carry); para poder as sumar un posible acarreo de una etapa anterior de la suma. Aparece entonces la estructura del bloque sumador total (full adder) (fig. A). Se diferencia del semisumador en que tiene entrada de carry (C ; ).

Diseo de un sumador total


Partiendo de las funciones que debe realizar el bloque sumador total, su diseo se basa en realizar un circuito combinacional que cumpla con la tabla de verdad siguiente:

Las funciones son las siguientes:

Es un circuito con tres entradas y dos salidas.

Se reducen las funciones generales mediante Karnaugh

La funcin suma

Se trata de una funcin no simplificada; es una funcin O-exclusiva de tres entradas. En cuanto a la funcin para el acarreo aparece:

Se deduce as el circuito que se expone en la siguiente figura. Su realizacin puede hacerse mediante un 7486, un 7432 y un 7408. Esta es una de las soluciones de realizacin del bloque sumador total. Otra solucin para la formacin de un sumador tot al consiste en la combinacin de dos circuitos semisumadores.

Sumador completo como bloque externo

En la siguiente figura se presenta la realizacin de un sumador de dos nmeros binarios de cuatro bits en bloque

Sumador de datos de 4 bits (7483) Su estructura funcional y simbologa normalizada es como se muestra en la figura 7483: Este es un bloque sumador muy popular. Es de tecnologa TTL estndar, con acarreo en serie. 74LS83A: Es el 7483 en la serie LS, con la diferencia de una mayor veloci dad de operacin, debido a que utiliza diferente tratamiento en el proceso del acarreo (fast carry). Es un sumador rpido. 4008B: Es un bloque sumador de tecnologa CMOS, con una generacin de acarreo combinada serie-paralelo (sumador rpido). 74LS283: Este CI es de las mismas caractersticas que el 74LS83A, sumador rpido de 4 bits, pero con diferente patillaje. 74HC283: Este CI es equivalente al TTL 74LS283, pero con tecnologa HCMOS.

Figura 5.64. Bloque sumador de datos de 4 bits, (a) Simbologa lgica tpica, (b) Simbologa normalizada.

Aplicacin prctica experimental del circuito integrado 7483 Un ejemplo de montaje prctico para la experimentacin del bloque sumador de 4 bits 74LS83 se muestra en la figura siguiente. La entrada de acarreo, C se conecta a masa porque no existe ningn acarreo anterior. Y el posible acarreo de salida se obtiene por la lnea Co. Los LED visualizadores de los estados de las salidas, para fines experimentales, se pueden conectar sin transistor amplificador.

Ejemplo de operaciones a realizar:

Es caso en el cual no se genera acarreo de salida, por lo cual Co = 0, y el LED quedar apagado. Un ejemplo de operacin con acarreo de salida se muestra a continuacin:

CODIFICADORES Introduccin I. a d ef i n ic i n m s ex t en d i da de los c od if ic ad or es es q u e s o n circuitos combinacionales con 2 " entradas y n salidas (a u nq ue e n l a prc t ic a, a lg un os m ode los ti e ne n m en o s en tra d as ). A c a d a u na de l as e ntr a das s e l es as ig n a un n m er o d e ac t i v ac i n d e c a d a u na d e d ic h as e ntr ad as pr o d uc e u na c om bi n a c i n b in ar i a d e s al i d a c orr es p on d ie n te a d ic h a en tr ad a. De una forma ms sencilla se puede decir que la funcin que realizan los Cl MSI codificadores es producir combinaciones binarias correspondientes a la activacin de diferentes entradas que expresan informacin decimal ; pasan de unos datos en sistema decimal a binario

A ni v e l de C l L S I, e x is t e t am bi n lo q ue s e l l am an codificadores ASCII, ut i l i za d os e n l os ord e na d or es c om o i n t erf a z en tre e l t ec l ad o y e l s is t em a p r oc e s ad or . Ca d a t ec l a pu ls ad a hac e q ue e l c o d if ic a dor pr o d u zc a u na s a l id a b in ar i a, en c d i g o A SC II , c or res p on d i en te a l a tec l a p uls a da . Los c o d if ic ad or es s e enc u e ntr an t p ic am ent e c om o c irc u it os d e ad a pt ac i n e nt r e los t ec la d os y e l s is t em a d i gi t al . Un a a pl ic ac i n m u y r epr es e nt at i v a de u n c od if ic a d or M SI a pa rec e en l a es tr uc t ur a d e u na c a lc ul a do ra s e nc i l l a, p ara l a in tr od uc c i n d e l os d at os B CD a tr a v s de u n t ec la d o (f ig ur a s i g ui e nt e ) . L a m is i n d e l c od if ic a d or es codificar a b i n ari o l os n m eros d ec im al es in tr od uc i dos m edi an t e el t ec la d o. O s e a, e l n m ero d e la t ec la p u ls a d a ap ar ec e a l a s a li d a d e l c od if ic a d or en b i nar i o. S i s e pu ls a la tec l a 9, e l c o d if ic ad or pr o d uc ir el c d i g o b i nar i o 1 0 01 . Un c ir c u it o c od if ic a d or de es te t ip o es de n om in ad o codificador dcima/ a BCD. Es u n s i s tem a c om bi n ac io n al c on d ie z en tr ad as y c ua tr o s a li d as , s e g n s e r ep r es e n ta e n l a f i gur a a nt er io r . A l ac t i var un a d e l as e ntr a das , a la s a l i da a par ec e la c om bi n ac i n b in ar i a c or r es p o nd i en t e al n m ero dec im a l as i g n ad o a d ic h a e ntr a da . L a en tr ad a 0 p u ed e n o es tar d e b id o a q u e, e n es te pr i nc ip i o d e c od if ic a d or , s u ac t i v a c i n p ro d uc e e l m is m o ef ec to e n la s a l i da qu e s i no s e ac t i v ar a n in g un a e ntr a da .

Codificacin sin prioridad


Estas estructuras de codificadores, que hemos presentado a modo de introduccin, corresponden a lo que se denomina codificadores sin prioridad. En los codificadores sin prioridad slo puede haber una entrada activada en cada momento; en el caso de presentarse ms de una entrada activada a la vez, la combinacin binaria de salida es errnea, puesto que se superponen los estados de salida.

Codificacin con prioridad


Existen los denominados codificadores con prioridad, en los cuales s que pueden encontrarse varias entradas activadas simultneamente; en este caso, la combinacin binaria de salida corresponde con la entrada activada de mayor valor decimal. Por ejemplo, si se activan simultneamente las entradas 5 y 8, la combinacin binaria de salida ser 1000 (la del 8). Los circuitos de esta manera resultan algo ms complejos, pero con la misma base. Ejemplo de codificador con prioridad es el CI 74147 o 74148.

Decodificadores
En principio, se pueden formar dos grupos de decodificadores:
Excitadores No excitadores

Los excitadores permiten una mayor Cargabilidad de salida, y los ms representativos, son los activadores de visualizadores del tipo de siete segmentos. Dentro de los excitadores, tambin los hay con otra estructura de funcionamiento, y que permiten la activacin de cargas como lamparitas , LED, rels, etc. En general, todos los tipos de decodificadores reciben cdigo binario de entrada, y a cada combinacin producen un estado determinado de salida. En los decodificadores no excitadores de displays se produce slo la activacin de una de las salidas que tiene, la correspondiente a la combinacin binaria de entrada. En cambio en los decodificadores excitadores de displays se produce la activacin simultnea de varias salidas; a cada combinacin de entrada se produce otra combinacin binaria de salida, por lo cual tambin son conocidos po r convertidores de cdigo.

Decodificadores excitadores
En general, los decodificadores excitadores permiten el control de cargas externas como LED, rels, displays, etc. Las salidas pueden operar con tensiones y corrientes mayores que las del resto de decodificadores. El modelo ms representativo es el de excitacin de visualizadores numricos del tipo de siete segmentos, displays (figura siguiente). Los nmeros en cdigo BCD aplicados en la entrada, produce n un cdigo de siete salidas que hace que aparezca en el visualizador el nmero decimal correspondiente al dato BCD de entrada. Estos CI los fabricantes los denominan BCD to 7-segment decoder/driver. O sea decodificadores con amplificadores de corriente en las salidas (drivers), que pasan de una entrada en cdigo BCD a un cdigo de siete variables (salidas) puesto que pasan de un cdigo a otro, su denominacin ms apropiada es como convertidores de cdigo. Antes de entrar en detalle en dichos bloques lgicos, consideramos apio piado describir los visualizadores del tipo de siete segmentos.

Visualizadores numricos de siete segmentos


Los visualizadores, tambin conocidos por displays, ms p opulares en electrnica digital son los que se basan en diodos emisores de luz (LED) como elemento visualizador. Diodos emisores de luz (LED). La denominacin de diodo LED viene de Li ght Emitting Diode, cuya significado es diodo emisor de luz Son diodos que, dada su construccin fsica, al polarizarlos directamente emiten una radiacin luminosa; o sea, generan luz. Se fabrican basndose en elementos como arseniuro de galio y fsforo. Los colores de luz que, bsicamente, generan son rojo, amarillo y verde. Siendo el color rojo el ms usual de todos ellos. No obstante, existen tambin en otros tonos, as como de radiacin no visible (infrarrojos). Un circuito prctico de activacin de un LED se muestra en la figura siguiente. Al cerrar el interruptor, el LED se polariza directamente y circula corriente por l, con lo cual emitir luz (se enciende). La intensidad luminosa que emite depende de la corriente que pase por l; a ms corriente, ms luz.

La corriente que se les hace circular normalmente es de unos 10 a 20 mA, para un encendido de una cierta intensidad luminosa. Aunque esto depende de las aplicaciones; en segn qu casos, con 5 mA (o menos) puede ser suficiente. La cada directa tpica de los diodos LED (rojos) es del orden de 1,8 V (se suele tomar 2 V), variando algo en funcin de la corriente. Esto tambin depende del color (los verdes tienen ms cada de tensin). Un visualizador de siete segmentos consiste en una determinada distribucin de siete LED en el bloque visualizador . Cada uno de los puntos luminosos, LED, aparece externamente en forma de barra denominada segmento Segn los segmentos activados, pueden visualizarse los nmeros decimales 0 al 9) . Por ejemplo, activando los segmentos a, b, c, d y g se obtiene la representacin del nmero decimal 3 . La activacin de los siete segmentos da lugar al nmero 8. O sea, que la visualizac in de cada nmero se hace activando los segmentos adecuados; es el cdigo de siete segmentos.

Aparecen dos tipos de estos visualizadores: los de ctodo comn y los de nodo comn. En los de ctodo comn, como su nombre indica, se unen interna mente los ctodos de los siete diodos, apareciendo en el exterior las siete patillas de los segmentos y la patilla comn (fig. a). La activacin de los segmentos se hace aplicando niveles lgicos altos (1) a las entradas, teniendo conectado el punto comn a masa. Al igual que en la activacin de los LED, tambin se tiene que tener la precaucin de conectar cada segmento a travs de una resistencia limitadora de corriente. En los de nodo comn, se unen todos los nodos (fig. b). En este caso el punto comn se conecta a positivo y la activacin de los segmentos se hace aplicando niveles lgicos bajos (0) a las en tradas de segmento Un ejemplo tenemos el CI 7447 o 7448.

Decodificadores no excitadores
Realizan la funcin inversa a los codificadores, de ah su denominacin. O sea, que son sistemas con un conjunto de n variables binarias de entrada y presentan un mximo de 2" variables de salida. Del conjunto de salidas, slo una de ellas se puede encontrar activada; la correspondiente a la combinacin binaria de entrada. As, en resumen, cada combinacin de entrada activa una de las salidas. Es la operacin inversa a la de los codificadores. Por ejemplo el decodificador BCD a decimal 7442.

MULTIPLEXORES
El multiplexor es uno de los bloques lgicos MSI de ms importancia, debido a sus numerosas aplicaciones. Es un sistema combinacional con un determinado nmero de entradas, denominadas canales, una salida de datos y unas entradas de seleccin. Se cumple que: 2 ent. Seleccin = N canales En primera aproximacin, su estructura es como se muestra en la siguiente figura para el caso de un multiplexor de ocho canales. Mediante las entradas de seleccin, se seleccionan los canales de entrada; cada canal se selecciona aplicando la correspondiente combinacin binaria en las entradas de seleccin. Los datos presentes en el canal seleccionado aparecen en la salida.
N

La funcin que realiza un multiplexor es, bsicamente, la de un selector de entradas. En la figura siguiente se muestra un ejemplo con un multiplexor de cuatro canales; realiza la misma funcin que el conmutador de cuatro posiciones, pero con seales digitales. Un smil de la funcin de un multiplexor puede ser, por ejemplo, la del selector de entradas en un amplificador de sonido. La funcin bsica de multiplexado da lugar a diversas aplicaciones, por ejemplo: .- S e lec tor di g i ta l d e e ntr a das .- Serializador; conversin de datos en formato paralelo a formato serie.

Trasmisin multiplexada (trasmisin de diferentes datos a travs de las

mismas lneas).
Realizacin de funciones lgicas.

Diseo de un multiplexor de cuatro canales


Con el fin de dar idea de la constitucin interna y funcionamiento de un

multiplexor, vamos a disear uno de cuatro canales.

Partimos de la siguiente especie de tabla de verdad:

Ignorando los estados indiferentes X, y fijndonos nicamente en los estados en que la salida es 1, deducimos la expresin cannica suma de productos siguiente:

Esta es la expresin caracterstica del multiplexor. El circuito correspondiente se muestra en la figura.

CI multiplexores prcticos
Como ejemplo de multiplexor tenemos el circuito integrado . D is p o n e de d os s a l id as , u n a de e l l as en f orm a c o m plem en ta d a. T i e ne un a pa t i ll a d e c o n tr o l , E ( Enable), ac ti v a da p or 0; es u na habilitacin de l c h ip . S l o c u an d o d i c ha pa t i l la es t a n i v e l b aj o , L. f u nc io n a el c ir c ui t o. S i es t a l ne a es t a n i v e l a lt o, H , la s al i d a d ir ec t a ( Y) s e qu e da f ij a e n es t ad o b aj o , L, y e l c irc u it o n o es o p er at i v o. La t ab l a de f unc io n es s e m u es tr a e n l a f ig ur a. O bs r v es e c m o s l o c u an d o l a pa t i ll a d e c o nt r o l E e s t e n es t ad o baj o, L , (0) , e l c i rc u i to es o pe r at i v o.

En el recuadro aparece el indicativo M U X , que significa multiplexor. A las entradas de seleccin, en general, se les asigna la expresin:

siendo n el nmero de entradas de seleccin. En este caso, puesto que hay tres entradas de seleccin, n = 3, aparece

Esto significa que cada una de las combinaciones de las variables de seleccin 0 a la 2, selecciona uno de los ocho canales de entrada. O sea, las entradas de seleccin 0, 1 y 2, afectadas por el smbolo G, permiten la seleccin de los canales de entrada 0 al 7. Esto se conoce en la simbologa IEC por notacin de dependencia', existe una dependencia entre las entradas indicadas (seleccin) y las entradas de infor macin (canales). La G significa una dependencia de tipo Y, representa un prod ucto lgico entre las entradas afectadas. Internamente, entre las entradas de seleccin y los canales se hace una operacin Y; la seal del canal seleccionado slo pasa a la salida exterior, Y, cuando las entradas de seleccin tienen los valores lgicos correctos.

Aplicaciones de los multiplexores No profundizaremos mucho en algunas de sus aplicaciones debido a que requieren tambin funciones de otros circuitos .

1.- Selector de entradas La funcin bsica del elemento multiplexor permite ya la realizacin de un conmutador electrnico digital, a semejanza de los conmutadores mecnicos que se usan, por ejemplo, en los amplificadores de sonido y generadores de frecuencias.

Figura Ejemplo de utilizacin de un multiplexor como selector de entradas. En la salida aparece la seal del canal seleccionado; es una seleccin digital de entradas. Mediante un CI 74HC151 se obtiene la funcin de un conmutador de ocho posiciones. Cada combinacin binaria en las entradas de seleccin hace que apa rezca en la salida la seal del canal seleccionado; es una seleccin digital de entradas. Una aplicacin utilizada en instrumentacin. Por supuesto, no se hace simplemente con unos interruptores, sino de forma electrnica. Y si el multiplexor es del tipo analgico, como el 74HC4051, entonces pue den conmutarse tambin seales analgicas. En general, esto tiene aplicacin para seleccionar el equipo al cual interesa enviar una cierta informacin; intercomunicadores, monitorizacin musical, instrumentacin, etc. Es una aplicacin utilizada en equipos de sonido e instrumentacin. La conmutacin mediante dispositivos digitales es lo que permite los sofisticados controles digitales tan empleados en videos, TV , equipos de audio, etc.

193

2.- Serializador Otra de las aplicaciones es la conversin de datos en formato paralelo a formato serie. Por ejemplo, mediante el CI 74151 se puede enviar un dato para lelo de 8 bits a travs de una sola lnea, en formato serie; los bits van apareciendo por la lnea secuencialmente, uno tras otro. Esto se ilustra en la figura siguiente. Para lograr esto se precisa, adems, un circuito contador binario, el cual va generando los cdigos de seleccin de los canales automticamente a una cierta velocidad. La velocidad de seleccin de los canales depende de la frecuencia del oscilador de reloj (clock), el cual determina, por tanto, la velocidad de transmisin de los bits. Las cuestiones sobre datos en serie son muy importantes en las transmisio nes de datos, ya que permite el envo de informaciones basndose en una sola lnea, lo cual es muy empleado en las comunicaciones en general.

Aplicacin de un multiplexor como Serializador (conversin de datos en paralelo a formato serie). El dato paralelo de entrada aparece secuencialmente en la salida bit tras bit, al ritmo de un generador de impulsos (clock).

Demultiplexores
En principio hay que decir que este tipo de bloque lgico es una aplicacin de los decodificadores no excitadores. No existen Cl exclusivamente demulti plexores. Por esta razn, los fabricantes ofrecen Cl con la denominacin decodificadores/demultiplexores', son los circuitos decodificadores no excitadore s, ya que permiten las dos funciones. Por definicin, los demultiplexores realizan la funcin contraria a la de los multiplexores. O sea, bsicamente, se comportan como un selector de salidas. Tienen una sola entrada de datos cuya informacin se puede hace r que aparezca por una de las diferentes salidas (canales) que tiene, para lo cual dispone de

Bloque demultiplexor de cuatro canales; realiza la funcin de un conmutador selector de salidas. Una seal de entrada se puede canalizar hacia la salida que interese unas entradas de seleccin. En la figura anterior se muestra la estructura funcional de un demultiplexor de cuatro canales, cuya funcin realizada es equivalente a la del conmutador de cuatro posiciones que se muestra: selector de salidas. n En general, tienen n entradas de seleccin, 2 salidas y una entrada de datos. Cada combinacin binaria aplicada en las entradas de seleccin hace que el estado lgico de la entrada de datos aparezca por una de las salidas. Circuito demultiplexor con el Cl 74139 En la figura se muestra la estructura general normalizada de un demultiplexor de cuatro canales, basado en el decodificador 74139 (u tilizado como demultiplexor). El indicativo utilizado ahora es DX (que tambin puede ser DMUX), que significa demultiplexor.

(a) Smbolo lgico normalizado de un demultiplexor de cuatro canales (salidas) De hecho, es el bloque decodificador de dos variables (74139) aplicado como demultiplexor (b) Tabla indicativa del funcionamiento del demultiplexor.

La entrada de EN (enable) es la utilizada como entrada de datos, D. La salida seleccionada toma el estado lgico 0 si D=0 , y el resto de salidas queda a 1. Y para D= 1, tanto la salida seleccionada como las dems toman el estado 1 (fig. b). As, pues, en la salida seleccionada van apareciendo los estados que vaya tomando la entrada D (EN); o sea, la seal de d atos, D, aparece en el canal de salida seleccionado. Como es fcil comprender, cualquier decodificador no excitador con entrada de enable (EN) puede realizar la funcin de demultiplexado. Po r ello los fabricantes ofrecen bloques denominados como decodificadores/demultiplexores. Un ejemplo es el 74139, que responde como decodificador y demultiplexor

Sistemas de transmisin multiplexada


Una de las principales aplicaciones de los demultiplexores es pa ra formar sistemas de transmisin multiplexada. Se basa en la combinacin de un bloque multiplexor con un bloque demultiplexor, como se muestra en la figura. La funcin que realiza es equival ente a la de dos conmutadores de ocho p osiciones funcionando
de forma sincronizada. Los contadores van generand o automticamente los cdigos de seleccin, al ritmo de un generador de impulsos (reloj), sincronizadamente. Esto permite la comunicacin entre sistemas electrnicos a travs, bsicamente, de una sola lnea.

(a) Estructura simplificada de un sistema de transmisin multiplexada Se basa en un bloque multiplexor y otro demultiplexor, (b) La funcin bsica que realiza el sistema es como el circuito de conmutadores que se muestra.

You might also like