You are on page 1of 2

BASIC GATES:

library ieee; use ieee.std_logic_1164.all; entity gates is port( a,b :in bit;y_and , y_or ,y_not,y_xor,y_xnor: out bit); end gates; architecture gt of gates is begin y_and <= a and b; y_or <= a or b; y_not <= not a; y_xor <= a xor b; y_xnor <= a xnor b; end gt;

You might also like