You are on page 1of 5

JOURNAL OF COMPUTING, VOLUME 4, ISSUE 2, FEBRUARY 2012, ISSN 2151-9617 https://sites.google.com/site/journalofcomputing WWW.JOURNALOFCOMPUTING.

ORG

34

Compare Performance of Bruijn and Mesh Architectures in Network-On-Chip


Mohammad Reza Nouri rad Department of Computer Engineering Islamic Azad University, Khorramabad Branch, Iran Reza Kourdy Department of Computer Engineering Islamic Azad University, Khorramabad Branch, Iran

Abstract Various network topologies such as meshes and bruijn used for Network on Chip (NoCs). In particular, much attention has focused on mesh-based topologies that was a project studies and develops a Network-on-Chip (NoCs). In this paper, we consider four parameters, such as their relatively short hop-count that enables lower latency and effect on the time of transfer data between cores, impact of fault and bandwidth utilization for evaluation of mesh and bruijn architectures. We also carry out the high-level simulation of on chip network using NS-2 to verify the analytical analysis. Index TermsNetwork on chip (NoC), Bruijn,mesh, MPSoC (Multiprocessor Systems-on-Chip), performance.

1 INTRODUCTION
Current core based on SOC methodologies will not respond to the needs of the billion transistor era. Network on Chip (NOC), a new chip design paradigm concurrently proposed by many research groups[1],[2],[3] is expected to be an important architectural choice for future SOCs. The proposed NOC architectures offer a general but fixed communication platform which can be reused for a large number of SOC designs. A concept of computer network in layers based on the classical OSI reference model is used by all of proposed NOC architectures. We predict that NOC architecture would facilitate reuse at various levels of system design, thus reducing the time to design and test. However, NOC research is still in its infancy. A higher-level modelling will give us the insight of knowing more about its architecture. We would use the tool, Network Simulator ns-2 [4],[5] which has been extensively used in the research for design and evaluation of public domain computer network, to evaluate various design options for NOC architecture, including the design of router, communication protocol, routing algorithms. This paper reports some experimental results based on the simulation of NOC using ns-2. In the following, we give a brief overview of our NOC architecture and introduction to ns-2. In section II, we describe how various aspects of our NOC architecture was modelled using ns-2. As semiconductor technology improves, the number of processing cores integrated on a single chip has continually increased. To connect many cores on a chip, Network-on-Chips (NoCs) [6, 7, 8] that introduce a packetswitched network structure have been widely employed instead of traditional bus-based on-chip interconnects. The performance bottleneck imposed by on-chip interconnects in the deep submicron regime of process technology has been widely documented [9], as have the benefits of standardization of on-chip communication [10]. Implementing a standardized communication architecture such as a packet-switched NoC for massively integrated multiprocessor systems provides an abstraction of the global interconnection link and can greatly reduce design effort, potentially at the cost of some area and possibly power and performance penalties. The suitability of the NoC as a communication architecture depends on the overall system; i.e. the number of autonomous functioning blocks, the degree of parallelism, and area and performance requirements dictate its usefulness [11].

2 BACKGROUND
On-chip interconnection is a widely studied research field and good overviews are presented [12],[13], which illustrate the various interconnection schemes available for present ICs and emerging Multiprocessor Systems-onChip (MPSoC) architectures. A NoC-based interconnection is able to provide an efficient and scalable infrastructure, which is able to handle the increased communication needs. The network architecture, or topology, describes the physical organization of the interconnections network. A network topology can be classified as being either direct or indirect. A node in a network can be a terminal node, which acts as a source and sink for data, a switch that routes data, or both. In a direct network, every node acts as a terminal node. In an indirect network, a node is either a terminal or a switch node. A direct network can be redrawn as indirect by redrawing each node as two nodes and showing the switch and terminal nodes separately. Designers of large-scale SoCs must be aware of the advantages and disadvantages of each architecture in order to select an appropriate candidate for their implementations. The metrics that are of interest can be broadly categorized as [14]: performance (latency, throughput, cross-section bandwidth), Energy consumption, Reliability (error detection and/or correction), Scalability,

JOURNAL OF COMPUTING, VOLUME 4, ISSUE 2, FEBRUARY 2012, ISSN 2151-9617 https://sites.google.com/site/journalofcomputing WWW.JOURNALOFCOMPUTING.ORG

35

Implementation cost (area).

2.1 Why NoC?


Three-dimensional integrated circuits (3D-ICs) are capable of achieving better performance, functionality, and packaging density compared to more traditional planar ICs. On the other hand, networks-on-chip (NoCs) are an enabling solution for integrating large numbers of embedded cores in a single die. 3D NoC architectures combine the benefits of these two new domains to offer an unprecedented performance gain [15]. Technology scaling is causing the energy consumption of the on-chip network to become an increasingly important design criteria. The goal of macronetworks is to maximize performance without regard for energy consumption, especially for large scale parallel computers where throughput and latency are of primary importance. It therefore stands to reason that a straightforward adaptation of macronetwork implementations for network-onchip is not appropriate. The problem faced by chip designers is that the design criteria run contrary to one another: Minimizing the energy consumption and maximizing performance are usually conflicting goals. Increased reliability usually means higher complexity, which results in larger area, degraded performance, and higher energy consumption. Therefore, designing a NoC interconnect requires searching through a vast multidimensional design space. There are many design parameters that can affect system performance and cost, but the design decision that has the largest impact is the choice of topology. The remainder of this section will briefly discuss the basic network topologies that other topologies are derived from.

link failure and scrambled messages, a fault-tolerant communication protocol suite, including error-resilient coding schemes, are needed to ensure the reliable delivery of on-chip messages on top of an unreliable on-chip communication substrate. Time to Failure Faults, can occur throughout the lifetime of an IC. Using the point when the chip is packaged and tested as the watershed event, we distinguish between before-shelf faults and after-shelf faults. Currently, chips with before shelf faults, i.e., defects which are discovered during testing, are invariably discarded. Only dies with no discovered defects are shipped out as products. With the shrinking feature size, it is becoming increasingly difficult to achieve decent yield with reasonable cost. The low yield problem will become more acute for the 90nm technology and beyond. On the other hand, the potential yield of the manufacturing process can increase tremendously if some defects on the die can be tolerated in the ICs after-shelf life. Static fault masking and isolation techniques, both hardware and software based, can be used to use these previously deemed Bad chips in commercial products, such as Pico Chip [18]. For after-shelf faults, dynamic fault detection and recovery means are needed to ensure the correct function of the chip as long as possible. Furthermore, graceful degradation of system performance is necessary for some mission-critical Applications.

2.3 Related Work


Up to now NoC designs were limited to two dimensions. But emerging 3D integration technology exhibits two major advantages, namely, higher performance and smaller energy consumption [19]. A survey of the existing 3D fabrication technologies is presented by Beyne [20]. The survey shows the available 3D interconnection architectures and illustrates the main research issues in current and future 3D technologies. Through process/integration technology advances, it is feasible to design and manufacture NoCs that will expand in the third dimension (3DNoCs). Thus, it is expected that 3D integration will satisfy the demands of the emerging systems for scaling, performance, and functionality. A considerable reduction in the number and length of global interconnect using 3D integration is expected [21].

2.2 Fault Model


There exist several dimensions in classifying the possible fault occurrences during the life cycle of an MPSoC. We list the classification as follows: Duration In terms of duration, the faults can be classified into transient faults and permanent faults [16]. In the case of the MPSoC, both types of fault can occur in the chip life cycle. Crash failures are permanent faults which occur when a tile halts prematurely or a link disconnects, after having behaved correctly until the failure. Transient faults can be either omission failures, when links lose some messages and tiles intermittently omit to send or receive, or arbitrary failures (also called Byzantine or malicious), when links and tiles deviate arbitrarily from their specification, corrupting or even generating spurious messages. [17] Location In general, MPSoC designs consist of two integrated parts, the Processing Elements (PEs) and Networkon-Chip (NoC). Faults can occur in both parts. In the case that a fault occurs in the PEs, the computation results will be erroneous. Dynamic fault detecting and masking actions are needed to make sure the erroneous results will not contaminate the application environment. In the case that a fault occurs in the communication path, such as

3 NETWORK AND SYSTEM ARCHITECTURE


One of the widely used NoC topologies is the Mesh and bruign architectures. We analyze the performance of a Mesh-based NoC in presence of permanent faults With IP routing are adopted.

3.1 Topology and Hardware Architectures


Our NOC is a scalable packet switched communication platform for single chip design. The NOC architectures consist of switches with some resources. Resources Are Heterogeneous or can be homogeneously. A resource can be intellectual properties (IPs). Two different network topologies have simulated namely Mesh and Bruijn. The

JOURNAL OF COMPUTING, VOLUME 4, ISSUE 2, FEBRUARY 2012, ISSN 2151-9617 https://sites.google.com/site/journalofcomputing WWW.JOURNALOFCOMPUTING.ORG

36

size of both topologies is 8*8 switches that shown as below:

SIMULATION FRAMEWORK

These topologies simulated by NS2 (a network simulator). We reduce all parameters as multiply of 1000 to support the simulation time. To compare of these architectures in term of packet forwarding we consider the bandwidth between switches is one megabit/Sec and all link in mentioned topologies was duplex link. The bandwidth between resources and switches is ten times bigger than the bandwidth of switches to switches. We consider the traffic source for each communicated core was UDP, and the bandwidth of cores that needs was equal to one Megabit/Sec and the delay of switch-to-switch or resource to switch is equal to 10 milliseconds.

EVALUATIONS AND SIMULATION RESULTS

In this section, four parameters communication load, Fault-Tolerant, End-to-End Delay and Hop count defined for evaluation performance of our architectures.

Fig . 1. Two-Dimensional nostrum mesh 8*8 NoC.


We use nostrum Mesh architecture of 2-dimension 8x8 architecture [22]. The square nodes stand for IPs and the circle nodes stand for switches. This topology is easily scaled to different sizes.

5.1 Throughput and communication load We consider that two resources 0 and 126 are communicating together that have the maximum distance in diameter of network. A permanent fault between switches 5 and 7 has occurred at time 1.0. This permanent fault occurs in places that we have communication, as shown in fig .3, the communication load has reduces in both topologies.

Fig . 3. Bandwidth utilization in

Bruijn and Mesh NoC.

Fig . 2. Two-Dimensional Bruijn 8*8 NoC.


Also, each router has a routing table And based on the source or destination address, the routing table decides which link the outgoing packet should use. The common characteristic of NoC architectures is the constituent IP cores communicate with each other through switches. We assume the buffer size in each resource is infinite but finite in switches. This implies the packet being dropped cannot happen in resources but only take place in switches [23].

5.2 Fault-Tolerant The faults that occur in NoC have two types as below: Permanent Faults. Transient Faults. Depend the nature of fault that was when and where tacked place, we consider a permanent fault that occurs in time 1.0, with three hops distance from the

JOURNAL OF COMPUTING, VOLUME 4, ISSUE 2, FEBRUARY 2012, ISSN 2151-9617 https://sites.google.com/site/journalofcomputing WWW.JOURNALOFCOMPUTING.ORG

37

source switch and transient fault was negligible.

Fig . 6. Average hop count in two architecture.

Fig . 4. Lost packets in two architecture.

As shown in fig.6, the Bruijn has fewer hop count related mesh architecture. These hop count has not effect on fault or bandwidth. The hop count effects on the time of transfer data between resources.

As shown in fig .4, when a permanent fault occurs in communication path, the number of lost packets in Bruijn topology was less than mesh topology.

CONCLUSIONS AND FUTURE WORK

5.3 End to End Delay


End to end delay is another parameter that we consider for evaluation performance of these architectures.

Through details simulation-based analysis of the reliability and network performance, we can conclude the Bruijn NoC in this paper could empower high throughput of data transmission with dramatic lower hop-Count.

Table 1-Comparison Mesh and Bruijn NOC.


Parameter Avg propagation delay Avg hop count 64 cores NOCs 8*8 Mesh Bruijn 0/220 s 16.00 0/107s 8.00 Improvement per cent 51/36% 50/00%

REFERENCES
[1] M. Sgroi, et al, "Addressing the System-on-a-Chip Interconnect Woes Through Communication-based Design", 38th Design Automation Conference, June, 2001. [2] Luca Benini, Giovanni De Micheli, "Network on Chips: A new SoC Paradigm", IEEE computer, Jan., 2002. [3] Shashi Kumar, et. al, "A Network on Chip Architecture and Design Methodology", IEEE Computer Society Annual Symposium on VLSI, Pittsburgh,Pennsylvania, USA, April 2002. [4] LBNL Network Simulator, http://www-nrg.ee.lbl.gov/ns/ [5] The network simulator - ns-2, available at http://www.isi [6] W. J. Dally and B. Towles. Route Packets, Not Wires: On-Chip Interconnection Networks. Proceedings of the Design Automation Conference, pages 684689, June 2001. [7] L. Benini and G. D. Micheli. Networks on Chips: Technology And Tools. Morgan Kaufmann Publishers, USA, 2006. [8] S. Vangal et al. An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS. Proceedings of the International Solid-State Circuits Conference, Feb. 2007. [9] D. Sylvester and K. Keutzer. Getting to the bottom of deep submicron. In Proc. ICCAD, pages 203211, 1998. [10] W. J. Dally and B. Towles. Route packets, not wires: on-chip

Fig . 5. Average end to end delay in both architecture.

As shown in fig .5, the average end to end delay between of mentioned cores in Bruign is fewer than Mesh architecture. This means the transfer rate in Bruijn NoC is faster than mesh NoC, with equal switches and resources.

5.4 Hop count


In the worst case, which the source and destination nodes have the maximum distance, for transferring packets in mesh topology 16 hops needed while in Bruijn topology packets are transferring only by 8-hop count. The difference of average hop count in both architectures has shown in fig.6.

JOURNAL OF COMPUTING, VOLUME 4, ISSUE 2, FEBRUARY 2012, ISSN 2151-9617 https://sites.google.com/site/journalofcomputing WWW.JOURNALOFCOMPUTING.ORG

38

interconnection networks. In Proc. DAC, pages 684689, 2001. [11] A. Y. Weldezion, M. Grange, D. Pamunuwa, Zhonghai Lu, A. Jantsch, R. Weerasekera, H. Tenhunen, "Scalability of Networkon-Chip Communication Architecture for 3-D Meshes," Proceedings of the 3rd ACM/IEEE International Symposium on Networks-on-Chip (NOCS'09), San Diego, pp. 114-123, May 2009. [12] J.Duato, S. Yalamanchili, andN. Lionel, InterconnectionNetworks:An Engineering Approach. San Francisco, CA: Morgan Kaufmann Publishers Inc., 2002. [13] W. Dally and B. Towles, Principles and Practices of Interconnection Networks. San Francisco, CA: Morgan Kaufmann Publishers Inc, 2003. [14] D. Bertozzi, Network architecture: Principles and examples, in Networks on Chips: Technology and Tools, ser. The Morgan Kaufmann Series in Systems on Silicon, G. D. Micheli and L. Benini, Eds. Morgan Kaufmann, Jul. 2006, ch. 5, pp. 147202. [15] B. Feero and P. Pande, Performance evaluation for threedimensional networks-on-chip, in IEEE Computer Society Annual Symposium on VLSI, 2007. ISVLSI07, pp. 305310, 2007. [16] D. K. Pradhan. Fault-Tolerant Computer System Design. PrenticeHall, Inc., 1996. [17] T. Dumitras, "On-Chip Stochastic Communication", Electrical and Computer Engineering, May 1st, 2003. [18] W. Robbins. Redundancy and binning of picoChip processors. Fall Processor Forum, 2004, San Jose, CA. [19] E. Beyne, 3D system integration technologies, In International Symposium on VLSI Technology, Systems, and Applications, Hsinchu, Taiwan, April 2006, 19. [20] E. Beyne, The rise of the 3rd dimension for system integration, In Proc. of International Interconnect Technology Conference, Burlingame, CA 57 June, 2006, 15. [21] J. Joyner, R. Venkatesan, P. Zarkesh-Ha, J.Davis, and J.Meindl, Impact of three dimensional architectures on interconnects in gig scale integration, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 9 (Dec. 2001) (6): 922928. [22] Nostrum, http://www.imit.kth.se/info/FOFU/Nostrum. [23] Y-R. Sun, S. Kumar, and A. Jantsch, "Simulation and evaluation of a network on chip architecture using ns-2", In Proceedings of the IEEE NorChip Conference, November 2002.

You might also like