You are on page 1of 6

Mdulo-8 SISTEMAS DIGITAIS DE MEDIO: ALIASING E FILTRO ANTI-ALIASING

24/05/2012 NOMES: Andre Gomes Vieira Emilio Palote Santos Hudson Vieira Coutinho

OBJETIVOS: Capacitar o aluno a: Compreender o funcionamento dos sistemas digitais de medio e evitar o falseamento (aliasing) dos componentes de frequncia do sinal. Evitar o falseamento dos componentes de frequncias (filtros anti-aliasing) em um sistema digital de medies. RESULTADOS DO APRENDIZADO: Ao final desta atividade o aluno dever demonstrar que capaz de: 1. Configurar corretamente o sistema digital de aquisio de dados para evitar o falseamento (aliasing) dos componentes de frequncia do sinal. 2. Projetar filtros ativos para evitar o falseamento dos componentes de frequncias (filtros antialiasing) para um sistema digital de medies. INTRODUO: A converso de um sinal analgico em um sinal digital est sujeita limitao do nmero de amostras do sinal que o sistema consegue digitalizar em um certo perodo de tempo. As variaes que ocorrem no intervalo de tempo entre uma captura e outra podem ser ignoradas, levando resultados inesperados e erros de interpretao do sinal medido. O teorema de Nyquist nos diz que a frequncia de amostragem deve ser no mnimoo dobro da maior frequncia contida no sinal de interesse.

Figure 1 - Aliasing devido a uma frequncia de amostragem menor que o dobro da maior frequcnai do sinal amostrado

Um problema que acontece na prtica a presena inevitvel de ruido. No basta apenas utilizarmos uma frequncia de amostragem que respeito o critrio de Nyquist em relao ao sinal de interesse. O rudo est presente em todo o espectro, portanto devemos filtrar o sinal, ou seja, retirar as frequencias de rudo que esto acima das frequncias do sinal de interesse.

Figure 2 - Aliasing no espectro

Portanto no basta amostrarmos o sinal, devemos ter um sistema capaz de filtrar, amostrar e processar os dados. Formamos ento um sistema de medio ilustrado abaixo:

Figure 3 - Sistema de medio incluindo o filtro antialiasing

N nossa prtica teremos o sample and hold e o multiplexador inclusos no conversor A/D. No nos preocupamos com isso ainda pois o interesse no momento a filtragem antialiasing. Vemos ento que o processo de convero de um sinal analgico segue a topologia acima, sendo resumida: _ Limitao em banda do espectro de freqncia presente no sinal (filtro antialiasing); _ Aquisio simultnea (sample&hold); _ Aquisio de vrios sinais (MUX); _ Converso analgico/digital (A/DC); _ Processamento de sinais; _ Converso digital/analgica; _ Filtro de reconstruo do sinal.

O objetivo dessa prtica projetar um filtro anti-aliasing. Sendo assim devemos seguir 3 passos bsicos: 1 Definir a maior frequncia (fs) que se deseja preservar do sinal a ser amostrado; 2 Definir uma frequncia de rejeio (fr) que seja um pouco maior que a fs; 3 Projetar um filtro passa baixa que tenha frequencia de corte fc 2fr. A frequencia de rejeio vai depender do ripple aceitavel aps a filtragem de modo que o conversor no enxergue o ruido e no tenha erro no bit menos significativo, ou seja, o ripple do ruido deve estar menor que a resoluo do digitalizador. Considerando um conversor de n bits, e a exrcuso do sinal de um valor V, teremos que a resoluo ser:

Logo a atenuao na faixa de rejeio dever ser: ( ) ( ) ( ) ( ) ( )

PROCEDIMENTO PRTICO: Para o nosso conversor de 10bits deveremos impor um filtro com -60,2db/deca de ganho na faixa de rejeio. Para isso, utilizaremos um programa de f=projeto de filtros chamado filter pro disponivel no site http://focus.ti.com/docs/toolsw/folders/print/filterpro.html. O sinal de interesse tem frequencia mxima de fs=3120Hz, a ordem mxima do filtro de sexta ordem.

Figure 4 - Diagrama de Bode para o filtro projetado

Figure 5 - Parmetros do filtro projetado

Figure 6 - Circuito resultante do programa

Tivemos dificuldades na montagem do filtro que logo de inicio no funcionou adequadamente. Encontramos um problema na protoboard na linha de alimentao de um dos amplificadores (foram utilizados 2 TL072); no estava chegando a tenso de -15V, aps resolvermos esse problema, conseguimos traas a resposta em frequncia do circuito utilizando o Bode Analyser do Elvis, e vimos

que a resposta estva muito aquem do esperado, com uma frequncia de corte muito menor, da conferimos o circuito novamente, de ponta-a-ponta; no foi encotrado nenhum problema a priori. Di tivemos a idia de medir os capacitores e vimos que os valores estavam dicrepantemente menores (alguns maiores) que os valores nominais. Da ento associamos diversos resistores de forma a obter valores mais prximos dos de projeto. Conseguimos ento melhores resultados, bem prximos do esperado:

Figure 7 - Resposta do filtro montado

Infelizmente o tempo no nos permitiu proceguir com os passos propostos no pr relatorio. A unica parte que deu tempo de fazer foi a montagem do filtro e a obteo do seu diagrama de Bode.

CONCLUSES: Aps esta prtica compreendemos um pouco mais a funcionalidade do filtro antialiasing. Aprendemos a utilizar o filterpro, e vimos que o polinomio de Cherby-Chev nos deu uma menor frequncia de rejeio para o ganho de -60,2dB, porm nos resultou um atraso de fase muito grande de aproximadamente 520 graus e seu atrasdo de fase no linear, podendo falsear resultados caso o interesse seja a forma de onda do sinal de entrada (caso fosse apenas as componentes do espectro, no teriamos problema). Vimos tambm que sempre estamos sujeitos a problemas inesperados na bancada, como mau contato. Alm disso, o polinomio utilizado extremamente sensvel a qualquer variacao dos componentes utilizados, mudando entao a frequencia de corte do filtro.

BIBLIOGRAFIA: Texto: [1] Notas de aula do Flvio: Aula03b; [2] http://www.qsl.net/py4zbz/teoria/digitaliz.htm; [3] DIGITAL SIGNAL PROCESSING-Openhaem & shaafter. Figuras: [1] Notas de aula do Flvio: Aula03b pgina 2 [2] http://cnx.org/content/m12973/latest/alias_eg.png

You might also like