You are on page 1of 52

N: o khng ch nhit 4 im giao tip my tnh

GVHD: Trn Duy Cng

Link download http://www.mediafire.com/?ypicv8qntu10euh

SVTH: Trn Minh Qun

Trang 1

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

MC LC
MC LC....................................................................................................................................2
PHN I: L THUYT..................................................................................................................4
CHNG I: GIAO TIP MY TNH...........................................................................................4
1.1.Tng quan v giao tip my tnh......................................................................................4
1.2. Giao tip qua cng ni tip .............................................................................................5
1.2.1. Cu trc cng ni tip ( cng COM).........................................................................5
1.2.2. Cc chun giao tip ni tip......................................................................................6
1.2.3. Cc c tnh k thut ca chun RS-232:................................................................7
1.2.4. nh dng d liu:.....................................................................................................7
1.2.5. Truyn thng ni tip ca 89C51: ............................................................................8
1.2.6. Ni ghp 89C51 vi RS232:.....................................................................................9
1.2.7. S dng Visual Basic trong iu khin.....................................................................9
CHNG II: VI IU KHIN...................................................................................................12
2.1. Tng quan v vi iu khin............................................................................................12
2.2. Vi iu khin 89C51.......................................................................................................12
2.2.1. Gii thiu h MCS51:..............................................................................................12
2.2.2. Cu trc phn cng ca vi iu khin 89C51........................................................13
2.2.3. S lc v tp lnh 89C51 : .................................................................................15
2.2.4. Hot ng nh thi.................................................................................................15
2.2.5. hot ng ca port ni tip 8051............................................................................17
2.2.6. Hot ng ngt ca 8051........................................................................................19
PHN II: ...................................................................................................................................20
THIT K V THI CNG MCH.............................................................................................20
CHNG I: THIT K V PHN TCH MCH......................................................................20
1.1.Nhim v thit k:...........................................................................................................20
1.1.1.S khi mch......................................................................................................20
1.1.2. Nhim v tng khi:................................................................................................21
1.2. B cm bin:..................................................................................................................21
1.2.1. Mt s tnh cht c bn ca LM35:.......................................................................21
1.2.2. Thit k c th mch cm bin dng LM35:...........................................................22
1.3. Thit k b chuyn i ADC..........................................................................................23
1.3.1. khi nim chung .....................................................................................................23

SVTH: Trn Minh Qun

Trang 2

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng
1.3.2. Gii thiu IC ADC 0809..........................................................................................23
1.3.3. Nguyn l hot ng:..............................................................................................27
1.3.4. Cc phng php chuyn i AD..........................................................................29
1.4. Giao tip my tinh..........................................................................................................32
1.2.1. Gii thiu IC MAX232............................................................................................32
1.2.2. S chn MAX232:..............................................................................................33
CHNG II: THI CNG MCH...............................................................................................35
2.1. S nguyn l:............................................................................................................35
2.2. S mch in:...............................................................................................................36
2.3. S b tr linh kin......................................................................................................37
2.4. Dao din Visual Basic....................................................................................................38
2.5. Lu gii thut vi iu khin.......................................................................................39
2.6. Lu gii thut visual basic........................................................................................42
2.7. Trng trnh vi iu khin ............................................................................................44
PHN III....................................................................................................................................51
KT LUN.................................................................................................................................51
TI LIU THAM KHO.............................................................................................................52

SVTH: Trn Minh Qun

Trang 3

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

PHN I: L THUYT
CHNG I: GIAO TIP MY TNH
1.1.

Tng quan v giao tip my tnh


c th tin hnh iu khin hoc gim st cc qu trnh thc hin bng my

tnh, trc ht phi c mi lin h cn thit gia my tnh v th gii bn ngoi. Cng
giao lu vi th gii bn ngoi c m rng bng giao din.i vi my tnh PC tun
theo chun cng nghip c th thc hin cc kh nng ghp ni sau:
S dng card m rng c cm vo my tnh ( phng php ny t c tc
truy nhp ln nht nhng chi ph cao)
Cc giao din c tiu chun ha ng vai tr ghp ni my tnh vi cc
mch in bn ngoi.Cch ghp ni qua cng ni tip thng c la chn v chi ph
thp.
Ghp ni vi mt b vi x l ring thc hin nhng bi ton khc m khng
cn trao i d liu vi my tnh.
Cc giao din c trn my tnh PC nh giao din ni tip,giao din song song v
c cng tr chi (game port) cho php s dng trc tip lm giao din.

SVTH: Trn Minh Qun

Trang 4

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

1.2.

Giao tip qua cng ni tip

1.2.1. Cu trc cng ni tip ( cng COM)


Cng ni tip c cc u im sau:
Khong cch truyn xa hn cng song song.
S dy kt ni t.
C th truyn khng dy dng hng ngoi.
C th ghp ni vi vi iu khin hay PLC.
Cho php ni mng.
C th tho lp thit b trong lc my tnh ang lm vic.
C th cung cp ngun cho cc mch in n gin.
Cng COM c hai loi: loi 9 chn v loi 25 chn.
S chn:

SVTH: Trn Minh Qun

Trang 5

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

1.2.2. Cc chun giao tip ni tip


Thng s dng nhiu nht l chun RS-232 v RS-485. n ny ch s
dng chun RS-232.
Chun RS-232: Tn hiu truyn theo chun RS-232 ca EIA (Electronics
Industry Associations). Chun RS-232 qui nh mc logic 1 ng vi in p t -3V n
-25V, mc logic 0 ng vi in p t 3V n 25V v c kh nng cung cp dng t
10mA n 20mA. Ngoi ra, tt c cc ng ra u c c tnh chng chp mch.

SVTH: Trn Minh Qun

Trang 6

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

Chun RS-232 cho php truyn tn hiu vi tc n 20.000 bps nhng nu cp truyn
ngn c th ln n 115.200 bps. Chun RS-232 ch c th kt ni nhiu nht hai
thit b, vi khong cch di nht (12,7 m n 25,4 m).
Chun RS-485: c th kt ni ti a l 32 thit b, khong cch di hn ti a l
(1016m n hn 1km) gp 40 ln RS-232. Tc cao 10Mbs/s.
1.2.3. Cc c tnh k thut ca chun RS-232:

Cc tc truyn d liu thng dng trong cng ni tip l: 1200bps, 4800bps,


9600bps, 19200 bps.
1.2.4. nh dng d liu:
Sau y gii thiu v cch nh dng dng trong truyn thng ni tip.
- nh dng ng b: Trong truyn ng b, mi thit b dng mt xung ng h
c pht ra bi mt thit b hoc t mt ngun xung ngoi. Xung ng h c th c
mt tn s c nh hoc c th cht ti nhng khong thi gian khng u. Mi bt
truyn i c ng b vi ng h.
- nh dng khng ng b: Trong truyn khng ng b, lin kt khng bao
gm ng xung ng h, bi v mi im u cui ca lin kt c xung ng h
cho ring tng ci. Mi im s cn phi ng cng mt tn s ca ng h v mi
ng h ch khc nhau mt vi %. Mi byte truyn i bao gm bt Start ng b
ng h v mt hoc nhiu bt Stop cho tn hiu kt thc vic truyn trong mi mt t
c truyn i. Cng RS-232 trong PC dng nh dng khng ng b giao tip vi
SVTH: Trn Minh Qun

Trang 7

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

modems (thit b m ho, gii m d liu) v cc thit b khc. D RS-232 c th truyn


d liu ng b nhng lin kt khng ng b vn c dng ph bin 485 hn.
1.2.5. Truyn thng ni tip ca 89C51:
Truyn thng ni tip s dng hai phng php ng b v d b. Phng php
ng b truyn mt khi d liu ( cc k t) ti cng thi im trong khi truyn d
b ch truyn tng byte mt. C th vit phn mm s dng mt trong hai phng
php ny.
Truyn d liu bn cng v song cng:
D liu truyn c th va pht v va thu gi l truyn song cng. Truyn song
cng c th c hai loi l bn song cng v song cng hon ton ph thuc vo truyn
d liu c th xy ra ng thi khng? Nu d liu c truyn theo mt ng ti mt
thi im th c gi l truyn bn song cng. Nu d liu c th i hai ng cng
mt lc th gi l song cng ton phn. Tt nhin, truyn song cng i hi hai ng
d liu, mt pht v mt thu d liu cng mt lc
Truyn thng ni tip d b v ng khung d liu:
Truyn thng d liu ni tip d b c s dng rng ri cho cc php truyn
hng k t, cn cc b truyn d liu theo khi th s dng phng php ng b.
Trong phng php d b, mi k t uc b tr gia cc bit bt u (start) v bit dng
(stop). Cng vic ny gi l ng gi d liu. Trong ng gi d liu i vi truyn
thng d b th d liu l cc k t m ASCII uc ng gi gia mt bit bt u v mt
bit dng. Bit bt u lun lun ch l mt bit, cn bit dng c th l mt hoc hai bit. Bit
bt u lun l bit thp (0) v cc bit dng lun l cc bit cao (1).
Trong mt s h thng nhm duy tr tnh ton vn ca d liu th ngi ta cn
thm mt bit l (parity bit). iu ny c ngha l i vi mi k t ta c thm mt bit
ngoi cc bit start v stop.

SVTH: Trn Minh Qun

Trang 8

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

1.2.6. Ni ghp 89C51 vi RS232:


Ni dung chnh ca phn ny l ni v ni ghp 89C51 vi cc u ni RS232 thng qua chip
MAX232.

Cc chn RxD v TxD trong 89C51.


89C51 c hai chn c dng chuyn cho truyn v nhn d liu ni tp. Hai chn ny
c gi l TxD v RxD v l mt phn ca cng P3 ( P3.0 v P3.1). Chn 11 ca
89C51 l P3.1 c gn cho TxD v chn 10 (P3.0) c dng cho RxD. Cc chn ny
tng thch vi mc logic TTL. Do vy chng i hi mt b iu khin ng truyn
chng tng thch vi RS232. Mt b iu khin nh vy l chip MAX232.
B iu khin ng truyn MAX232:
+
+
C
1
C
21

Vc
c 16

T1II
R1OU

1
N
2
T2II
T
12
R2
N OU
0
9
T 1
TTL
5
side

C
3
C
+
4

2
6

T1OU
R1II
T
T2OU

1
1
+
4
3

R2
T II

805P3.1
11
TxD 1
1
P3.0 1
0
RxD

2
3

Max232

5 2 5
4 2
DB 9

8
RS232
side

Hnh 1.3.6

a) S bn trong ca MAX232
b) S ni ghp ca MAX232 vi 89C51

MAX232 chuyn i t cc mc in p RS232 s v mc in p TTL v ngc li.


Mt im mnh ca chip MAX232 l n dng in p ngng +5V cng vi in p
ngun ca 89C51.
1.2.7. S dng Visual Basic trong iu khin
S dng MSComm

SVTH: Trn Minh Qun

Trang 9

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

MSComm l yu cu iu khin cho thng tin trn cng ni tip


Tnh cht
MSComm:c mt s tnh cht lin kt.Tnh cht lin h ti cu hnh ca port,truyn d
liu,s dng tn hiu bt tay,v nhn ra iu khin
Cu trc:
CommID: tra li vic iu khin khi nhn ra thit b
Commport: t v tr li v tr ca port
Inbuffersize: t v tr li kch thc ca b m.(bng byte)
Inputlen: t v tr li nhng con s hoc nhng k t ng vo s c
Inputmode:t v tr li kiu d liu.(dng ch hay nh phn)tr li bng ng vo
hay c ng ng ra
Nulldiscard:xc nh k t c rng hay khng.(Chr.(0).) c truyn t port
ti b m nhn hoc c b qua
Outbuffersize:t v tr li kch thc ca b m truyn (khong 512 byte)
Parityreplace:kim tra c chn l
Portopen: t v tr li trng thi ca port.(gi tr boolean)
Rthreshold:t v tr li mt s hoc k t ti b nhn trc khi so snh ti
comEvReceive
Settings:t v tr li t truyn,c chn l v d liu v bit stop
Sthreshold:t v tr li s hoc k t nh nht trong b m truyn trc khi so snh
vi comevsend
Phn truyn d liu:
Commevent:tr li hu ht cc s kin hoc li gn y
Inbuffercount:tr li mt s hay k t trong b m truyn
Input:tr li v xo d liu t b m truyn
Outbuffercount:tr li mt s hoc mt k t trong b m truyn
SVTH: Trn Minh Qun

Trang 10

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

Output:ghi d liu ra b m truyn


Phn iu khin c s bt tay
Break:t hoc xo i tn hiu b hng
Cdholding:tr li trng thi ca CD
CTSHoding:tr li trng thi ca CTS
DSRHolding:tr li trng thi ca DSR
DTREnable:t hoc xo DTR
Handshaking:t v tr li chun bt tay
RTSEnable:t v xo RTS

SVTH: Trn Minh Qun

Trang 11

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

CHNG II: VI IU KHIN


2.1.

Tng quan v vi iu khin


B vi iu khin vit tt l micro-controller, l mch tch hp trn 1 chip c th

lp trnh c, dng iu khin hot ng ca mt h thng


Trong cc thit b in v in t dn dng , cc b vi iu khin iu khin hot
ng ca tivi, my git, l vi baTrong h thng sn xut t ng, b vi iu khin
c s dng trong Robot, dy chuyn t ng. Cc h thng cng thng minh th vai
tr ca h thng vi iu khin cng quan trng.

2.2.

Vi iu khin 89C51

2.2.1. Gii thiu h MCS51:


MCS51 l mt h IC vi iu khin (Microcontroller ) do hng Intel sn xut. Cc
IC tiu biu cho h MCS51 l 8051 v 8031. c bit, vi iu khin 89C51 c sn
xut gn y mang cc c im sau:
- 4 Kbytes ROM.
- 28 bytes RAM.
- ports I/O (Input/Output).
- 2 b nh thi (timer) 16 bits.
- Giao tip ni tip.
- 64 Kbytes khng gian b nh chng trnh m rng.
- 64 Kbytes khng gian b nh d liu m rng.
- Mt b x l lun l (thao tc trn cc bit n).
- 210 bits c a ch ha.
- B nhn chia 4 s.

SVTH: Trn Minh Qun

Trang 12

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

2.2.2. Cu trc phn cng ca vi iu khin 89C51


2.2.2.1. S khi ca mt vi x l

2.2.2.2. S lc v cc chn ca 8951:


C 8951 c tt c 40 chn c chc nng nh cc ng xut nhp. Trong c
24 chn c cng dng kp, mi ng c th hot ng nh ng xut nhp hoc nh
ng iu khin hoc l thnh phn ca bus d liu v bus a ch.

SVTH: Trn Minh Qun

Trang 13

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

H thng giao tip port:

SVTH: Trn Minh Qun

Trang 14

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

2.2.3. S lc v tp lnh 89C51 :


Tp lnh 8951 c 255 lnh gm 139 lnh 1 byte, 92 lnh 2 byte v 24 lnh 3
byte.
2.2.3.1 Cc ch a ch:
a ch thanh ghi , a ch trc tip , a ch gin tip , a ch tc thi , a ch
tng i , a ch tuyt i , a ch di
2.2.3.2. Cc nhm lnh ca 89C51:
8051 chia ra 5 nhm chnh:
Cc lnh s hc:
Lnh logic
Dch chuyn d liu
X l bit
R nhnh chng trnh
2.2.4. Hot ng nh thi
8051 c hai b nh thi 16 bit, mi b c 4 ch hot ng ; c dng :
nh thi trong mt khong thi gian.
m s kin.
To tc baud cho port ni tip ca chip 8051.

SVTH: Trn Minh Qun

Trang 15

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

Cc b nh thi ca 8051 c truy xut bng cch s dng 6 thanh ghi chc nng c
bit.
SFR ca b

Mc ch

a ch

nh thi

nh a
ch bit

TCON

iu khin

88H

TMOD

Chn ch

89H

Khng

TL0

Byte thp ca b nh thi 0

8AH

Khng

TL1

Byte cao ca b nh thi 1

8BH

Khng

TH0

Byte thp ca b nh thi 0

8CH

Khng

TH1

Byte cao ca b nh thi 1

8DH

Khng

T2CON

iu khin b nh thi 2

C8H

RCAP2L

Nhn byte thp ca b nh thi 2

CAH

Khng

RCAP2H

Nhn byte cao ca b nht hi 2

CBH

Khng

TL2

Byte thp ca b nh thi 2

CCH

Khng

TH2

Byte cao ca b nh thi 2

CDH

Khng

Cc thanh ghi chc nng c bit ca b nh thi


Cc ch nh thi
a)Ch timer 13-bit (ch 0)
b) Ch timer 16-bit (ch 1)
c) Ch timer 8 bit t np li tr u (ch 2)
d) Ch nh thi chia s (ch 3)

SVTH: Trn Minh Qun

Trang 16

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

2.2.5. hot ng ca port ni tip 8051.


Phn cng truy xut ti Port ni tip qua cc chn TxD (P3.1) v RxD (P3.0).

Hai thanh ghi chc nng c bit cung cp cho phn mm truy xut n Port ni
tip l SBUF v SCON. S m Port ni tip (SBUF) a ch 99H l 2 s m tht s:
Ghi ln SBUF (np d liu pht) v c SBUF (truy xut d liu nhn). y l hai
thanh ghi ring bit v r rt, v thanh ghi pht ch ghi cn thanh ghi thu ch c
SM0 SM1 MODE M T

TC BAUD

Thanh ghi dch

C nh (tn s dao ng/12)

UART 8 bit

Thay i (thit lp bi b nh thi)

UART 9 bit

C nh (tn s dao ng /12 hoc /

UART 9 bit

64)
Thay i (thit lp bi b nh thi)

Cc ch hot ng ca port ni tip

Trc khi dng Port ni tip, SCON phi c nh ng ch . VD: khi


to Port ni tip ch 1 (SM0/SM1 = 0/1), cho php thu (REN = 1), v set c ngt ca
vic pht sn sng hot ng (TI = 1), ta dng lnh sau :
MOV SCON, #01010010H.
Port ni tip ca 8051 c 4 mode hot ng ty thuc theo trng thi ca
SM0/SM1.
Ba trong 4 mode cho php truyn ng b vi mi k t thu hoc pht s c
b tr bi bit Start hoc bit Stop.

SVTH: Trn Minh Qun

Trang 17

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

Tc Baud ca Port ni tip:


Tc Baud ca Port ni tip c nh mode 0 v mode 2. Trong mode 0 n
lun lun l tn s dao ng trn Chip chia cho 12. Thng thng thch anh 12 MHz li
dao ng trn Chip 8051 nn tc Baud ca mode 0 l 1MHz.
Bng s mc nh sau khi reset h thng, tc Baud mode 2 l tn s dao ng
chia cho 64, tc Baud cng b nh hng bi bit SMOD ca thanh ghi PCON.
Vic set bit SMOD s tng gp i tc Baud trong cc mode 1,2 v 3. Trong
mode 2, tc Baud c th c tng gp i t gi tr mc nh 1/64 tn s dao ng
trn Chip (ng vi SMOD = 0 ) ln n 1/32 tn s dao ng trn Chip ( ng vi
SMOD = 1)
V thanh ghi PCON khng c nh a ch bit, nn set bit SMOD m khng
thay i cc bit khc ca thanh ghi PCON th i hi phi c 1 hot ng c b sung
ghi
Cc lnh sau y s set bit SMOD:
MOV A, PCON

;Nhp vo A gi tr hin hnh ca PCON

SETB ACC, 7

;Set bit 7 ca ACC (bit SMOD)

MOV PCON, A

;Ghi gi tr tr v PCON m SMOD c set.

Cc tc Baud trong mode 1 v mode 3 ca 8051 c xc nh bi tc trn


ca timer 1. Bi v timer hot ng tn s cao lin tc nn trn xa hn na c chia
cho 32 (chia cho 16 nu SMOD =1) trc khi cung cp xung clock tc Baud n Port
ni tip. Tc Baud mode 1 v 3 ca 8051 c xc nh bi tc trn ca timer 1
hoc timer 2, hoc c hai.

SVTH: Trn Minh Qun

Trang 18

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

2.2.6. Hot ng ngt ca 8051.


Ngt l mt s c c iu kin m n gy ra s ngng li tm thi ca chng
trnh phc v mt chng trnh khc. Cc ngt ng vai tr quan trng trong vic
thit k v hin thc cc ng dng ca b vi iu khin , n c th tm thi treo vic
thc thi ca chng trnh chnh thc thi chng trnh khc v sau quay li chng
trnh chnh.
Khi chng trnh chnh ang thc thi m c mt s ngt xy n th chng trnh
chnh ngng thc thi v r nhnh n th tc phc v ngt ISP (Interrupt Service
Routine). ISR thc thi thc hin hot ng v kt thc vi lnh RETI: chng trnh
tip tc ni m n dng li

SVTH: Trn Minh Qun

Trang 19

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

PHN II:
THIT K V THI CNG MCH
CHNG I: THIT K V PHN TCH MCH
1.1. Nhim v thit k:
Nhim v cn thc hin l thit k mt mch o nhit t 0oC 99oC v khng ch
nhit . Vy yu cu t ra y l:
+ Thit k b cm bin nhit .
+ Thit k b chuyn i tng t sang s.
+ Thit k b giao tip.
+ Vit chng trnh iu khin.
1.1.1. S khi mch

Khi
chuyn
tn hiu
tng t
sang s

Khi cm
bin

SVTH: Trn Minh Qun

Khi vi
iu khin

Khi giao
tip my
tnh

Khi
khuch
i

Khi Ti

Trang 20

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

1.1.2. Nhim v tng khi:


Khi vi x l: iu hnh mi hot ng ca h thng.
Khi giao tip my tinh: Khi ny c nhim v l nhn tn hiu t ngoi a
vo x l v nhn tn hiu t vi x l iu khin ng ngt cc thit b.
Khi cm bin: c nhim v l o lng nhit t mi trng xung quanh.
Khi ADC: chuyn i tn hiu t tng t sang s.
Khi thit b: l cc dng c c diu khin nh my si, my lnh

1.2.

B cm bin:
o nhit c chnh xc, tt nhin cn c mt u d thch hp. u d l

mt cm bin nhit c nhim v vn chuyn t nhit qua tn hiu in. C rt


nhiu loi cm bin nh gii thiu chng V. Nhng da vo l thuyt v thc t ca
mch cn thit k ta dng phng php o bng IC cm bin nhit . Cc IC cm bin
nhit c chnh xc cao, d tm v gi thnh r. Mt trong s l IC LM35, l loi
thng dng trn th trng hin nay, ng thi n c nhng c tnh lm vic ph hp
vi thit k chi tit ca mch.
1.2.1. Mt s tnh cht c bn ca LM35:
LM35 c bin thin theo nhit : 10mV / 1oC.
chnh xc cao, tnh nng cm bin nhit rt nhy, nhit 25oC n c sai s
khng qu 1%. Vi tm o t 0oC 128oC, tn hiu ng ra tuyn tnh lin tc vi nhng
thay i ca tn hiu ng vo.
Thng s k thut:
Tiu tn cng sut thp.
Dng lm vic t 400A 5mA.
Dng ngc 15mA.
Dng thun 10mA.

SVTH: Trn Minh Qun

Trang 21

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

chnh xc: khi lm vic nhit 25oC vi dng lm vic 1mA th in p


ng ra t 2,94V 3,04V.
c tnh in:
Theo thng s ca nh sn xut LM35, quan h gia nhit v in p
ng ra nh sau:
Vout = 0,01 ToK
= 2,73 + 0,01ToC.
Vy ng vi tm hot ng t 0oC 100oC ta c s bin thin in p ng ra l:
0oC th in p ng ra Vout = 2,73 (V).
5oC th in p ng ra Vout = 2,78 (V).

100oC th in p ng ra Vout = 3,73 (V).


Tm bin thin in p tng ng vi nhit t 0oC - 100oC l 1V.
1.2.2. Thit k c th mch cm bin dng LM35:
+ S mch :
+5V
Vout
LM35

VR

+ Tnh ton v chn linh kin:


Ta c:
400A < IR < 5mA.

SVTH: Trn Minh Qun

Trang 22

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

400A <

5 V0
< 5 m
R

5 V0
5 V0
<R<
400 A
5mA

V:

2,73V Vo 3,73

Nn: 254 < R < 5,7 k

(1)

Mt khc, theo thng s ca nh sn xut in p trn LM35 ti Tc =


25oC, IR = 1mA th Vo = 2,98 (V), ta c:
400A <

5 2,98
< 5mA
R

T (1) v (2): chn R = 2,2 k


chn bin tr chnh offset VR = 15 k.

1.3.

Thit k b chuyn i ADC

1.3.1. khi nim chung


Ngy nay vic truyn t tn hiy cng nh qu trnh iu khin v ch th phn
ln c thc hin theo phng php s. Trong khi tn hiu t nhin c dng tng
t nh: nhit , p sut, cng nh sng, tc quay, tn hiu m thanh kt
ni gia ngun tn hiu tng t vi cc h thng x l s ngi ta dng cc mch
chuyn i tng t sang s(ADC) nhm bin i tn hiu tng t sang s hoc trong
trng hp ngc li cn bin i tn hiu s sang tng t thi dng cc mch DAC
(Digital Analog Converter).
1.3.2. Gii thiu IC ADC 0809
B ADC 0809 l mt thit b CMOS tch hp vi mt b chuyn i t tng t
sang s 8 bit, b chn 8 knh v mt b logic iu khin tng thch. B chuyn i
AD 8 bit ny dng phng php chuyn i xp x tip. B chn knh c th truy xut
bt knh no trong cc ng vo tng t mt cnh c lp.
SVTH: Trn Minh Qun

Trang 23

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

Thit b ny loi tr kh nng cn thit iu chnh im 0 bn ngoi v kh nng


iu chnh t s lm trn ADC 0809 d dng giao tip vi cc b vi x l.
* S chn ADC 0809:

SVTH: Trn Minh Qun

Trang 24

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

* ngha cc chn:
Pin
Khng c
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28

Chc nng

u vo tng t chn

Bt u chuyn i, u vo pin, mc thp xung cao


c a ra
Kt thc chuyn i, sn lng pin, i thp khi chuyn
i kt thc
Bit u ra k thut s 4
Pin u vo thp xung cao mang li d liu chn
ra t s ng k ni b kt thc chuyn i
ng h u vo, cung cp ng h bn ngoi
Cung cp in p; 5V
in p tch cc tham kho
Ground () v)
Bit u ra k thut s
in p tiu cc tham chiu

Sn lng bit s

a ch cht cho php u vo pin, xung thp n cao l


cn thit cht a ch
a ch ng

u vo tng t

SVTH: Trn Minh Qun

Tn
IN3
IN4
IN5
IN6
IN7
SC
EOC
D3
u ra cho
php
ng h
u vo
VCC
Vref (+)
GND
D1
D2
Vref (-)
D0
D4
D5
D6
D7
ALE
AddressC
AddressB
AddressA
IN0
IN1
IN2

Trang 25

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

* Cc c im caADC 0809:
o phn gii 8 bit
o Tng sai s cha chnh nh LSB; 1 LSB
o Thi gian chuyn i: 100s tn s 640 kHz
o Ngun cung cp + 5V
o in p ng vo 0 5V
o Tn s xung clock 10kHz 1280 kHz
o Nhit hot ng - 40oC n 85oC
o D dng giao tip vi vi x l hoc dng ring
o Khng cn iu chnh zero hoc y thang
*Biu thi gian:

SVTH: Trn Minh Qun

Trang 26

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

1.3.3. Nguyn l hot ng:


ADC 0809 c 8 ng vo tng t, 8 ng ra 8 bit c th chn 1 trong 8 ng vo
tng t chuyn i sang s 8 bit.
Cc ng vo c chn bng cch gii m. Chn 1 trong 8 ng vo tng t
c thc hin nh 3 chn ADDA , ADDB , ADDC nh bng trng thi sau
A

Ng vo c chn

IN0

IN1

IN2

IN3

IN4

IN5

IN6

IN7

Sau khi kch xung start th b chuyn i bt u hot ng cnh xung ca


xung start, ng ra EOC s xung mc thp sau khong 8 xung clock (tnh t cnh xung
ca xung start). Lc ny bit c trng s ln nht (MSB) c t ln mc 1, tt c cc
bit cn li mc 0, ng thi to ra in th c gi tr Vref/2, in th ny c so snh
vi in th vo in.
+ Nu Vin > Vref/2 th bit MSB vn mc 1.
+ Nu Vin < Vref/2 th bit MSB vn mc 0.
Tng t nh vy bit k tip MSB c t ln 1 v to ra in th c gi tr
Vref/4 v cng so snh vi in p ng vo Vin. Qu trnh c tip tc nh vy cho n
khi xc nh c bit cui cng. Khi chn EOC ln mc 1 bo cho bit kt thc
chuyn i.
SVTH: Trn Minh Qun

Trang 27

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

Trong sut qu trnh chuyn i chn OE c t mc 1, mun c d liu ra


chn OE xung mc 0.
Trong sut qu trnh chuyn i nu c 1 xung start tc ng th ADC s ngng
chuyn i.
M ra N cho mt ng vo ty l mt s nguyn.

Trong

Vin: in p ng vo h so snh.
Vref(+): in p ti chn REF(+).
Vref(-): in p ti chn REF(-).
Vin

Nu chn Vref(-) = 0 th N = 256. V

ref (+ )

Vref(+) = Vcc = 5V th y thang l 256.


Gi tr bc nh nht
1 LSB =

5
= 0,0196 V/byte
2 1
8

Vy vi 256 bc Vin = 5V.


Ap vo ln nht ca ADC 0809 l 5V.

SVTH: Trn Minh Qun

Trang 28

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

1.3.4. Cc phng php chuyn i AD


Phng php tch phn (Intergration method)
Phng php tch phn cng ging nh phng php chuyn i ADC dng tn
hiu dc i (Dual-Slope-ADC). Cu trc mch in n gin hn nhng tc chuyn
i chm.

C
Vin

R
_
Vref
in p
chun

_
+
+

Mch tch
phn

Mch so snh

Mch logic iu

Clock

khin

Start

B m

Ng ra so

Hnh .S nguyn l c bn ca mch chuyn i AD dng phng php tch phn


* Hot ng
-Khi c xung start mch m a v trng thi reset. Mch logic iu khin kha K v
tri 1, in p tng t Vin c np vo t in C vi thi hng t1 tn hiu ng ra ca
mch tch phn gim dn,v cho n khi nh hn 0V th ng ra ca b so snh ln mc
1,do mch logic iu khin m cng cho xung clock vo mch m. Sau khong thi
gian t1 mch m trn mch logic iu khin kha K v tr 0,khi in p m Vref
SVTH: Trn Minh Qun

Trang 29

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

c a vo ng vo ca mch tch phn,t in C x in vi tc khng i, sau


khong thi gian t2 tn hiu ng ra ca mch tch phn tng dn,do ng ra ca mch
so snh xung ,mc thp lm cho mch logic iu khin ng cng v bo kt thc
chuyn i. Trong sut khong thi gian x in t2 mch m vn tip tc m kt qu
ca mch m cng chnh l tn hiu s cn chuyn i tng ng vi in p tng t
ng vo Vin .
Mi quan h gia in p ng vo Vin v in p chun Vref vi t1,t2

t2=t1.vin/vref
t1=2n/fck :thi gian mch m t 0 n khi trn
t2=N/fck : thi gian mch m t khi trn n kt qu sau cng
-Biu thc ny khng ph thuc vo thi hng RC,cng nh s xung clock(nu mch
lm vic n nh).
-Cc tn hiu tng t Vin qua mch tch phn nn cc tn hiu nhiu u b loi b.
-Nhc im ca mch ny l thi gian chuyn i chm,gia 2n chu k xung clock
trong ln ly tch phn trong thi gian t1 va N chu k trong ln ly tch phn trong thi
gian t2. Thi gian chuyn i ln nht khi t1=t2.
Thi gian chuyn i: T = t1+t2
Phng php ADC xp x lin tip(Successive- Approximation ADC)
y l mt trong nhng phng php d9uo75c s dng rng ri. Tuy nhin,mch in
c phc tp nhng thi gian chuyn i ngn hn. Phng php chuyn i ADC xp
x lin tip c thi gian chuyn i c nh khng ph thuc vo in p ng vo.

SVTH: Trn Minh Qun

Trang 30

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng
VA

+
VA

Clock
Logic iu khin
MSB

LSB

Start
EOC

Thanh ghi iu khin

DAC

S khi chuyn i ADC dng phng php xp x lin tip.


* Hot ng
Khi tc ng cnh xung ca xung start th ADC bt u chuyn i .
-Mch logic iu khin t bit c ngha ln nht(Most Signifi cant Bit )ca thanh
ghi iu khin ln mc cao v tt c cc bit cn li mc thp.S nh phn ra mch
thanh ghi iu khin cqua mch DAC to ra in p tham chiu Va.
Nu Va >Va th ng ra b so snh xung mc thp ,lm cho mch logic iu
khin xa bit MSB xung mc thp.
Nu Va<Va th ng ra ca b so snh vn mc cao v lm cho mch logic iu
khin gi bit MSB mc cao.
Tip theo mch logic iu khin a bit c ngha k bit MSB ln mc cao v to ng
ra khi DAC mt in p tham chiu va ri em so snh tng t nh bit MSB
trn .Qu trnh ny c tip tc cho n bit cui cng trong thanh ghi iu khin. Lc
va gn bng Va ng ra ca mch logic iu khin bo kt thc chuyn i.
Nh vy mch i ra n bit ch mt n chu k xung clock nn c th t tc rt cao.
Tuy nhin mch ADC xp x lin tip li khng th p ng vi tn hiu tng t vo
bin i cc nhanh .
SVTH: Trn Minh Qun

Trang 31

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

Phng php song song (paralled method)


Mch ADC dng nguyn tc chuyn i song song hay cn gi l phng php ADC
nhanh, c cu trc mch in phc tp nhng tc chuyn i rt cao .
Trong vi trng hp ngi ta cn mch chuyn i ADC c tc rt cao v nhng tn
hiu bin i nhanh nn khi chuyn sang dng s ngi ta c mch ADC c tc cao .

Vref
13

R/2

ULSB

2
11
2
9
2
7
2
55
2
32
2
1
2

ULSB

1D

C1
1D

C1
1D

C1
1D

C1
1D

C1
1D

C1
1D

ULSB
ULSB
ULSB
ULSB
ULSB

X1
X2
X3
X4
X5

D2
D1
D0

X6
X7

C1

R/2

Vin

S khi mch chuyn i AD dng phng php song song

1.4.

Giao tip my tinh

1.2.1. Gii thiu IC MAX232


Vi mch MAX 232 ca hng MAXIM l mt vi mch chuyn dng trong giao
din ni tip vi my tnh. Chng c nhim v chuyn i mc TTL li vo thnh
SVTH: Trn Minh Qun

Trang 32

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

mc +10V hoc 10V pha truyn v cc mc +3+15V hoc -3-15V thnh mc


TTL pha nhn
MAX 232 c hai b m v hai b nhn. ng dn iu khin li vo CTS, iu khin
vic xut ra d liu cng ni tip khi cn thit, c ni vi chn 9 ca vi mch MAX
232. Cn chn RST (chn 10 ca vi mch MAX ) ni vi ng dn bt tay iu
khin qu trnh nhn. Thng th cc ng dn bt tay c ni vi cng ni tip qua
cc cu ni, khi khng dng n na c th h mch cc cu ny. Cch truyn d
liu n gin nht l ch dng ba ng dn TxD, RxD v GND (mass).
1.2.2. S chn MAX232:

SVTH: Trn Minh Qun

Trang 33

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

* ngha cc chn:

NBR

Tn

Mc ch

Tn hiu in p

T gi tr
MAX232

T gi tr
MAX232A

C1 +

+ Kt ni cho t in C1

t in nn ng t
nht 16V

1F

100nF

V+

u ra ca b in p1

+10 V, t in nn
ng t nht 16V

1F n V CC

100nF V CC

C1-

- Kt ni cho t in C1

t in nn ng t
nht 16V

1F

100nF

C2 +

+ Kt ni cho t in C2

t in nn ng t
nht 16V

1F

100nF

C2-

- Kt ni cho t in C2

t in nn ng t
nht 16V

1F

100nF

V-

u ra ca in p / bin
tn

-10V, t in nn
ng t nht 16V

1F GND

100nF GND

T2 ra

u ra 2

RS-232

R2 vo

u vo 2

RS-232

R2 ra

Receiver 2 ng ra

TTL

10

T2 vo

u vo 2

TTL

11

T1 vo

Li xe 1 u vo

TTL

12

R1 ra

Nhn 1 u ra

TTL

13

R1 vo

Nhn 1 u vo

RS-232

14

T1 ra

u ra 1

RS-232

15

GND

Ni t

0V

1F n V CC

100nF V CC

16

V CC

Ngun cung cp

+5 V

SVTH: Trn Minh Qun

Trang 34

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

CHNG II: THI CNG MCH


2.1.

S nguyn l:

SVTH: Trn Minh Qun

Trang 35

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

2.2.

S mch in:

SVTH: Trn Minh Qun

Trang 36

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

2.3.

S b tr linh kin

SVTH: Trn Minh Qun

Trang 37

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

2.4.

Dao din Visual Basic

SVTH: Trn Minh Qun

Trang 38

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

2.5.

Lu gii thut vi iu khin

Lu gii thut tng qut:


START

KHAI BO GI TR BAN
U

Y
SJMP NHT
TIMER

TF0=1

N
C D LIU ADC

Y
SJMP NHT
TIMER

TF0=1

N
GI D LIU LN PC

SJMP NHT
TIMER

Y
TF0=1

N
NHN D LIU T PC
LU VO A

SJMP NGT TRUYN


THNG

Lu gii thut nhn d liu ADC

SVTH: Trn Minh Qun

Trang 39

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng
BT U

C D LIU A/D

I RA S

CT VO B NH

THOT

Lu gii thut ngt timer0


BT U

O BIT
CLOCK(P3.2)

THOT

SVTH: Trn Minh Qun

Trang 40

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

Lu gii thut ngt truyn thng

BT U

N
(A)=
a

(A)=
b

RELAY1=1

(A)=
c

RELAY1=0

(A)=
d

RELAY2=1

RELAY2=0

THOT

(A)=
e

(A)=
f

(A)=
g

(A)=
h

RELAY3=1

RELAY3=0

RELAY4=1

RELAY3=0

THOT

SVTH: Trn Minh Qun

Trang 41

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

2.6.

Lu gii thut visual basic


BT U

CI NHIT GII
HN

NHN D LIU

D liu
=N+nhit
+,

Y
Hin thi nhit cm
bin 1. Nhn d liu
tip theo

D liu
=nhit +,

Y
Hin thi nhit cm
bin 2. Nhn d liu
tip theo

D liu
=nhit +,

Y
Hin thi nhit cm
bin 3. Nhn d liu
tip theo

D liu
=nhit +,

Y
Hin thi nhit cm
bin 4. Nhn d liu
tip theo

SVTH: Trn Minh Qun

Trang 42

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

Nhit
1>
gii
hn1

Gi v vi iu
khin=a

Gi v vi iu khin=b

Nhit
2>
gii
hn2

Gi v vi iu
khin=c

Gi v vi iu khin=d

Nhit
3>
gii
hn3

Gi v vi iu
khin=e

Gi v vi iu khin=f
N

Nhit
4>
gii
hn4

Gi v vi iu
khin=g

Gi v vi iu khin=h

SVTH: Trn Minh Qun

Trang 43

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

2.7.

Trng trnh vi iu khin


relay1

equ

p1.3

relay2

equ

p1.4

relay3

equ

p1.5

relay4

equ

p1.6

org 0
ljmp main

org 0bh
;ngat timer
cpl

clock;

reti

org 23h
ljmp serial

org 30h
main:
clock

bit P3.2

sc

bit P3.3

eoc

bit P3.4

oe

bit P3.5

ale

bit P3.7

mov

dptr,#aray

mov

TMOD,#22h

mov

TH1,#0FDh

;9600bps

mov

SCON,#50h

; mode 8 bit

mov

TH0,#0a3h

mov

IE,#10010010B ;cho phep ngat,su dung truyen thong,su dung

setb

TR1

ngat

timer0

SVTH: Trn Minh Qun

;mo timer 1

Trang 44

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng
setb

TR0

setb

eoc

clr

ale

clr

oe

clr

sc

;mo timer 0

nhanlaidulieuadc:
mov

SBUF,#'N'

;nhan du lieu adc 1


clr

P1.0

clr

P1.1

clr

P1.2

acall

msdelay

setb

ale

acall

msdelay

setb

sc

acall

msdelay

clr

ale

clr

sc

chonhan1:
jb

eoc,chonhan1

chonhan11:
jnb

eoc,chonhan11

setb

oe

acall

msdelay

mov

r2,P2

clr

oe

acall

doiso

;nhan du lieu adc 2


setb

P1.0

clr

P1.1

clr

P1.2

SVTH: Trn Minh Qun

Trang 45

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng
acall

msdelay

setb

ale

acall

msdelay

setb

sc

acall

msdelay

clr

ale

clr

sc

chonhan2:
jb

eoc,chonhan2

chonhan12:
jnb

eoc,chonhan12

setb

oe

acall

msdelay

mov

r2,P2

clr

oe

acall

doiso

;nhan du lieu adc 3


clr

P1.0

setb

P1.1

clr

P1.2

acall

msdelay

setb

ale

acall

msdelay

setb

sc

acall

msdelay

clr

ale

clr

sc

chonhan3:
jb

eoc,chonhan3

chonhan13:
jnb

eoc,chonhan13

SVTH: Trn Minh Qun

Trang 46

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng
setb

oe

acall

msdelay

mov

r2,P2

clr

oe

acall

doiso

;nhan du lieu adc 4


setb

P1.0

setb

P1.1

clr

P1.2

acall

msdelay

setb

ale

acall

msdelay

setb

sc

acall

msdelay

clr

ale

clr

sc

chonhan4:
jb

eoc,chonhan4

chonhan14:

ljmp

jnb

eoc,chonhan14

setb

oe

acall

msdelay

mov

r2,P2

clr

oe

acall

doiso

nhanlaidulieuadc

;doi du lieu nhan tu adc sang so


doiso:
mov

a,r2

mov

b,#10

div

ab

SVTH: Trn Minh Qun

Trang 47

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng
mov

r7,b;lower

mov

b,#10

div

ab

mov

r6,b ;mid

mov

r5,a ;high

acall

guidulieu

ret
;gui du lieu len may tinh
guidulieu:
acall

msdelay

mov

a,r6

movc

a,@a+dptr

mov

SBUF,a

JNB

TI,sec

acall

msdelay

mov

a,r7

movc

a,@a+dptr

mov

SBUF,a

JNB

TI,thd

acall

msdelay

mov

SBUF,#','

sec:

thd:

com:
JNB

TI,com

acall

msdelay

ret
msdelay:
mov

r2,#50

mov

r3,#250

x1:

SVTH: Trn Minh Qun

Trang 48

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng
x2:
nop
nop
djnz

r3,x2

djnz

r2,x1

ret
;dieu khien relay
KIEMTRARELAY:
JNB

RI,$ ; cho nhan du lieu tu pc

CLR

RI

MOV A,SBUF
CJNE A,#'a',RL1;
SETB RELAY1;
SJMP KT;
RL1:
CJNE A,#'b',RL2;
CLR

RELAY1

SJMP KT;
RL2:
CJNE A,#'c',RL3;
SETB RELAY2
SJMP KT;
RL3:
CJNE A,#'d',RL4;
CLR

RELAY2

SJMP KT;
RL4:
CJNE A,#'e',RL5;
SETB RELAY3
SJMP KT;
RL5:

SVTH: Trn Minh Qun

Trang 49

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng
CJNE A,#'f',RL6;
CLR

RELAY3

SJMP KT;
RL6:
CJNE A,#'g',RL7;
SETB RELAY4
SJMP KT;
RL7:
CJNE A,#'h',KT;
CLR

RELAY4

SJMP KT;
KT:
RET
;ngat truyen thong
serial:
JB

TI,trans

CALL KIEMTRARELAY
reti
trans:
clr TI
reti
aray: db '0','1','2','3','4','5','6','7','8','9'
END

SVTH: Trn Minh Qun

Trang 50

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

PHN III
KT LUN
thc hin c yu cu trn chng em nghin cu, tm hiu nhng vn v vi
iu khin, vi x l, cc phng php o nhit , cc phng php chuyn i t tng
t sang s v cc vn khc c lin quan n ti.
Ni dung chnh ca ti ny bao gm nhng phn chnh sau:
*Phn kin thc.
-Kho st b vi iu khin 8051
-Cc phng php chuyn i t tng t sang s.
-H thng o nhit v cc phng php o nhit .
-Giao tip my tnh
*Phn thit k thi cng .
-Xy dng s khi ton mch .
-Xy dng lu gii thut .
-Vit chng trnh.
-Thi cng lp rp v kim tra.
Tuy nhin do trnh chuyn mn c hn vn cn nhiu thiu st . y ch gii quyt
c cc yu cu l : o nhit t 0oc n 99oc, y l mt mt hn ch ca ti ny.
Mong rng ti ny s c cc bn sinh vin kho sau tip tc thc hin v khc
phc c nhng hn ch ca ti ny, to ra mt sn phm c cht lng cao
phc v cho sn xut v i sng x hi.
Sau cng mt ln na chng em xin chn thnh cm n thy TRN DUY CNG
cng qi thy c khoa in tn tnh hng dn v dn dt em

SVTH: Trn Minh Qun

Trang 51

N: o khng ch nhit 4 im giao tip my tnh


GVHD: Trn Duy Cng

TI LIU THAM KHO


1.K thut vi iu khin-L vn Doanh -Phm Khc Chng-NXB khoa hc k
thut.
2. o lng v iu khin bng my tnh Ng Din Tp-NXB khoa hc k
thut
3.Gio trnh vi mch s-Nguyn Hu Phng-Trng H KH T Nhin TP
HCM
4.The 8051 Microcontroller University of Guelph-I.SCOTT MACKENZIE.
5.Digital Systems Principles andApplications-RONALD J. TOCCI.
6. Microcomputer for engineer and Scientists-Glenn A. Gibson,Ya Cheng Liu.
7.Electronics Course III :Modules In Micro Electronics-Deutsche Gesellschaftfur Technische-Zusammenarbeit(GTZ)Gmbh.
8. The TTL Data book:for Design Engineer,Texas Instrumebts
9.http://www.scribd.com/fullscreen/62008392?access_key=key14ul57qd5b919501cosb
10.
http://www.8051projects.net/lofiversion/t51551/how-i-use-arrays-on-thisproblem.html
11. http://chaokhun.kmitl.ac.th/~kswichit/DAQ/DAQ.htm
12. http://www.electronics-lab.com/blog/?s=at89

SVTH: Trn Minh Qun

Trang 52

You might also like