You are on page 1of 37

Programacin en VHDL Introduccin

Programacin en VHDL/Introduccin

Contenido
[ocultar]

1 Descripcin 2 Historia 3 Otras alternativas

o o o o

3.1 ABEL 3.2 AHDL 3.3 Verilog 3.4 Otros

4 Tipos de descripcin

o o o

4.1 Descripcin de comportamiento 4.2 Descripcin de flujo de datos 4.3 Descripcin estructural

Descripcin
VHDL significa VHSIC Hardware Description Language, y a su vez VHSIC significa Very High Speed Integrated Circuit. Se trata de un lenguaje de descripcin de hardware, esto significa que mediante l se puede describir la forma de comportarse de un circuito electrnico. El comportamiento puede ser llevado a algn dispositivo que dispondr de sus propios componentes con los que lograr ese comportamiento deseado. La forma de comportarse es independiente del hardware donde se implementar. El VHDL es un estndar llamado IEEE 1076-1993. Sus ventajas son:

Una disponibilidad pblica Independencia de dispositivos y fabricantes Reutilizacin Diseo jerrquico

Un proyecto de VHDL puede contener muchos ficheros. El cdigo VHDL usualmente se encuentra en los ficheros con extensin *.vhd. La estructura tpica de uno de estos ficheros es:

Llamadas a libreras Entidad Arquitectura(s)

Historia
A mediados de los aos setenta se produce una fuerte evolucin en los procesos de fabricacin de los circuitos integrados, y junto a las tecnologas bipolares, surge la tecnologa MOS (metal oxide semiconductor ), principalmente la NMOS, promoviendo el desarrollo de circuitos digitales hasta la primera mitad de los aos ochenta. En aquellas pocas, el esfuerzo de diseo se concentraba en los niveles elctricos para establecer caractersticas e interconexiones entre los componentes bsicos a nivel de transistor. El proceso de diseo era altamente manual y tan solo se empleaban herramientas como el PSPICE para simular esquemas elctricos con modelos previamente personalizados a las distintas tecnologas. A medida que pasaban los aos, los procesos tecnolgicos se hacan ms y ms complejos. Los problemas de integracin iban en aumento y los diseos eran cada vez ms difciles de depurar y de dar mantenimiento. Inicialmente los circuitos MSI (Medium Scale Integration ) y LSI (Low Scale Integration ) se disearon mediante la realizacin de prototipos basados en mdulos ms sencillos. Cada uno de estos mdulos estaba formado por puertas ya probadas, este mtodo poco a poco, iba quedndose obsoleto. En ese momento (finales de los aos setenta) se constata el enorme desfase que existe entre tecnologa y diseo. La considerable complejidad de los chips que se pueden fabricar, implica unos riesgos y costes de diseo desmesurados e imposibles de asumir por las empresas. Es entonces, cuando diversos grupos de investigadores empiezan a crear y desarrollar los llamados "lenguajes de descripcin de hardware" cada uno con sus peculiaridades. Empresas tales como IBM con su IDL, el TI - HDL de Texas Instruments, ZEUS de General Electric, etc., as como los primeros prototipos empleados en las universidades, empezaron a desarrollarse buscando una solucin a los problemas que presentaba el diseo de los sistemas complejos. Sin embargo, estos lenguajes nunca alcanzaron el nivel de difusin y consolidacin necesarios por motivos distintos. Unos, los industriales, por ser propiedad de la empresa permanecieron encerrados en ellas y no estuvieron disponibles par su estandarizacin y mayor difusin, los otros, los universitarios, perecieron por no disponer de soporte ni mantenimiento adecuado. Alrededor de 1981 el Departamento de Defensa de los Estados Unidos desarrolla un proyecto llamado VHSIC (Very High Speed Integrated Circuit ) su objetivo era rentabilizar las inversiones en hardware haciendo ms sencillo su mantenimiento. Se pretenda con ello resolver el problema de modificar el hardware diseado en un proyecto para utilizarlo en otro, lo que

no era posible hasta entonces porque no exista una herramienta adecuada que armonizase y normalizase dicha tarea, era el momento de los HDL's

VHDL

En 1983, IBM, Intermetrics y Texas Instruments empezaron a trabajar en el desarrollo de un lenguaje de diseo que permitiera la estandarizacin, facilitando con ello, el mantenimiento de los diseos y la depuracin de los algoritmos, para ello el IEEE propuso su estndar en 1984. Tras varias versiones llevadas a cabo con la colaboracin de la industria y de las universidades, que constituyeron a posteriori etapas intermedias en el desarrollo del lenguaje, el IEEE public en diciembre de 1987 el estndar IEEE std 1076-1987 que constituy el punto firme de partida de lo que despus de cinco aos sera ratificado como VHDL. Esta doble influencia, tanto de la empresa como de la universidad, hizo que el estndar asumido fuera un compromiso intermedio entre los lenguajes que ya haban desarrollado previamente los fabricantes, de manera que ste qued como ensamblado y por consiguiente un tanto limitado en su facilidad de utilizacin haciendo dificultosa su total comprensin. Este hecho se ha visto incluso ahondado en su revisin de 1993. Pero esta deficiencia se ve altamente recompensada por la disponibilidad pblica, y la seguridad que le otorga el verse revisada y sometida a mantenimiento por el IEEE. La independencia en la metodologa de diseo, su capacidad descriptiva en mltiples dominios y niveles de abstraccin, su versatilidad para la descripcin de sistemas complejos, su posibilidad de reutilizacin y en definitiva la independencia de que goza con respecto de los fabricantes, han hecho que VHDL se convierta con el paso del tiempo en el lenguaje de descripcin de hardware por excelencia...

Otras alternativas
ABEL
Abreviatura de Advanced Boolean Expression Language. ABEL permite describir diseos mediante tablas de verdad o ecuaciones lgicas. Tambin permite la programacin secuencial con mquinas de estados.

AHDL
Abreviatura de Altera Hardware Description Language (Lenguaje de Descripcin de Hardware de Altera). Este lenguaje hardware es propietario de Altera Corporation para la programacin de CPLDs y FPGAs. Destacar que este lenguaje tiene una sintaxis similar a C, pero su funcionalidad es parecida a la del VHDL.

Verilog
Es la gran alternativa al VHDL. Tuvo gran aceptacin por parte de los ingenieros, puesto que la sintaxis de este lenguaje es muy parecida a la del lenguaje de programacin C.

Otros
La ltima tendencia en los lenguajes de descripcin de hardware es parecerse cada vez ms a los lenguajes informticos ms habituales, como C o C++. El objetivo de estos lenguajes es que la descripcin de un algoritmo sea nica, independientemente de la plataforma destino (PC, FPGA, ASIC, etc). Los ms destacados son BachC, Handel-C y SystemC.

Tipos de descripcin
Como se ha dicho antes, VHDL sirve para describir un circuito electrnico, pero el mismo circuito puede ser descrito de varias formas. Las formas de hacerlo son:

Descripcin de comportamiento Descripcin de flujo de datos (RTL Registred Transfer Level) Descripcin estructural

A continuacin se presenta una descripcin de cada tipo de descripcin y un ejemplo en VHDL, obviamente an no se ha visto la sintxis de VHDL por lo que habr partes del cdigo que el lector no pueda entender. El cdigo se pone slo para poder comparar las distintas formas de descripcin. Los tres ejemplos de cdigo son slo las arquitecturas, los tres podran tener como entidad:

ENTITY mux PORT(control, entrada1, entrada2: IN BIT; salida: OUT BIT); END mux;

Descripcin de comportamiento
Una descripcin de comportamiento de un multiplexor de dos entradas, una salida y una seal de seleccin sera: la salida ser igual a la primera entrada si la seal de control est desactivada y la salida ser la segunda entrada si la seal de control est activada. En VHDL la arquitectura de este multiplexor para la entidad llamada mux sera:

ARCHITECTURE mux_comportamiento OF mux IS BEGIN PROCESS(entrada1, entrada2, control) BEGIN IF(control = '0') THEN salida <= entrada1; ELSE salida <= entrada2; END IF; END PROCESS; END mux_comportamiento;

Descripcin de flujo de datos


En una descripcin de flujo de datos del mismo multiplexor la salida sera la ecuacin lgica: s=(entrada1 AND NOT control) OR (entrada2 AND control) En VHDL la arquitectura sera:

ARCHITECTURE mux_rtl OF mux IS SIGNAL int1, int2, int3 : BIT; BEGIN int1 <= NOT control; int2 <= entrada1 AND int1; int3 <= entrada2 AND control; salida <= int2 OR int3; END mux_rtl;

Esta descripcin hay varias instrucciones concurrentes, por lo que son ejecutadas cada vez que una seal interviene en su asignacin. Realmente, se trata casi de una descripcin estructural, puesto que se estn describiendo seales y sus componentes. Aunque tambin son asignaciones a seales y no una lista de componentes y sus conexiones. En el siguiente ejemplo se muestra una descripcin de flujo de datos pura

ARCHITECTURE mux_rtl OF mux IS BEGIN salida <= entrada1 WHEN control = '0' ELSE entrada2; END mux_rtl;

Descripcin estructural
Una descripcin estructural consistira en decir que el circuito tiene una puerta inversora U1, dos puertas AND de dos entradas U2 y U3 y una puerta lgica OR de dos entradas U4, adems tambin describe las conexiones entre estas puertas, un ejemplo sera: la entrada de U1 es la seal de control, la salida de U1 se conecta a la segunda entrada de U2, la primera entrada de U1 es entrada1, etc. El cdigo VHDL sera:

ARCHITECTURE mux_estructural OF mux IS SIGNALl int1, int2,int3:bit; BEGIN U1: inversor PORT MAP(control, int1); U2: and_2_entradas PORT MAP(entrada1, int1, int2); U3: and_2_entradas PORT MAP(entrada2, control, int3); U4: or_2_entradas PORT MAP(int2, int3, salida); END mux_estructural;

En el cdigo anterior se deberan haber definido previamente las puertas inversor, and_2_entradas y or_2_entradas.

Elementos bsicos del lenguaje


Programacin en VHDL/Elementos bsicos del lenguaje

Contenido
[ocultar]

1 Comentarios 2 Identificadores 3 Nmeros

3.1 Bases

4 Tipos de datos

o o o o

4.1 Tipos escalares 4.2 Tipos compuestos 4.3 Subtipos de datos 4.4 Conversin de tipos

5 Constantes, seales y variables

o o o

5.1 Constantes 5.2 Variables 5.3 Seales

6 Atributos

6.1 Definicin de atributos

7 Operadores

Antes de comenzar es preciso conocer algunos elementos bsicos del lenguaje VHDL.

Comentarios
Los comentarios van precedidos de dos guiones. En una lnea se ignorar todo aquello que vaya despus de dos guiones seguidos. Ejemplo: -- Esto es un comentario

Identificadores
Son cualquier cadena de caracteres que sirven para identificar variables, seales, procesos, etc. Puede ser cualquier nombre compuesto por letras (aux) o nmeros y letras (aux1, aux2, aux3, ...), incluyendo el smbolo de subrayado "_". Las maysculas y minsculas son consideradas iguales, por lo tanto los identificadores TMP y tmp representan el mismo elemento. No es posible crear un identificador que coincida con alguna palabra reservada del lenguaje.

Nmeros
Cualquier nmero se representa en base 10. Aunque es posible poner los nmeros en otras bases utilizando diferentes smbolos, como se muestra en la siguiente seccin.

Bases
Para escribir un nmero se puede hacer en binario, octal, decimal y hexadecimal.

Para vectores de bits:

"01111" binario O"17" octal X"F" hexadecimal

Para enteros y reales:

2#1100# binario

12 decimal 16#C# hexadecimal

Tipos de datos
Como en cualquier lenguaje de programacin existen varios tipos de datos, en VHDL se pueden diferenciar dos: escalares y compuestos.

Tipos escalares
Son tipos simples que contienen algn tipo de magnitud.

Enteros: Son datos con un valor numrico entero. La forma de definirlos es con la palabra RANGE. Realmente se dice que un nmero est en un lmite establecido. TYPE byte IS RANGE 0 TO 255;

Fsicos: Se trata de datos que corresponden con magnitudes fsicas, que tienen un valor y unas unidades. TYPE longitud IS RANGE 0 TO 1.0e9 UNITS um; mm=1000 um; m=1000 mm; in=25.4 mm; END UNITS;

Reales o coma flotante: Se definen igual que los enteros con la diferencia que los lmites son nmeros reales. TYPE nivel IS RANGE 0.0 TO 5.0

Enumerados: Son datos que puede tomar siempre que se encuentre en una lista o conjunto finito. Es idntico a las enumeraciones en C (enum). TYPE color IS (ROJO, VERDE, AMARILLO);

Tipos compuestos
Son tipos de datos compuestos por los que se han visto anteriormente.

Matrices: Se trata de un conjunto de elementos del mismo tipo, accesibles mediante un ndice. Los hay de dos tipos: monodimensionales o multidimensionales. TYPE word IS ARRAY (31 DOWNTO 0) OF bit; TYPE tabla IS ARRAY (1 TO 4, 1 TO 4) OF real;

En este punto es necesario explicar la palabra reservada OTHERS, donde es posible asignar un determinado valor a todos los elementos de la matriz. word <= (OTHERS => '0'); -- Asigna '0' en todas las posiciones

Las palabras reservadas TO y DOWNTO sirven para indicar los ndices de una matriz. El primero indica un rango ascendente (de x a y), mientras que el segundo es descendente (desde x hasta y). -- word1 y word2 son elementos idnticos TYPE word1 IS ARRAY (31 DOWNTO 0) OF bit; TYPE word2 IS ARRAY (0 TO 31) OF bit; Dependiendo de la opcin elegida el bit ms significativo corresponder al primer bit (0) o al ltimo (31). Tambin es posible obtener un trozo de una matriz para poder realizar operaciones con ella. TYPE word IS ARRAY (31 DOWNTO 0) OF bit; TYPE subword IS ARRAY (7 DOWNTO 0) OF bit; ... subword <= word(31 DOWNTO 24); Adems, es posible asignar a una matriz una lista separada por comas, de forma que el primer elemento de la lista corresponde al primero de la matriz. semaforo <= (apagado, apagado, encendido); luces <= (apagado, verde, azul, ..., amarillo);

Registros: Es equivalente al tipo record de otros lenguajes. TYPE trabajador IS RECORD nombre : string; edad : integer; END RECORD;

Para acceder a algn atributo del registro se utilizar el punto. trabajadorA.nombre="Juan"

Subtipos de datos
En VHDL se pueden definir subtipos, los cuales corresponden a tipos ya existentes. Se pueden diferenciar dos tipos dependiendo de las restricciones que se apliquen.

Restricciones de un tipo escalar a un rango: SUBTYPE indice IS integer RANGE 0 TO 7; SUBTYPE digitos IS character RANGE '0' TO '9';

Restricciones del rango de una matriz: SUBTYPE id IS string(0 TO 15);

SUBTYPE dir IS bit_vector(31 DOWNTO 0); La ventaja de utilizar subtipos se basa a la hora de sintetizar un circuito, ya que si se utiliza el propio tipo, como puede ser el integer, se interpretar como un bus de 32 lneas, pero realmente slo harn falta muchas menos.

Conversin de tipos
En ocasiones puede ser necesario convertir unos tipos a otros, esta operacin es conocida como casting. Algunas de las conversiones son automticas, como puede ser el paso de entero a real, otras conversiones deben realizarse de forma explcita, indicando el nombre del tipo al que se quiere pasar seguido del valor entre parntesis. real(15); integer(3.5); En muchos diseos es necesario realizar conversiones entre bits y enteros. A continuacin se muestran varias funciones de conversin entre ambos tipos. conv_integer(std_logic_vector); -- Conversin de vector a entero conv_std_logic_vector(integer, numero_bits); -- Conversin de entero a vector de numero_bits de tamao

Constantes, seales y variables


En VHDL existen tres tipos de elementos: seales, constantes y variables. Estas dos ltimas tienen un significado similar a cualquier otro lenguaje de programacin. Todos estos elementos son diferentes. Las variables slo tienen sentido dentro de los procesos o subprogramas, mientras que las seales pueden ser declaradas en arquitecturas, paquetes o bloques concurrentes. Las constantes pueden ser declaradas en los mismos sitios que las variables y seales.

Constantes
Es un elemento que se inicializa con un valor determinado, el cual no puede ser modificado, es decir siempre conserva el mismo valor. Esto se realiza con la palabra reservada CONSTANT. CONSTANT e : real := 2.71828; CONSTANT retraso : time := 10 ns; Tambin es posible no asociar un valor a una constante, siempre que el valor sea declarado en otro sitio.

CONSTANT max : natural;

Variables
Es lo mismo que una constante, pero con la diferencia que puede ser modificada en cualquier instante, aunque tambin es posible inicializarlas. La palabra reservada VARIABLE es la que permite declarar variables. VARIABLE contador : natural := 0; VARIABLE aux : bit_vector(31 DOWNTO 0); Es posible, dado un elemento cambiarle el nombre o ponerle nombre a una parte mediante la palabra reservada ALIAS. VARIABLE instruccion : bit_vector(31 DOWNTO 0); ALIAS cod_op : bit_vector(7 DOWNTO 0) IS instruccion(31 DOWNTO 24);

Seales
Las seales se declaran con la palabra reservada SIGNAL, a diferencia con las anteriores este tipo de elementos pueden ser de varios tipos: normal, register o bus. Es posible asignarles un valor inicial. SIGNAL sel : bit := '0'; SIGNAL datos : bit_vector(7 DOWNTO 0);

Atributos
Los elementos como seales y variables pueden tener atributos, stos se indican a continuacin del nombre, separados con una comilla simple "'" y pueden incluir informacin adicional de algunos objetos desarrollados en VHDL, que servirn a las herramientas de diseo para obtener informacin a la hora de realizar una sntesis. Existen muchos atributos, como LEFT, RIGHT, LOW, HIGH, RANGE, LENGTH... Pero el atributo ms usado es EVENT, que indica si una seal ha cambiado de valor. Por ejemplo la siguiente sentencia captura un flanco de subida de una seal (clk). .... if clk'event and clk = '1' then ....

Definicin de atributos
Un atributo definido por el diseador siempre devolver un valor constante. En primer lugar se debe declarar el atributo, mediante la palabra reservada ATTRIBUTE, indicando el tipo de elemento que se devuelve, seguidos el valor que se retornar. La sintaxs para definir atributos sera la siguiente.

ATTRIBUTE nombre : tipo ATTRIBUTE nombre OF id_elemento : clase IS valor Donde nombre es el identificador del atributo, id_elemento corresponde al identificador de un elemento del lenguaje definido previamente (seal, variable, etc.), la clase es el tipo de elemento al que se le va aadir dicho atributo, es decir si es seal, constante, etc. y el valor ser lo que devuelva al preguntar por dicho atributo. Un ejemplo de todo esto puede ser el siguiente.

SIGNAL control : std_logic; ATTRIBUTE min : integer; ATTRIBUTE min OF control : SIGNAL IS 4; .... IF control'min > 20 THEN

Operadores
Los operadores que proporciona el lenguaje son:

Lgicos: Actan sobre los tipos bit, bit_vector y boolean. En el caso de utilizar este tipo de operadores en un vector, la operacin se realizar bit a bit.

Operadores: AND, OR, NAND, NOR, XOR, XNOR y NOT.

Aritmticos:

+ (suma o signo positivo): Sirve para indicar una suma entre dos nmeros. Tambin puede actuar como smbolo si se sita delante de una expresin.

- (resta o signo negativo): Sirve para indicar la resta entre dos nmeros. Si va delante de una expresin modifica el signo de la expresin.

* (multiplicacin): Multiplica dos nmeros de cualquier tipo. / (divisin): Divide dos nmeros de cualquier tipo. ** (exponencial): Eleva un nmero a una potencia. El nmero de la derecha puede ser entero y real, pero el de la derecha slo puede ser entero. Ejemplo: 4**2 sera 4.

ABS() (valor absoluto): Devuelve el valor absoluto de su argumento. MOD (mdulo): Calcula el mdulo de dos nmeros. REM (resto): Calcula el resto de la divisin.

Relacionales: Siempre devuelven un valor booleano (true o false).

==, /= (igualdad): El primero devuelve verdadero si los operando son iguales y falso en caso contrario. El segundo indica desigualdad, funcionando al revs que el anterior.

>, >=, <, <= (menor mayor): Poseen el significado habitual (mayor que, mayor o igual que, menor que, menor o igual que, respectivamente). La diferencia con los anteriores reside en su uso, en este caso los tipos de datos que pueden manejar son siempre de tipo escalar o matrices.

Desplazamientos: (incluidas en la revisin de 1993)

SLL (Shift Left Logic) y SRL (Shift Right Logic), desplazamiento lgico a la izquierda y desplazamiento lgico a la derecha, respectivamente, rellenando de ceros los huecos.

SLA (Shift Left Arithmetic) y SRA (Shift Right Arithmetic), desplazamiento aritmtico a la izquierda y derecha respectivamente.

ROL (ROtate Left) y ROR (ROtate Right), rotacin a la izquierda y a la derecha respectivamente. En este caso los huecos son ocupados por los bits que van quedando fuera.

A continuacin se muestran ejemplos sobre los operadores de desplazamiento:

-- Inicialmente a vale 1100 1101 a sll 4 -- El resultado es 1101 0000 a sla 4 -- El resultado es 1101 1111 (extensin del ltimo bit) a srl 4 -- El resultado es 0000 1100 a sra 4 -- El resultado es 1111 1100 a rol 4 -- El resultado es 1101 1100 (los primeros 4 bits pasan a la ltima posicin) a ror 4 -- El resultado es 1101 1100

Otros:

& (concatenacin): Concatena vectores de manera que la dimensin de la matriz resultante es la suma de las dimensiones de las matrices con las que se opera.

Hay que decir que no todos los operadores pueden funcionar sobre todos los tipos de datos. Tambin hay operadores que en determinadas circunstancias no pueden ser utilizados, por ejemplo al hacer cdigo sintetizable no es recomendable usar multiplicadores (excepto si uno de los operadores es potencia de dos, puesto que se tratara de un simple desplazamiento de bits). El orden de preferencia, de mayor a menor es: 1. **, ABS, NOT

2. *, /, MOD, REM 3. +, - (signo) 4. +, -, & (operaciones) 5. =, /=, <, <=, >, >= 6. AND, OR, NAND, NOR, XOR

Programacin en VHDL Entidad


Programacin en VHDL/Entidad

Contenido
[ocultar]

1 Declaracin de entidad

1.1 Direcciones de los puertos de una entidad

Durante los captulos anteriores se ha insistido varias veces en que VHDL sirve para describir hardware. Un circuito electrnico puede ser parte de otro ms grande, en este caso el primero sera un subcircuito del segundo. Por lo tanto, un circuito puede estar compuesto por muchos subcircuitos y estos subcircuitos se interconectaran. As aparece una jerarqua en el diseo. En la parte alta de la jerarqua apareceran los circuitos ms complejos, que estaran compuestos por subcircuitos y, a su vez, cada uno de estos subcircuitos podra estar compuesto por subcircuitos ms sencillos. Un ejemplo de jerarqua sera un microprocesador. El circuito ms complejo y el ms alto en la jerarqua sera el propio microprocesador. ste estara compuestos por subcircuitos, por ejemplo el de la unidad de control, el de la unidad aritmtico-lgica, memorias, registros, etc. Estos subcircuitos estaran conectados por lneas elctricas, pueden ser simples como un cable o complejas como un bus. Una unidad de control estara compuesta por ms subcircuitos, ms registros, ms buses, etc. Cuando se est diseando en un determinado nivel, seguramente se empleen elementos de niveles ms bajos. Para usar estos elementos de nivel bajo en un nivel ms alto slo se necesita conocer su interfaz, es decir, sus entradas y salidas, sobre ellas se conectaran los cables o buses que correspondieran.

Declaracin de entidad

La entidad sirve para definir las entradas y salidas que tendr un determinado circuito. Para definir una entidad se realizar mediante la palabra reservada ENTITY. En principio pudiera parecer que esta definicin sea equivalente a la cabecera de una funcin de un lenguaje cualquiera de programacin. En VHDL es ms conveniente ver a la entidad como una caja negra con cables para las entradas y salidas. La ventaja de pensar en una entidad como en una caja negra a la que se conectan cables es que es ms fcil comprender la ejecucin concurrente que ocurrir en el hardware. La descripcin de cmo funciona por dentro esa caja negra es la arquitectura, que se ver en el siguiente captulo. A continuacin se muestra la sintxis de una entidad. ENTITY nombre IS [GENERIC(lista de parmetros);] [PORT(lista de puertos);] END [ENTITY] nombre; La instruccin GENERIC define y declara propiedades o constantes del mdulo. Las constantes declaradas en esta seccin son como los parmetros en las funciones de cualquier otro lenguaje de programacin, por lo que es posible introducir valores, en caso contrario tomar los valores por defecto. Para declarar una constante se indicar su nombre seguido de dos puntos y el tipo del que se trata, finalmente se indicar el valor al que es inicializado mediante el operador de asignacin :=. En el caso que existan ms constantes se terminar con un punto y coma, la ltima constante no lo llevar.

nombre_constante : tipo := inicializacion;

La instruccion PORT definen las entradas y salidas del mdulo definido. Basicamente consiste en indicar el nombre de la seal seguido de dos puntos y la direccin del puerto (se ver ms adelante), adems del tipo de seal del que se trata. Al igual que antes, si existe ms de una seal se finalizar con un punto y coma, exceptuando la ltima seal de la lista.

nombre_seal : direccin tipo;

A continuacin se muestra un ejemplo de una entidad, con una serie de constantes y seales de entrada y salida.

ENTITY mux GENERIC( C_AWIDTH : integer := 32; C_DWIDTH : integer := 32

); PORT( control

: IN bit; entrada1 : IN bit; entrada2 : IN bit; salida : OUT bit

); END mux;

En este ejemplo la entidad de llama mux. Su interfaz se compone de las seales control, entrada1 y entrada2 como entradas de tipo bit y de la seal llamada salida como salida, tambin de tipo bit. Adems, se incluyen dos constantes que servirn a la parte declarativa para realizar alguna operacin. En la introduccin se vio como asignar las seales de entradas y salidas mediante la palabra PORT MAP, para el caso de los genricos se realiza con la palabra reservada GENERIC MAP, esta parte se estudiar con mayor detalle en los siguientes captulos. Un ejemplo para utilizar el cdigo anterior como un componente sera el siguiente.

mux_1 : ENTITY work.mux GENERIC MAP( C_AWIDTH => C_AWIDTH, C_DWIDTH => C_DWIDTH ) PORT MAP( control => control, entrada1 => entrada1, entrada2 => entrada2, salida ); => salida

Obsrvese que en todo momento se habla de seales y no de variables, para el caso de los puertos.

Direcciones de los puertos de una entidad


Las seales representaran la funcin que haran los cables en un diseo hardware tradicional, es decir, sirven para transportar informacin y establecer conexiones. Dentro de una entidad los puertos son considerados como seales, en donde se pueden diferenciar varios tipos.

IN: Son seales de entrada, las cuales slo se pueden leer, pero no se le pueden asignar ningn valor, es decir, no se puede modificar el valor que poseen. Por lo tanto, su funcionalidad es similar a las constantes.

OUT: Corresponden a las seales de salida, en este caso su valor puede ser modificado, pero en este caso no pueden leerse, es decir no pueden ser utilizadas como argumentos en la asignacin de cualquier elemento.

INOUT: Este tipo es una mezcla de los dos anteriores, pueden ser utilizados tanto como de lectura o de escritura.

BUFFER: Es idntico al anterior, con la diferencia de que slo una fuente puede modificar su valor.

Programacin en VHDL Arquitectura


Programacin en VHDL/Arquitectura

Contenido
[ocultar]

1 Descripcin de flujo de datos

1.1 Sentencias Concurrentes

1.1.1 WHEN ... ELSE 1.1.2 WITH ... SELECT ... THEN 1.1.3 BLOCK

2 Descripcin de comportamiento

o o o

2.1 PROCESS 2.2 Variables y Seales 2.3 Sentencias secuenciales

2.3.1 IF ... THEN ... ELSE 2.3.2 CASE 2.3.3 LOOP

2.3.4 NEXT y EXIT 2.3.5 ASSERT 2.3.6 WAIT

3 Descripcin estructural

o o

3.1 Definicin de componentes 3.2 Referencia de componentes

Como se ha dicho en el captulo anterior, la arquitectura es lo que define cmo se comporta un circuito. En el primer captulo tambin se mostraron varias arquitecturas en las que se describa un multiplexor. La primera lnea de cada una era ARCHITECTURE mux_comportamiento OF mux IS ARCHITECTURE mux_rtl OF mux IS ARCHITECTURE mux_estructural OF mux IS Los nombres de cada arquitectura son mux_comportamiento, mux_rtl y mux_estructural respectivamente. Todas estn asociadas a la entidad mux. El nombre de la arquitectura se usar para indicar qu arquitectura se debe usar en caso que haya varias para una misma entidad. Despus de esta lnea pueden aparecer varias instrucciones para indicar la declaracin de seales, componentes, funciones, etc.. Estas seales son internas, es decir, a ellas no se puede acceder desde la entidad, por los que los circuitos de nivel superior no podran acceder a ellas. En un smil con un microprocesador, estas seales podran ser las lneas que comunican la unidad central con la ALU, a las que no se puede acceder directamente desde el exterior del microprocesador. Obsrvese que en este caso no se indica si son entradas o salidas, puesto que al ser internas pueden ser ledas o escritas sin ningn problema. En esta parte de la arquitectura tambin pueden aparecer otros elementos, como pueden ser las constantes. Lo siguiente es la palabra clave BEGIN, que da paso a la descripcin del circuito, mediante una serie de sentencias. Por lo tanto, la sintxis de una arquitectura sera. ARCHITECTURE nombre OF nombre_entidad IS [declaraciones] BEGIN [sentencias concurrentes] END [ARCHITECTURE] [nombre]; Un ejemplo de una arquitectura podra ser la siguiente.

ARCHITECTURE mux_rtl OF mux IS

SIGNAL int1, int2, int3 : BIT; BEGIN int1 <= NOT control; int2 <= entrada1 AND int1; int3 <= entrada2 AND S; salida <= int2 OR int3; END mul_rtl;

La descripcin puede ser de tres tipos: 1. Descripcin de flujo de datos 2. Descripcin de comportamiento 3. Descripcin estructural

Descripcin de flujo de datos


A la hora de plantearse crear un programa en VHDL no hay que pensar como si fuera un programa tpico para ordenador. No hay que olvidar que en VHDL hay que describir un hardware, algo que no se hace en un programa para ordenador. Un circuito electrnico puede tener muchos elementos que estn ejecutando acciones a la vez, por ejemplo en un circuito puede tener una entrada que se aplique a dos puertas lgicas y de cada una obtener una salida, en este caso tendra dos caminos en los que se ejecutaran acciones (las puertas lgicas) de forma paralela. Esto es lo que se llama concurrencia. VHDL es un lenguaje concurrente, como consecuencia no se seguir el orden en que estn escritas las instrucciones a la hora de ejecutar el cdigo. De hecho, si hay dos instrucciones, no tiene porqu ejecutarse una antes que otra, pueden ejecutarse a la vez.

Sentencias Concurrentes
La instruccin bsica de la ejecucin concurrente es la asignacin entre seales a travs del smbolo <=. Para facilitar la asignacin de las seales VHDL incluye elementos de alto nivel como son instrucciones condicionales, de seleccin, etc, que se vern a continuacin.

WHEN ... ELSE


Sentencia de seleccin mltiple. En hardware es necesario incluir todas las opciones posibles. En este caso es obligatorio siempre acabar la expresin con un ELSE. <seal> <= <asignacin1> WHEN <condicin1> ELSE <asignacin2> WHEN <condicin2> ELSE ... <asignacinN> WHEN <condicinN> ELSE <asignacinM>;

Un posible ejemplo de este tipo de sentencias podra ser la siguiente.

s <= "00" WHEN a = b ELSE "01" WHEN a > b ELSE "11";

Siempre es obligatorio asignar algo, aunque es posible no realizar ninguna accin, para ello se utiliza la palabra reservada UNAFFECTED. De esta forma se asignar el mismo valor que tena la seal. s1 <= d1 WHEN control = '1' ELSE UNAFFECTED; s2 <= d2 WHEN control = '1' ELSE s2; Las dos sentencias anteriores parecen iguales, pero en la segunda se produce una transaccin, aspecto que en la primera no sucede.

WITH ... SELECT ... THEN


Es similar a las sentencias CASE o SWITCH de C. La asignacin se hace segn el contenido de un objeto o resultado de cierta expresin. WITH <seal1> SELECT <seal2> <= <asignacin1> WHEN <estado_seal1>, <asignacin2> WHEN <estado_seal2>, ... <asignacinN> WHEN OTHERS; Un ejemplo de esta sentencia es la siguiente.

WITH estado SELECT semaforo <= "rojo" "verde"

WHEN "01", WHEN "10",

"amarillo" WHEN "11", "roto" WHEN OTHERS;

La clusula WHEN OTHERS especifica todos los dems valores que no han sido contemplados. Tambin es posible utilizar la opcin que se contempl en el caso anterior (UNAFFECTED).

BLOCK
En ocasiones interesa agrupar un conjunto de sentencias en bloques. Estos bloques permiten dividir el sistema en mdulos, estos mdulos pueden estar compuestos de otros mdulos. La estructura general es la siguiente.

block_id; BLOCK(expresin de guardia) cabecera declaraciones BEGIN sentencias concurrentes END BLOCK block_id; El nombre del bloque es opcional (block_id), al igual que la expresin de guardia. Un ejemplo de esto podra ser el siguiente.

latch: BLOCK(clk='1') BEGIN q <= GUARDED d; END BLOCK latch;

Descripcin de comportamiento
Como la programacin concurrente no siempre es la mejor forma de describir ideas, VHDL incorpora la programacin serie, la cual se define en bloques indicados con la sentencia PROCESS. En un mismo diseo puede haber varios bloques de este tipo, cada uno de estos bloques corresponder a una instruccin concurrente. Es decir, internamente la ejecucin de las instrucciones de los PROCESS es serie, pero entre los bloques es concurrente. A continuacin se vern la estructuras ms comunes de la ejecucin serie y sus caractersticas.

PROCESS
Un PROCESS, como se ha dicho antes, es una sentencia concurrente en el sentido de que todos los PROCESS y todas las dems sentencias concurrentes se ejecutarn sin un orden establecido. No obstante las sentencias que hay dentro del PROCESS se ejecutan de forma secuencial. Por lo tanto se puede decir que una estructura secuencial va en el interior de un PROCESS. La estructura genrica de esta sentencia es: PROCESS [lista de sensibilidad] [declaracin de variables] BEGIN [sentencias secuenciales] END PROCESS;

La lista de sensibilidad es una serie de seales que, al cambiar de valor, hacen que se ejecute el PROCESS. Un ejemplo sera: PROCESS(seal1, seal2) ... El PROCESS anterior slo se ejecutar cuando seal1 o seal2 cambien de valor.

Variables y Seales
Hay que distinguir las seales y las variables, las seales se declaran entre ARCHITECTURE y su correspondiente BEGIN mientras que las variables se declaran entre PROCESS y su BEGIN. Dentro de unPROCESS pueden usarse ambas, pero hay una diferencia importante entre ellas: las seales slo se actualizan al terminar el proceso en el que se usan, mientras que las variables se actualizan instantneamente, es decir, su valor cambia en el momento de la asignacin. Unos ejemplos son:

ENTITY ejemplo PORT (c: IN std_logic; d: OUT std_logic); END ENTITY; ARCHITECTURE ejemplo_arch OF ejemplo IS SIGNAL a,b: std_logic; BEGIN PROCESS(c) VARIABLE z: std_logic; BEGIN a<= c and b; --asignacin de seales: despus de ejecutarse esta

lnea a seguir valiendo lo mismo, slo se actualiza al acabar el PROCESS z:= a or c; --asignacin de variables: en el momento de ejecutarse esta lnea z valdr a or c (el valor que tena a cuando empez el PROCESS) END PROCESS; END ARCHITECTURE;

Sentencias secuenciales
IF ... THEN ... ELSE
Permite la ejecucin de un bloque de cdigo dependiendo de una o varias condiciones.

IF <condicin1> THEN [sentencias 1] ELSIF <condicin2> THEN [sentencias 2] ELSE [sentencias N] END IF; Un ejemplo es:

IF (reloj='1' AND enable='1') THEN salida<=entrada; ELSIF (enable='1') THEN salida<=tmp; ELSE salida<='0'; END IF;

CASE
Es parecido al anterior porque tambin ejecuta un bloque de cdigo condicionalmente, pero en esta ocasin se evala una expresin en vez de una condicin. Se debe recordar que se deben tener en cuenta todos los casos, es decir, incluir como ltima opcin la sentencia WHEN OTHERS. CASE <expresin> IS WHEN <valor1> => [sentencias1] WHEN <valor2> => [sentencias2] WHEN <rango de valores> => [sentenciasN] WHEN OTHERS => [sentenciasM] END CASE; Un ejemplo es:

CASE a IS WHEN 0

=>

B:=0; B:=1; B:=2; B:=3;

WHEN 1 to 50 => WHEN 99 to 51 => WHEN OTHERS END CASE; =>

LOOP
LOOP es la forma de hacer bucles en VHDL. Sera el equivalente a un FOR o WHILE de un lenguaje convencional. Su estructura es: [etiqueta:] [WHILE <condicin> | FOR <condicin>] LOOP [sentencias] [exit;] [next;] END LOOP [etiqueta]; Un ejemplo de bucles anidados es:

bucle1: LOOP a:=A+1 b:=20; bucle2: LOOP IF b < (a*b) THEN EXIT bucle2; END IF; b:=b+a; END LOOP bucle2; EXIT bucle1 WHEN a>10; END LOOP bucle1;

Otro ejemplo, este con FOR es:

bucle1: FOR a IN 1 TO 10 LOOP b:=20; bucle2: LOOP IF b<(a*a) THEN EXIT bucle2; END IF; b:=b-a; END LOOP bucle2; END LOOP bucle1;

Otro ms con WHILE

cuenta := 10; bucle1: WHILE cuenta >= 0 LOOP cuenta := cuenta + 1; b:=20; bucle2: LOOP IF b<(a*a) THEN EXIT bucle2; END IF; b := b-a; END LOOP bucle2; END LOOP bucle1;

NEXT y EXIT
NEXT permite detener la ejecucin actual y seguir con la siguiente. [id_next:] NEXT [id_bucle] [WHEN condicin]; Como se puede suponer, la sentencia EXIT hace que se salga del bucle superior al que se ejecuta. [id_exit:] EXIT [id_bucle] [WHEN condicin]; Se puede ver su uso en los ejemplos del apartado anterior.

ASSERT
Se usa para verificar una condicin y, en caso de que proceda, dar un aviso. La sintxis es: ASSERT <condicin> [REPORT <expresin>] [SEVERITY <expresin>]; Este comando se estudiar en el subcaptulo de notificaciones, en la seccin de bancos de prueba. Puesto que el uso de este comando se realiza nicamente en la simulacin de circuitos.

]WAIT
La ejecucin de un bloque PROCESS se realiza de forma continuada, como si de un bucle infinito se tratara (se ejecutan todas las sentencias y se vuelven a repetir). Esto no tiene mucho sentido, puesto que continuamente se ejecutara lo mismo una y otra vez, sera interesante poder parar la ejecucin. Una forma de hacerlo e mediante las listas de sensibilidad, las cuales se han visto anteriormente, aunque existe otra forma de hacerlo mediante la sentencia WAIT, pero es algo ms complejo. WAIT ON lista_sensible UNTIL condicion FOR timeout;

La lista_sensible es un conjunto de seales separadas por comas. La condicin es una sentencia que activar de nuevo la ejecucin. El timeout es el tiempo durante el cual la ejecucion esta detenida. No es necesario utilizar las tres opciones, en caso de hacerlo la primera condicin que se cumpla volver a activar la ejecucin. WAIT ON pulso; WAIT UNTIL counter = 5; WAIT FOR 10 ns; WAIT ON pulso, sensor UNTIL counter = 5; WAIT ON pulso UNTIL counter = 5 FOR 10 ns; Si se utiliza una lista de sensibilidad no es posible utilizar la sentencia WAIT, sin embargo si es posible utilizar varias sentencias WAIT cuando esta acta como condicin de activacin. Este comando se estudiar en el subcaptulo de retrasos, en la seccin de bancos de prueba.

Descripcin estructural
Las dos descripciones anteriores son las ms utilizadas por los diseadores, ya que son ms cercanos al pensamiento humano. Aunque existe otro tipo de descripcin, que permite la realizacin de diseos jerrquicos. VHDL dispone de diferentes mecanismos para la descripcin estructural.

Definicin de componentes
En VHDL es posible declarar componentes dentro de un diseo mediante la palabra COMPONENT. Un componente se corresponde con una entidad que ha sido declarada en otro mdulo del diseo, o incluso en alguna biblioteca, la declaracin de este elemento se realizar en la parte declarativa de la arquitectura del mdulo que se est desarrollando. La sintxis para declarar un componente es muy parecida a la de una entidad. COMPONENT nombre [IS] [GENERIC(lista_parametros);] [PORT(lista_de_puertos);] END COMPONENT nombre; Si se dispone de un compilador de VHDL'93 no ser necesario incluir en los diseo la parte declarativa de los componentes, es decir se pasara a referenciarlos de forma directa. Un ejemplo de un componente podra ser el siguiente.

COMPONENT mux IS GENERIC( C_AWIDTH : integer; C_DWIDTH : integer );

PORT( control

: IN entrada1 : IN entrada2 : IN salida

bit; bit; bit;

: OUT bit

); END COMPONENT mux;

Referencia de componentes
La referencia de componentes consiste en copiar en la arquitectura aquel componente que se quiera utilizar, tantas veces como sea necesario para construir el diseo. Para ello, la sintaxis que presenta la instanciacin de un componente es la siguiente. ref_id: [COMPONENT] id_componente | ENTITY id_entidad [(id_arquitectura)] | CONFIGURATION id_configuracin [GENERIC MAP (parametros)] [PORT MAP (puertos)]; Un ejemplo de referenciacin del componente anterior sera.

mux_1 : mux GENERIC MAP ( C_AWIDTH => C_AWIDTH, C_DWIDTH => C_DWIDTH ) PORT MAP ( control => ctrl, entrada1 => e1, entrada2 => e2, salida ); => sal

Las seales ctrl, e1, e2 y sal deben ser declaradas previamente en la seccin de declaraciones de la arquitectura, estas seales sirven para poder conectar unos componentes con otros. Tambin deben declararse las variables que se utilizan en la seccin GENERIC.

Programacin en VHDL Organizacin del cdigo


Programacin en VHDL/Organizacin del cdigo

Arquitectura

Organizacin del cdigo

Contenido
[ocultar]

1 Subprogramas

o o o

1.1 Declaracin de funciones y procedimientos 1.2 Llamadas a subprogramas 1.3 Sobrecarga de operadores

2 Paquetes

2.1 Definicin de paquetes

3 Libreras

3.1 Librera ieee

En descripciones de sistemas complejos es necesario una organizacin que permita al diseador trabajar con mayor comodidad. En el captulo anterior se vi como era posible agrupar una serie de sentencias mediante mdulos. Pero existen otras formas de organizar el cdigo, a travs de subprogramas, que harn ms legibles dichos sistemas. Por otro lado, estos subprogramas pueden ser agrupados junto con definiciones de tipos, bloques, ... en estructuras, lo que formaran los denominados paquetes, que a su vez con elementos de configuracin describiran una librera.

Subprogramas
Como en otros lenguajes de programacin, en VHDL es posible estructurar el cdigo mediante el uso de subprogramas. Realmente, un subprograma es una funcin o procedimiento que realiza una determinada tarea, aunque existen ciertas diferencias entre ambas. Una funcin devuelve un valor y un procedimiento devuelve los valores a travs de los parmetros que le han sido pasados como argumentos. Por ello, las primeras debern contener la palabra reservadaRETURN, mientras que las segundas no tienen necesidad de disponer dicha sentencia, en caso de tener una sentencia de ese tipo interrumpir la ejecucin del procedimiento.

A consecuencia de la anterior, en una funcin todos sus parmetros son de entrada, por lo que slo pueden ser leidos dentro de la misma, por el contrario en un procedimiento los parmetros pueden ser de entrada, de salida o de entrada y salida (unidireccionales o bidireccionales). Las funciones se usan en expresiones, sin embargo, los procedimientos se llaman como una sentencia secuencial o concurrente. Los procedimientos pueden tener efectos colaterales al poder cambiar seales externas que han sido pasadas como parmetros, por otro lado las funciones no poseen estos efectos. Las funciones nunca pueden tener una sentencia WAIT, pero los procedimientos s.

Declaracin de funciones y procedimientos


Las declaraciones de estos elementos pueden realizarse en la parte declarativas de las arquitecturas, bloques, paquetes, etc. A continuacin, se muestra la estructura de un procedimiento. PROCEDURE nombre[(parmetros)] IS [declaraciones] BEGIN [sentencias] END [PROCEDURE] [nombre]; La estructura de las funciones corresponden a las siguientes lneas. [PURE | IMPURE] FUNCTION nombre[(parmetros)] RETURN tipo IS [declaraciones] BEGIN [sentencias] -- Debe incluir un RETURN END [FUNCTION] [nombre]; Como ya se explic, la lista de parmetros es opcional en ambos casos. Estos parmetros se declaran de forma similar a como se hacen los puertos de una entidad. <nombre del puerto> : <tipo de puerto> <tipo de objeto> Dependiendo de la estructura que se utilice, funciones o procedimientos, los parmetros tendrn un significado u otro. En las funciones slo es posible utilizar el tipo de puerto IN, mientras que en los procedimientos pueden usarse los tipos IN, OUT e INOUT. Adems, en las funciones el parmetro puede ser CONSTANT o SIGNAL, por defecto es CONSTANT. Por otro lado, en los procedimientos los parmetros de tipo IN son CONSTANT por defecto y VARIABLE para el resto, aunque tambin es posible utilizar SIGNAL siempre que se declare explcitamente. No se aconseja utilizar seales como parmetros, por los efectos que pueden tener en la ejecucin de un programa. Las funciones PURE o puras devuelven el mismo valor para unos parmetros de entrada determinados. Mientras que una funcin es IMPURE o impura si para los mismos valores de entrada se devuelve distinto valor. Estas ltimas pueden depender de una variable o seal global.

Realmente, estas palabras reservadas hacen de comentario, puesto que una funcin no se hace impura o pura por indicarlo. Un ejemplo de una funcin sera el siguiente.

FUNCTION es_uno(din : std_logic_vector(31 downto 0)) RETURN std_logic IS VARIABLE val : std_logic; BEGIN IF din = X"00000001" THEN val := '1'; ELSE val := '0'; END IF; RETURN val; END es_uno;

Por otro lado, un ejemplo de un procedimiento podra pertenecer a las siguientes lneas.

PROCEDURE es_uno(din : std_logic_vector(31 downto 0) dout : std_logic) IS BEGIN IF din = X"00000001" THEN dout := '1'; ELSE dout := '0'; END IF; END es_uno;

En la asignacin a la seal de salida se realiza con el operador :=, puesto que no es una seal, es decir que se trata de un tipo VARIABLE. Si se tratara de una seal se hara con el operador de asignacin <=.

Llamadas a subprogramas
Es muy sencillo realizar una invocacin a un subprograma, basta con indicar el nombre de dicho subprograma seguido de los argumentos, los cuales irn entre parntesis. En VHDL existen varias formas de pasar los parmetros a un subprograma. Asociacin implcita: Poniendo los parmetros en el mismo orden en el que se declaran en el subprograma. Por ejemplo, si se dispone del siguiente procedimiento.

PROCEDURE limite(CONSTANT conj : IN std_logic_vector(3 DOWNTO 0); VARIABLE min, max : INOUT integer) IS ... limite(cjt(31 DOWNTO 28), valmin, valmax); -- Llamada al procedimiento

Asociacin explcita: Los parmetros se colocan en cualquier orden. Un ejemplo de la llamada al procedimiento anterior podra ser la siguiente.

limite(min=>valmin, max=>valmax, conj=>cjt(31 DOWNTO 28));

Parmetros libres: En VHDL es posible dejar parmetros por especificar, de forma que tengan unos valores por defecto, y en el caso de pasar un valor a dichos argumentos puedan tomar el valor especificado. Un ejemplo de este tipo de llamadas sera el siguiente.

PROCEDURE lee(longuitud : IN integer := 200) IS BEGIN .... END PROCEDURE; -- Posibles llamadas lee; lee(350);

Como ya se indic al inicio de este captulo, los subprogramas pueden ser llamados desde un entorno secuencial o concurrente. En caso de ser llamado en un entorno concurrente el procedimiento se ejecutar de forma similar a un bloque PROCESS, por lo que hay que tener alguna sentencia que permita suspender la ejecucin, porque podra ejecutarse de forma continua. Este tipo de sentencias podra ser una lista sensible o una sentencia WAIT, como ya se explic en los bloques PROCESS, como se indic, no es posible utilizar la lista de sensibilidad junto con una sentencia WAIT. Este tipo de sentencias es posible que detengan un procedimiento para siempre si son utilizadas en entornos secuenciales.

Sobrecarga de operadores
Como en otros lenguajes de programacin, en VHDL tambin es posible sobracargar los mtodos, es decir, tener funciones con el mismo nombre pero con distintos parmetros. Aunque, en este lenguaje hay que tener un poco de cuidado a la hora de declarar los procedimientos, puesto que al ser posible dejar libres algunos argumentos es muy probable encontrar situaciones en las que dos funciones son llamadas de forma idntica cuando se hace sin parmetros. Por ejemplo, si se

dispone de los siguientes procedimientos la llamada a stos sin parmetros sera la misma, y no habra forma de diferenciar a qu mtodo se refiere.

PROCEDURE lee(longuitud : IN integer := 20) IS BEGIN .... END PROCEDURE; PROCEDURE lee(factor : IN real := 100.0) IS BEGIN .... END PROCEDURE; lee;

Paquetes
Como se coment al principio, un paquete consta de un conjunto de subprogramas, contantes, declaraciones, etc., con la intencin de implementar algn servicio. As se pueden hacer visibles las interfaces de los subprogramas y ocultar su descripcin.

Definicin de paquetes
Los paquetes se separan en dos zonas: declaraciones y cuerpo, aunque esta ltima puede ser eliminada si no se definen funciones y/o procedimientos. Las siguientes lneas muestra la estructura de un paquete. -- Declaracin de paquete PACKAGE nombre IS declaraciones END [PACKAGE] [nombre]; -- Declaracin del cuerpo PACKAGE BODY nombre IS declaraciones subprogramas ... END [PACKAGE BODY] [nombre]; El nombre del paquete debe coincidir en la declaracin del paquete y del cuerpo. A continuacin, se muestra un ejemplo de un paquete.

-- Declaracin de paquete PACKAGE mi_paquete IS

SUBTYPE dir_type IS std_logic_vector(31 DOWNTO 0); SUBTYPE dato_type IS std_logic_vector(15 DOWNTO 0); CONSTANT inicio : dir_type; -- Hay que definirlo en el BODY FUNCTION inttodato(valor : integer) RETURN dato_type; PROCEDURE datotoint(dato : IN dato_type; valor : OUT integer); END mi_paquete; -- Declaracin del cuerpo PACKAGE BODY mi_paquete IS CONSTANT inicio : dir_type := X"FFFF0000"; FUNCTION inttodato(valor : integer) RETURN dato_type IS -- Cuerpo de la funcin END inttodato; PROCEDURE datotoint(dato : IN dato_type; valor : OUT integer) IS -- Cuerpo del procedimiento END datotoint; END PACKAGE BODY mi_paquete;

Para acceder a los tipos creados en un paquete, se debe indicar el nombre del paquete seguido del elemento que se desea utilizar, separados por un punto. Para el ejemplo anterior sera algo parecido a las siguientes lneas.

VARIABLE dir : work.mi_paquete.dir_type; dir := work.mi_paquete.inicio;

Existe otra forma de realizarlo, haciendo visible al paquete de esta forma no se necesitar el punto ni tampoco indicar el nombre del paquete. Para ello, se debe utilizar la sentencia USE seguido del paquete que se va a utilizar y el mtodo a utilizar, todo ello separado por puntos. El ejemplo anterior se podra realizar de la siguiente forma.

USE work.mi_paquete.ALL VARIABLE dir : dir_type; dir := inicio;

Libreras
Hasta ahora se han visto varios elementos del lenguaje, como pueden ser las entidades, las arquitecturas, los paquetes, etc. Cuando se realiza una descripcin en VHDL se utilizan estas

unidades, en uno o ms ficheros, stos se denominan ficheros de diseo. Posteriormente, estos ficheros sern compilados para obtener una librera o biblioteca de diseo, de forma que esta biblioteca contiene los elementos que componen el circuito. La biblioteca donde se guardan los resultados de la compilacin se denomina work. Una librera se compone de dos partes bien diferenciadas, dependiendo de las unidades que la formen. Por un lado, estn las unidades primarias, que correspondern a entidades, paquetes y archivos de configuracin. Mientras que las unidades secundarias sern arquitecturas y cuerpos de paquetes. Por lo tanto, se puede sacar la conclusin de que cada unidad secundaria deber estar asociada con una unidad primaria. Al realizar una compilacin se analizarn las unidades que vayan apareciendo en el texto. Por consiguiente, es importante establecer un orden lgico de las distintas unidades, para que de esta forma se puedan cumplir las dependencias existentes entre las mismas. La forma que toma la librera una vez compilada es muy diversa; dependiendo de la herramienta de compilacin utilizada as ser el resultado obtenido, esto se debe a que en VHDL no existe un estndar para crear bibliotecas. Para incluir una librera a un diseo basta con utilizar la palabra reservada LIBRARY seguida del nombre de la biblioteca a utilizar. Adems, tambin es posible hacer visibles elementos internos de estas bibliotecas con el uso de la sentencia USE, como se explic en el apartado anterior. En el caso de querer hacer visible todos los elementos de un paquete se puede utilizar la palabra reservada ALL.

LIBRARY mis_componentes; USE mis_componentes.logic.ALL;

En VHDL hay dos libreras que no hacen falta importarlas. Por un lado est la librera work, que contiene las unidades que se estn compilando, y por otro lado, la librera std que contiene los paquetesstandard y textio, las cuales contienen definiciones de tipos y funciones para el acceso a ficheros de texto.

Librera ieee
Una de las bibliotecas ms utilizadas en el mundo de la industria es la denominada ieee, la cual contiene algunos tipos y funciones que completan a las que vienen por defecto en el propio lenguaje. Dentro de la librera existe un paquete denominado std_logic_1164, con el cual se pueden trabajar con un sistema de nueve niveles lgicos, como puede ser: valor desconocido, alta impedancia, etc. El siguiente cdigo muestra parte de este paquete. PACKAGE std_logic_1164 IS TYPE std_ulogic IS( 'U', 'X', '0', '1', 'Z', -----Indefinido Desconocido 0 1 Alta impedancia

'W', 'L', 'H', '-' );

-----

Desconocido LOW (weak low o 0 dbil) HIGH (weak high o 1 dbil) Desconocido

TYPE std_ulogic_vector IS ARRAY(NATURAL RANGE <>) OF std_ulogic; FUNCTION resolved(s : std_ulogic_vector) RETURN std_ulogic; SUBTYPE std_logic IS resolved std_ulogic; TYPE std_logic_vector IS ARRAY (NATURAL RANGE <>) OF std_logic;

Programacin en VHDL Ejemplos Puerta triestado


Programacin en VHDL/Ejemplos/Puerta triestado

Ejemplos

Puerta triestado

El objetivo es crear una puerta que tenga una seal de operacin la cual, a estado alto, habilite la salida, por lo tanto el valor de la entrada pasar a la salida. Cuando la seal de operacin est a nivel bajo la puerta no sacar una seal, es decir, estar en alta impedancia.

Entradas:

entrada: entrada de datos. op: seal que indica el modo de funcionar de la puerta.

Salidas:

salida: salida de datos.

LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; ENTITY triestado IS PORT(op, entrada: IN std_logic; salida: OUT std_logic); END triestado; ARCHITECTURE synth OF triestado IS BEGIN PROCESS(entrada,op) BEGIN IF op='1' THEN salida <= entrada; ELSE salida <= 'Z'; END IF; END PROCESS; END ARCHITECTURE synth;

Programacin en VHDL Ejemplos Multiplexor


Programacin en VHDL/Ejemplos/Multiplexor

El objetivo es crear un sistema que devuelva un valor dependiente de otra seal de entrada, la cual ser la encargada de seleccionar la salida. Adems se definirn varias entradas de datos que actuarn como salidas. Cuando la seal de seleccin este a cero no se producir ninguna salida, es decir el valor ser cero.

Entradas:

EntradaX: entradas de datos. sel: seal que indica la seal que va a ser devuelta.

Salidas:

salida: salida de datos.

library IEEE; use IEEE.STD_LOGIC_1164.all; ENTITY mux IS PORT(c sel END mux; ARCHITECTURE synth OF mux IS BEGIN PROCESS (sel, a, b, c) IS BEGIN CASE sel IS WHEN "00" => salida <= (others => '0'); WHEN "01" => salida <= a; WHEN "10" => salida <= b; WHEN "11" => salida <= c; WHEN OTHERS => salida <= (others => '0'); END CASE; END PROCESS; END synth; : IN std_logic_vector(3 DOWNTO 0); : IN std_logic_vector(1 DOWNTO 0); salida : OUT std_logic_vector(3 DOWNTO 0));

You might also like