You are on page 1of 38

5

PCB Rev: A

Sch ver : 20040402_07

KT2 BLOCK DIAGRAM

PCB STACK UP
LAYER 1 : TOP

PENTIUM-M / Montara-GM / ICH4-M

LAYER 2 : GND
LAYER 3 : IN1

CPU PENTIUM-M

CPU THERMAL
SENSOR

LAYER 4 : IN2

GMT-781

478 Pins (micro FC-PGA)

PAGE: 3

LAYER 5 : VCC

14.318MHz

SYSTEM
POWER(1.2V/1.05V/1.25V)

HCLK_CPU,
HCLK_CPU#

PAGE: 38

CLOCK GEN
PAGE: 3, 4

LAYER 6 : BOT

HCLK_MCH,
HCLK_MCH#

PCLK_551

CY28346/
ICS950810

CPU CORE MAX1907


POWER 1.356V

PAGE: 33

FSB
4X100MHZ

DREFSSCLK
CLK66_MCH

PAGE: 2

SYSTEM MAX1999
POWER(3/5V)

PAGE: 36

R.G,B

CRT port PAGE: 30


C

DDR-SODIMM1
SYSTEM
POWER(2.5VSUS/1.5V_S5)

PAGE: 11, 12

732 micro-FCBGA

LVDS X1

LCD PanelPAGE: 15

DDR I/F 2.5V, 266MHz

NORTH BRIDGE
Montara-GM

DDR-SODIMM2
BATT CHARGER

PAGE: 11, 12
PAGE: 5, 6, 7

14M_ICH

7011 DVOC
PAGE: 13

PAGE: 34

CLK66_ICH
PCLK_ICH

HUB LINK
66MHZ

32.768KHz

DISCHARGE

14.318MHz

PAGE: 35

CLK48_USB
PCLK_LAN

DREFCLK

33MHZ, 3.3V PCI

USB 2.0

USB PORT 0, 1, 2

PAGE: 37

INTEGRADED VGA FUNCTION

PCI_CLK_1410
PCLK_MINI

PAGE: 19

AC97

ICH4-M
ATA 66/100

1st IDE - HDD

24.576MHz

25MHz

421 BGA

PAGE: 28

2nd IDE - CDROM

ATA 66/100

PWRCLKP
PWRCLKN
DIB_DATAN
DIB_DATAP

PAGE: 8, 9, 10

PAGE: 28

AC97
CX20468-31
MBAMC20493-010
PAGE: 20

3.3V LPC, 33MHz


B

MINI-PCI

CARDBUS
CONTROLLER
TI1410

PAGE: 23

PAGE: 14

TSB43AB21PDT

IEEE 1394

TI1520

PAGE: 16, 17

PAGE: 19
B

32.768KHz

Daughter Board
REQ0#,
REQ1#,
REQ2#,
REQ3#,
REQ4#,

GNT0# :
GNT1# :
GNT2# :
GNT3# :
GNT4# :

LED BOARD
TP BOARD
IR BOARD

PCMCIA
MINIPCI
LAN
IEEE1394
N/A

14M_SIO

SUPER IO
PC87391
PAGE: 18

AD16
AD17
AD21
AD22
AD23

:
:
:
:
:

RTL8100CL
PCI1520
PCI1410
MINIPCI
IEEE1394

PC97551
PCLK_551

SMARTDAA
MODEM,
MDC

TQFP 176

PAGE: 22
PAGE: 27

INTA# : LAN
INTB# : CARDBUS 1520
INTC# : MINIPCI
INTD# : MINIPCI
INTE# : CARDBUS
INTF# : IEEE1394
INTG# : NC
INTH# : Intenal USB

LAN
Realtek
8100CL

FAN

Touchpad

Keyboard

FLASH

PAGE: 30

PAGE: 32

PAGE: 32

PAGE: 26

CARDBUS SLOT X1
CARDBUS SLOT X2

AMP
TPA0212
PAGE: 21

1394
CONN

PAGE: 16

PAGE: 19

WIRE
RJ11
JACK

JACK
HEADPHONE, MIC

PAGE: 24

RJ45
JACK
PAGE: 24

PAGE: 21

FDD
Page : 18

FIR

Serial

Page : 18

Page : 18

Parallel
Page : 18
A

PROJECT : KT2

Quanta Computer Inc.

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Size
C

Document Number

Date:

Wednesday, April 07, 2004

Rev
1A

01---BLOCK DIAGRAM
Sheet
1

of

38

CLK GEN
L29

66 Input

133

66IN

66 Input

66

66

66 Input

100

66

66 Input

200

66

66 Input

133

66

.01U/16V/0402

C548
2.2p
XIN
Y4
14.318MHz/20PF
XOUT
R289

+3V

33
+3V

27

CLK_EN#

28

from IMVP4

CGCLK_SMB
CGDAT_SMB

30
29

R115

SELPSB2_CLK
SELPSB1_CLK
SELPSB0_CLK

40
55
54

CLKVDD_REF

1
8
14
19
32

CLK_EN#

CLK_EN#
10K

CPU66M#
R108
*0

R105
10K

R349
*10K

CLKVDD_PCI
CLKVDD_3V66
CLKVDD_CPU

L31
+3V

FBMJ2125HM330-T
CLKVDD_REF

C535
*.1U_0402

+3V

C537
0.1U_0402

L34

R114

475/F

L10

FBMJ2125HM330-T
CLKVDD_PCI

R113

10K

SCLK
SDATA

CK_MULT0

300 ohms@100Mhz

C591
10U/10V/V

3V66_0
3V66_1/VCH
66IN/3V66_5
66B2/3V66_4
66B1/3V66_3
66B0/3V66_2
PCI_F2
PCI_F1
PCI_F0
PCI6
PCI5
PCI4
PCI3
PCI2
PCI1
PCI0

VDD_CPU_1
VDD_CPU_2
IREF

43

MULT0
48M_USB
48M_DOT
VDD_48MHZ

C582
.1U/16V/0402

C167
0.1U_0402

GND_48MHZ
CK-TITAN-B

49
48
52
51

R_HCLK_ITP
R_HCLK_ITP#

33
35

3V66_0
3V66_1

24
23
22
21

3V66_5
R_CLK66_MCH
R_CLK66_ICH
R_CLK66_SSC

7
6
5

R_PCLK_ICH
R_PCIF1
R_PCIF0

R294

18
17
16
13
12
11
10

R_PCLK_SIO
R_PCLK_PCM
R_PCLK_AUDIO
R_PCLK_591
R_PCLK_CBS
R_PCLK_TCPA
R_PCLK_MINI

R299
R153

39
38

R_CLK48_USB
R_DREFCLK

R350
R351

2 RN61
4

HCLK_CPU
HCLK_CPU#

2 RN62
4

HCLK_MCH
HCLK_MCH#

R347
10K

+3V

R338
10K
CGDAT_SMB

CGDAT_SMB

33

DREFSSCLK

33
33

CLK66_MCH
CLK66_ICH

33

PCLK_ICH

33
33

PCLK_SIO
PCLK_1394

33
33
33
33

PCLK_591
PCLK_PCMCIA
PCLK_LAN
PCLK_MINI

22
33

CLK48_USB
DREFCLK

+3V

2
3

HCLK_CPU 3
HCLK_CPU# 3
HCLK_MCH 5
HCLK_MCH# 5

R346
R301
R300

T20
T106

DREFSSCLK

CLK66_MCH 6
CLK66_ICH 9

T40
PCLK_ICH

T94
T99

R298
R297
R296
R295

T39

PCLK_SIO 18
PCLK_1394 19
PCLK_591 27
PCLK_PCMCIA 16,17
PCLK_LAN 23
PCLK_MINI 14

CLK48_USB 9
DREFCLK 6

FBMJ2125HM330-T
CLKVDD_3V66

C136
*.1U_0402

12

L6

PCLK_SMB

C589
*10P

T23
T24

C583
0.1U_0402

C169
0.1U_0402

2N7002E
Q29
+3V

18

14M_ICH

CYPRESS: CY28346

CK-408

VDD_REF
VDD_PCI_1
VDD_PCI_2
VDD_3V66_1
VDD_3V66_2

CLK_48MVDD 37

L9

PDAT_SMB

CPU0
CPU#0

FBMJ2125HM330-T

+3V

CPU1
CPU#1

SEL2
SEL1
SEL0

42

C142
*10P

13

14M_SIO

14M_REF
4P2R-S-33
R_HCLK_CPU
1
R_HCLK_CPU#
3
4P2R-S-33
R_HCLK_MCH
1
R_HCLK_MCH#
3

45
44

CPU2
CPU#2

PWRGD#

36
C170
0.1U_0402

C173
*.1U_0402

49.9/F
49.9/F

14M_TV

56

REF

PWR_DWN#
PCI_STP#
CPU_STP#

46
50

IREF_CLK

+3V
+3V

XTAL_OUT

25
34
53

SELPSB1_CLK
SELPSB0_CLK

XTAL_IN

*10K

9 CLK_PWRDWN#
9
STP_PCI#
9,33 STP_CPU#

PR111 10K

U22
2

66 Input

R107
10K

R123
R124

R348
10
R122
10
R352
10
C579
*10P

R334
2M

C573
2.2p

+3V

R106
*10K

49.9/F
49.9/F

27

66 Input

66IN

VSSA

66IN

200

R125
R126

GND_IREF
GND_CPU

100

C523
10U/10V/V

41
47

C536

26

+3V

300 ohms@100Mhz

VDDA

FBMJ2125HM330-T

VDDA_CKG

3V66_5/66IN
66 Input

GND_REF
GND_PCI_1
GND_PCI_2
GND_3V66_1
GND_3V66_2

3V66[0..4]
66IN

S0 CPU
0
66

4
9
15
20
31

S1
0

S2
1

CGCLK_SMB

CGCLK_SMB

12

internal
internal
pull-up
pull-down
pin 5,FS_IN1 pin 4,FS_IN0MHz

FBMJ2125HM330-T
CLKVDD_CPU

C168
*.1U_0402

C585
0.1U_0402

0
0
1
1

C584
0.1U_0402

0
1
0
1

SPREAD %

14M in 48M out


14M in 66M out
48M in/out, 66M in/out
48M in/out, 66M in/out

-1.0% down sprd


-1.0% down sprd
-1.0% down sprd
+-1.0% down center

2N7002E
Q27

CLK66_ICH
CLK66_MCH
DREFSSCLK
CLK48_USB
DREFCLK

PCLK_LAN
PCLK_ICH
PCLK_591
PCLK_MINI
PCLK_PCMCIA
PCLK_1394

C512
15P/0603
C179
15P/0603

C147
15P/0603

C146
15P/0603

C149
*10P

C518
*10P

C513
15P/0603

C514
15P/0603

C510
15P/0603

C511
15P/0603

C517
*10P

PROJECT : KT2

Quanta Computer Inc.


Size
Document Number
Custom
Date:

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Rev
1A

CLOCK GENERATOR

Wednesday, April 07, 2004

Sheet

of
8

38

CT1
HD#[0..63]

HD#[0..63]

U14A
+3V

HA#[3..31]
HA#3
HA#4
HA#5
HA#6
HA#7
HA#8
HA#9
HA#10
HA#11
HA#12
HA#13
HA#14
HA#15
HA#16
HA#17
HA#18
HA#19
HA#20
HA#21
HA#22
HA#23
HA#24
HA#25
HA#26
HA#27
HA#28
HA#29
HA#30
HA#31

P4
U4
V3
R3
V2
W1
T4
W2
Y4
Y1
U1
AA3
Y3
AA2
AF4
AC4
AC7
AC3
AD3
AE4
AD2
AB4
AC6
AD5
AE2
AD6
AF3
AE1
AF1

5
5

HADSTB0#
HADSTB1#

U3
AE5

5
5
5
5
5

HREQ#0
HREQ#1
HREQ#2
HREQ#3
HREQ#4

R2
P3
T2
P1
T1

A3#
A4#
A5#
A6#
A7#
A8#
A9#
A10#
A11#
A12#
A13#
A14#
A15#
A16#
A17#
A18#
A19#
A20#
A21#
A22#
A23#
A24#
A25#
A26#
A27#
A28#
A29#
A30#
A31#

Banias
1 OF 3

REQUEST
PHASE
SIGNALS

ADSTB0#
ADSTB1#

R187

VCCP

7
5
3
1

VCCP

5
5
5
5

HBREQ0#
BPRI#
BNR#
HLOCK#

N4
J3
L1
J2

5
5
5

HIT#
HITM#
DEFER#

K3
K4
L4

H_IERR#

BPM0#
BPM1#
BPM2#
BPM3#

8
6
4
2
*8P4R-S-1K

ADS#

56

RN13
VCCP

R188

330/F

5
5
5
5

HTRDY#
RS#0
RS#1
RS#2

8
8
8
9
8

A20M#
FERR#
IGNNE#
CPUPWRGD
SMI#

C2
D3
A3
E4
B4

9
8
8
8
8
6,8

56

A4

C8
B8
A9
C9
M3
H1
K1
L2

T41
T42
T77
T51

VCCP

N2

DBR#
INTR
NMI
STPCLK#
CPUSLP#
DPSLP#

CPUPWRGD
TCK
TDO
TDI
TMS
TRST#
HCLK_ITP
HCLK_ITP#
PREQ#
PRDY#
DBR#

CPUSLP#

A13
A12
C12
C11
B13
A16
A15
B10
A10
A7
D1
D4
C6
A6
B7

THERMDA
THERMDC

B18
A18

THERMTRIP#

C17

CPU_PROCHOT#

R158

B17

REQ0#
REQ1#
REQ2#
REQ3#
REQ4#
ADS#

ERROR
SIGNALS

IERR#
BREQ0#
BPRI#
BNR#
LOCK#

ARBITRATION
PHASE
SIGNALS

HIT#
HITM#
DEFER#

SNOOP PHASE
SIGNALS

BPM0#
BPM1#
BPM2#
BPM3#
TRDY#
RS0#
RS1#
RS2#

RESPONSE
PHASE
SIGNALS

A19
A25
A22
B21
A24
B26
A21
B20
C20
B24
D24
E24
C26
B23
E23
C25
H23
G25
L23
M26
H24
F25
G24
J23
M23
J25
L26
N24
M25
H26
N25
K25
Y26
AA24
T25
U23
V23
R24
R26
R23
AA23
U26
V24
U25
V26
Y23
AA26
Y25
AB25
AC23
AB24
AC20
AC22
AC25
AD23
AE22
AF23
AD24
AF20
AE21
AD21
AF25
AF22
AF26

HD#0
HD#1
HD#2
HD#3
HD#4
HD#5
HD#6
HD#7
HD#8
HD#9
HD#10
HD#11
HD#12
HD#13
HD#14
HD#15
HD#16
HD#17
HD#18
HD#19
HD#20
HD#21
HD#22
HD#23
HD#24
HD#25
HD#26
HD#27
HD#28
HD#29
HD#30
HD#31
HD#32
HD#33
HD#34
HD#35
HD#36
HD#37
HD#38
HD#39
HD#40
HD#41
HD#42
HD#43
HD#44
HD#45
HD#46
HD#47
HD#48
HD#49
HD#50
HD#51
HD#52
HD#53
HD#54
HD#55
HD#56
HD#57
HD#58
HD#59
HD#60
HD#61
HD#62
HD#63

+3V
R151
Q12
27,34

2N7002E

R152
100/F

10K

1 THDAT_SMB

MBDATA

R150

10K

H/W MONITOR

6657VCC
+3V
C166
.1U/16V/0402

27,34

Q13
3

MBCLK

U13
1

2N7002E
1 THCLK_SMB

THERMDA

2
3

C164
2200P/50V
THERMDC

VCC

SMCLK

DXP

SMDATA

DXN

-ALT

-OVT

GND

THCLK_SMB

THDAT_SMB

C
6

ICH_THRM#

MAX6657/GMT-781
36

1999_RST#

+3V

VCCP
R156
1K
3

R157
56
R155

THERMTRIP#

THERMTRIP_SIO
330 2

27

3
Q23
1
3904

VCCP

3VSUS
2

A20M#
FERR#
IGNNE#
PWRGOOD
SMI#

PC
COMPATIBILITY
SIGNALS

TCK
TDO
TDI
TMS
TRST#
ITP_CLK0
ITP_CLK1
PREQ#
PRDY#
DBR#

DIAGNOSTIC
& TEST
SIGNALS

LINT0
LINT1
STPCLK#
SLP#
DPSLP#

DATA
PHASE
SIGNALS

D0#
D1#
D2#
D3#
D4#
D5#
D6#
D7#
D8#
D9#
D10#
D11#
D12#
D13#
D14#
D15#
D16#
D17#
D18#
D19#
D20#
D21#
D22#
D23#
D24#
D25#
D26#
D27#
D28#
D29#
D30#
D31#
D32#
D33#
D34#
D35#
D36#
D37#
D38#
D39#
D40#
D41#
D42#
D43#
D44#
D45#
D46#
D47#
D48#
D49#
D50#
D51#
D52#
D53#
D54#
D55#
D56#
D57#
D58#
D59#
D60#
D61#
D62#
D63#

HA#[3..31]

DSTBN0#
DSTBP0#
DSTBN1#
DSTBP1#
DSTBN2#
DSTBP2#
DSTBN3#
DSTBP3#
DBI0#
DBI1#
DBI2#
DBI3#
DBSY#
DRDY#

EXECUTION
CONTROL
SIGNALS

BCLK1
BCLK0

INIT#

THERMDA
THERMDC

RESET#
THERMTRIP#

THERMAL DIODE

DPWR#

C23
C22
K24
L24
W25
W24
AE24
AE25

HDSTBN0#
HDSTBP0#
HDSTBN1#
HDSTBP1#
HDSTBN2#
HDSTBP2#
HDSTBN3#
HDSTBP3#

5
5
5
5
5
5
5
5

D25
J26
T24
AD20

HDBI0#
HDBI1#
HDBI2#
HDBI3#

5
5
5
5

M2
H2

DBSY#
DRDY#

5
5

R176
*54.9/F

R182
39/F

R184
150

T75

TDI
TMS
TCK
TDO

R175

*22.6/F

CPURST#

R178

*22.6/F

T54
T56
T50
T52

TDO-1

T55

TRST#

T47

HCLK_CPU# 2
HCLK_CPU 2

CPUINIT#

R180
150

DBR#

B14
B15

B5

R177
*54.9/F

R173
680

R174
27.4/F

B11 CPURST#

CPURST# 5

C19

DPWR#

R162

R169

*22

*22

C189

C214

*5P

*5P

PROCHOT#
Banias_Processor

PROJECT : KT2

Quanta Computer Inc.

BANIAS CPU 1 of 2 (HOST BUS)


A

Size
Document Number
Custom
Date:
B

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Rev
1A

Wednesday, April 07, 2004

Sheet
E

of

38

COMP0 & 2=> Trace Z =27.4ohm


COMP1 & 3=> Tracer Z =55ohm

WITHIN 0.5" & SPACE 50 mil


R133
R131
R275
R274

CHANGE TO 0805

27.4/F
54.9/F
27.4/F
54.9/F

COMP0
COMP1
COMP2
COMP3

P25
P26
AB2
AB1

COMP0
COMP1
COMP2
COMP3

R121
+1.5V

*0

R127

GTLREF0

1K/F

VCCP

T25
T57
T76

R128

WITHIN 0.5" &


SPACE 25 mil

Q10
4

+3V

VIN

OUT

1.8V

TEST1
TEST2

2K/F

GND

C141

C153

G952

0.1U_0402

R189
*1K

A0 : STUFF
A1 : NC

0.1U_0402

C5
F23

GTLREF0
GTLREF1
GTLREF2
GTLREF3

AC26
N1
B1
F26

1.8V

Banias
2 OF 3

POWER,
GROUND,
RESERVED
SIGNALS
VCCA3
VCCA2
VCCA1
VCCA0

VCC_CORE
C144
+

C152

C264

C488
0.1U

C462
0.1U

C464
0.1U

10U/6.3V

C470
0.1U

10U/6.3V

VCCP

10U/6.3V

C507
*150U/6.3V

10U/6.3V

C451
150U/6.3V

C428

C150

C265

C151

C432

.01U

.01U

.01U

.01U

C496
0.1U

33

D6
D8
D18
D20
D22
E5
E7
E9
E17
E19
E21
F6
F8
F18
F20
F22
G5
G21
H6
H22
J5
J21
K22
U5
V6
V22
W5
W21
Y6
Y22
AA5
AA7
AA9
AA11
AA13
AA15
AA17
AA19
AA21
AB6
AB8
AB10
AB12
AB14
AB16
AB18
AB20
AB22
AC9
AC11
AC13
AC15
AC17
AC19
AD8
AD10
AD12
AD14
AD16
AD18
AE9
AE11
AE13
AE15
AE17
AE19
AF8
AF10
AF12
AF14
AF16
AF18

VCC_CORE

CC0402

VCCP

C463
0.1U

C534
0.1U

C476
0.1U

VCC_CORE

C482
0.1U

C465
0.1U

10U/6.3V/X5R(CC0805) *35

VCC_CORE

C236

C229

C430

C522

C530

C485

C497

C502

C521

C175

C242

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

VCC_CORE

C478

C528

C188

C185

C472

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

VCC_CORE

VCC_CORE

C431

C480

C490

C500

C508

C207

C177

C501

C174

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

VCC_CORE

VCC_CORE

C533

C532

C526

C519

C182

C176

C180

C184

C187

C460

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

10U/6.3V

CT1

U14C

TEST1
TEST2

R132
*1K

FOR VTT/ 0.1UF X10


VCCP

AD26
E26
G1
AC1

VCCP
U14B

VCC00
VCC01
VCC02
VCC03
VCC04
VCC05
VCC06
VCC07
VCC08
VCC09
VCC10
VCC11
VCC12
VCC13
VCC14
VCC15
VCC16
VCC17
VCC18
VCC19
VCC20
VCC21
VCC22
VCC23
VCC24
VCC25
VCC26
VCC27
VCC28
VCC29
VCC30
VCC31
VCC32
VCC33
VCC34
VCC35
VCC36
VCC37
VCC38
VCC39
VCC40
VCC41
VCC42
VCC43
VCC44
VCC45
VCC46
VCC47
VCC48
VCC49
VCC50
VCC51
VCC52
VCC53
VCC54
VCC55
VCC56
VCC57
VCC58
VCC59
VCC60
VCC61
VCC62
VCC63
VCC64
VCC65
VCC66
VCC67
VCC68
VCC69
VCC70
VCC71

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

A2
A5
A8
A11
A14
A17
A20
A23
A26
B3
B6
B9
B12
B16
B19
B22
B25
C1
C4
C7
C10
C13
C15
C18
C21
C24
D2
D5
D7
D9
D11
D13
D15
D17
D19
D21
D23
D26
E3
E6
E8
E10
E12
E14
E16
E18
E20
E22
E25
F1
F4
F5
F7
F9
F11
F13
F15
F17
F19
F21
F24
G2
G6
G22
G23
G26
H3
H5
H21
H25
J1
J4
J6
J22
J24
K2
K5
K21
K23
K26
L3
L6
L22
L25
M1
M4
M5
M21
M24
N3
N6
N22
N23
N26
P2
P5
P21
P24
R1
R4

3,5,7,8,10,35,38

VCCP
D10
D12
D14
D16
E11
E13
E15
F10
F12
F14
F16
K6
L5
L21
M6
M22
N5
N21
P6
P22
R5
R21
T6
T22
U21
P23
W4

33
33
33
33
33
33

E2
F2
F3
G3
G4
H4

CPU_VID0
CPU_VID1
CPU_VID2
CPU_VID3
CPU_VID4
CPU_VID5

R272
R273

*54.9/F
*54.9/F

T59
T53
T45
R159T60
T58

*1K

Z0501
Z0502

AE7
AF6

Z0503
Z0504
Z0505
Z0506
Z0507
Z0508

B2
AF7
C14
C3
C16
E1
R6
R22
R25
T3
T5
T21
T23
T26
U2
U6
U22
U24
V1
V4
V5
V21
V25
W3
W6
W22

VCCP0
VCCP1
VCCP2
VCCP3
VCCP4
VCCP5
VCCP6
VCCP7
VCCP8
VCCP9
VCCP10
VCCP11
VCCP12
VCCP13
VCCP14
VCCP15
VCCP16
VCCP17
VCCP18
VCCP19
VCCP20
VCCP21
VCCP22
VCCP23
VCCP24
VCCP25
VCCP26

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

Banias
3 OF 3
POWER, GROUND AND NC

VID0
VID1
VID2
VID3
VID4
VID5

VID

VCCSENSE
VSSSENSE
NC0
NC1
NC2
NC3
TEST3
PSI
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

W23
W26
Y2
Y5
Y21
Y24
AA1
AA4
AA6
AA8
AA10
AA12
AA14
AA16
AA18
AA20
AA22
AA25
AB3
AB5
AB7
AB9
AB11
AB13
AB15
AB17
AB19
AB21
AB23
AB26
AC2
AC5
AC8
AC10
AC12
AC14
AC16
AC18
AC21
AC24
AD1
AD4
AD7
AD9
AD11
AD13
AD15
AD17
AD19
AD22
AD25
AE3
AE6
AE8
AE10
AE12
AE14
AE16
AE18
AE20
AE23
AE26
AF2
AF5
AF9
AF11
AF13
AF15
AF17
AF19
AF21
AF24

Banias_Processor

Banias_Processor

PROJECT : KT2

Quanta Computer Inc.

BANIAS CPU 2 of 2 (PWR)


A

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Size
B

Document Number

Date:

Wednesday, April 07, 2004

Rev
1A

CPU POWER
Sheet
E

of

38

CT1

U15E
U15A
HD#[0..63]

R271

*22

*22

C422

C423

*5P

*5P

VCCP

width:10 mil;
space: 20 mil

R179
301/F

R181

0.1U
2

150/F

C227

VCCP

width:10 mil;
space: 20 mil

R198

301/F

R192

ADS#
HADSTB0#
HADSTB1#

L28
T26
AA26

3
3
3
3
3

HREQ#0
HREQ#1
HREQ#2
HREQ#3
HREQ#4

R28
P25
R23
R25
T23

3
3
3
3
3
3
3
3
3
3
3
3
3

RS#0
RS#1
RS#2
DBSY#
BPRI#
BNR#
HBREQ0#
HLOCK#
HIT#
HITM#
DRDY#
HTRDY#
DEFER#

N23
P26
M27
M26
P28
N25
M23
P27
N27
N28
N24
M25
M28

CPURST#

HCLK_MCH
HCLK_MCH#

R183
R195

27.4/F
27.4/F

width:10 mil;
space: 20 mil

+1.5V

255/F

162/F

0.1U

HLRCOMP
HUBSWING_MCH
HUBVREF_GMCH

27.4/F

0.35V +/- 8%
C561
0.1U

127/F

R315

R327

0.1U

+1.2V

W3
V2

HLRCOMP:
855GM, 27.4/F pull-up to 1.2V
855GME, 37.4/F pull-up to
1.35V

C560

C551

T2
U2
W1

HOST

ADS#
HADSTB#0
HADSTB#1
HREQ#0
HREQ#1
HREQ#2
HREQ#3
HREQ#4
RS#0
RS#1
RS#2
DBSY#
BPRI#
BNR#
BREQ0#
HLOCK#
HIT#
HITM#
DRDY#
HTRDY#
DEFER#
CPURST#

BCLK
BCLK#
HXSWING
HYSWING
HXRCOMP
HYRCOMP
HI_0
HI_1
HI_2
HI_3
HI_4
HI_5
HI_6
HI_7
HI_8
HI_9
HI_10
HLSTB
HLSTB#
HLRCOMP
PSWING
HLVREF

DINV#0
DINV#1
DINV#2
DINV#3
HDSTBN#0
HDSTBP#0
HDSTBN#1
HDSTBP#1
HDSTBN#2
HDSTBP#2
HDSTBN#3
HDSTBP#3
HAVREF
HCCVREF
HDVREF0
HDVREF1
HDVREF2

J25
E25
B25
G19

VCCP

R277
49.9/F

C435

C453

R280

1U_10V 0.1U

100/F

VCCP

R197
49.9/F

HDBI0#
HDBI1#
HDBI2#
HDBI3#

3
3
3
3

J28
K27
C27
D26
E22
E21
D18
E18

HDSTBN0#
HDSTBP0#
HDSTBN1#
HDSTBP1#
HDSTBN2#
HDSTBP2#
HDSTBN3#
HDSTBP3#

Y22
Y28
K21
J21
J17

HAVREF
HCCVREF

C281

C280

1U_10V

R193

0.1U

3
3
3
3
3
3
3
3

100/F

VCCP

R185
49.9/F
HDVREF

C568

C469

C249 C448 C244

R278

Montara-GM
.01U

.01U

1U_10V

R340
C571

U7
U4
U3
V3
W2
W6
V6
W7
T3
V5
V4

HL[0..10]

0.8V +/- 8%
HL[0..10]
HLSTB
HLSTB#

B18
K28
B20
H28
HL0
HL1
HL2
HL3
HL4
HL5
HL6
HL7
HL8
HL9
HL10

R339

9
9
9

AE29
AD29

HXSWING
HYSWING
HXRCOMP
HYRCOMP

0.1U

F15
HCLK_MCH
HCLK_MCH#

150/F

2
2

C283

3
3
3

HD#0
HD#1
HD#2
HD#3
HD#4
HD#5
HD#6
HD#7
HD#8
HD#9
HD#10
HD#11
HD#12
HD#13
HD#14
HD#15
HD#16
HD#17
HD#18
HD#19
HD#20
HD#21
HD#22
HD#23
HD#24
HD#25
HD#26
HD#27
HD#28
HD#29
HD#30
HD#31
HD#32
HD#33
HD#34
HD#35
HD#36
HD#37
HD#38
HD#39
HD#40
HD#41
HD#42
HD#43
HD#44
HD#45
HD#46
HD#47
HD#48
HD#49
HD#50
HD#51
HD#52
HD#53
HD#54
HD#55
HD#56
HD#57
HD#58
HD#59
HD#60
HD#61
HD#62
HD#63

HD#[0..63]

HD#0
HD#1
HD#2
HD#3
HD#4
HD#5
HD#6
HD#7
HD#8
HD#9
HD#10
HD#11
HD#12
HD#13
HD#14
HD#15
HD#16
HD#17
HD#18
HD#19
HD#20
HD#21
HD#22
HD#23
HD#24
HD#25
HD#26
HD#27
HD#28
HD#29
HD#30
HD#31
HD#32
HD#33
HD#34
HD#35
HD#36
HD#37
HD#38
HD#39
HD#40
HD#41
HD#42
HD#43
HD#44
HD#45
HD#46
HD#47
HD#48
HD#49
HD#50
HD#51
HD#52
HD#53
HD#54
HD#55
HD#56
HD#57
HD#58
HD#59
HD#60
HD#61
HD#62
HD#63

0.1U

0.1U

0.1U

100/F

AA29
W29
U29
N29
L29
J29
G29
E29
C29
AE28
AC28
E28
D28
AJ27
AG27
AC27
F27
A27
AJ26
AB26
W26
U26
R26
N26
L26
J26
G26
AE25
AA25
D25
A25
AG24
AA24
V24
T24
P24
M24
K24
H24
F24
B24
AJ23
AC23
AA23
D23
A23
AE22
W22
U22
R22
N22
L22
J22
F22
C22
AG21
AB21
AA21
Y21
V21
T21
P21
M21
H21
D21
A21
AJ20
AC20
AA20
J20
AE19
AB19
H19
D19
A19
AJ18
AG18
AA18
J18
F18
AC17
AB17
U17
R17
N17
H17
D17
A17
AE16
AA16
T16

R270

HA#3
HA#4
HA#5
HA#6
HA#7
HA#8
HA#9
HA#10
HA#11
HA#12
HA#13
HA#14
HA#15
HA#16
HA#17
HA#18
HA#19
HA#20
HA#21
HA#22
HA#23
HA#24
HA#25
HA#26
HA#27
HA#28
HA#29
HA#30
HA#31

K22
H27
K25
L24
J27
G28
L27
L23
L25
J24
H25
K23
G27
K26
J23
H26
F25
F26
B27
H23
E27
G25
F28
D27
G24
C28
B26
G22
C26
E26
G23
B28
B21
G21
C24
C23
D22
C25
E24
D24
G20
E23
B22
B23
F23
F21
C20
C21
G18
E19
E20
G17
D20
F19
C19
C17
F17
B19
G16
E16
C16
E17
D16
C18

HCLK_MCH
HCLK_MCH#
A

P23
T25
T28
R27
U23
U24
R24
U28
V28
U27
T27
V27
U25
V26
Y24
V25
V23
W25
Y25
AA27
W24
W23
W27
Y27
AA28
W28
AB27
Y26
AB28

HA#3
HA#4
HA#5
HA#6
HA#7
HA#8
HA#9
HA#10
HA#11
HA#12
HA#13
HA#14
HA#15
HA#16
HA#17
HA#18
HA#19
HA#20
HA#21
HA#22
HA#23
HA#24
HA#25
HA#26
HA#27
HA#28
HA#29
HA#30
HA#31

HA#[3..31]

HA#[3..31]

HUB I/F

VSS0
VSS1
VSS2
VSS3
VSS4
VSS5
VSS6
VSS7
VSS8
VSS9
VSS10
VSS11
VSS12
VSS13
VSS14
VSS15
VSS16
VSS17
VSS18
VSS19
VSS20
VSS21
VSS22
VSS23
VSS24
VSS25
VSS26
VSS27
VSS28
VSS29
VSS30
VSS31
VSS32
VSS33
VSS34
VSS35
VSS36
VSS37
VSS38
VSS39
VSS40
VSS41
VSS42
VSS43
VSS44
VSS45
VSS46
VSS47
VSS48
VSS49
VSS50
VSS51
VSS52
VSS53
VSS54
VSS55
VSS56
VSS57
VSS58
VSS59
VSS60
VSS61
VSS62
VSS63
VSS64
VSS65
VSS66
VSS67
VSS68
VSS69
VSS70
VSS71
VSS72
VSS73
VSS74
VSS75
VSS76
VSS77
VSS78
VSS79
VSS80
VSS81
VSS82
VSS83
VSS84
VSS85
VSS86
VSS87
VSS88
VSS89
VSS90

VSS91
VSS92
VSS93
VSS94
VSS95
VSS96
VSS97
VSS98
VSS99
VSS100
VSS101
VSS102
VSS103
VSS104
VSS105
VSS106
VSS107
VSS108
VSS109
VSS110
VSS111
VSS112
VSS113
VSS114
VSS115
VSS116
VSS117
VSS118
VSS119
VSS120
VSS121
VSS122
VSS123
VSS124
VSS125
VSS126
VSS127
VSS128
VSS129
VSS130
VSS131
VSS132
VSS133
VSS134
VSS135
VSS136
VSS137
VSS138
VSS139
VSS140
VSS141
VSS142
VSS143
VSS144
VSS145
VSS146
VSS147
VSS148
VSS149
VSS150
VSS151
VSS152
VSS153
VSS154
VSS155
VSS156
VSS157
VSS158
VSS159
VSS160
VSS161
VSS162
VSS163
VSS164
VSS165
VSS166
VSS167
VSS168
VSS169
VSS170
VSS171
VSS172
VSS173
VSS174
VSS175
VSS176
VSS177
VSS178
VSS179
VSS180

VSS

P16
J16
F16
AG15
AB15
U15
R15
N15
H15
D15
AC14
AA14
T14
P14
J14
AE13
AB13
U13
R13
N13
H13
F13
D13
A13
AJ12
AG12
AA12
J12
AJ11
AC11
AB11
H11
F11
D11
AJ10
AE10
AA10
J10
C10
AG9
AB9
W9
U9
T9
R9
N9
L9
E9
AC8
Y8
V8
T8
P8
K8
H8
AJ7
AE7
AA7
R7
M7
J7
G7
E7
C7
AG6
Y6
L6
Y5
U5
B5
AE4
AC4
AA4
W4
T4
N4
K4
G4
D4
AJ3
AG3
R2
AJ1
AE1
AA1
U1
L1
G1
C1
F20

Montara-GM

PROJECT : KT2

Quanta Computer Inc.

MONTARA-GME/ 855GM+ 1of 3 (HOST & GND)


1

Size
Document Number
Custom
MontaraGM_A
Date:
4

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Wednesday, April 07, 2004


7

Rev
1A
Sheet

of
8

38

+3V

CT1

*10K

LCLKCTLA

R293

*10K

LCLKCTLB

R302

10K/F

EXTTS0

R329

100K

DVOBCLKINT

R337

100K DVOBFLDSTL

DREFCLK
R292

R_MD[0..63]
R_MA[0..12]
R_SM_DQS[0..7]
M_DM[0..7]

R290

ADDETECT

R343

*1K

+1.5V

*12P

U15B

DVOBINTRB#
R3
R5
R6
R4
P6
P5
N5
P2
N2
N3
M1
M5

T27
T28
T102
T103
T113
T119
13 DVOBINTRB#
13 DVOBCLKINT

DVOBFLDSTL
DVOBINTRB#
DVOBCLKINT

P3
P4
T6
T5
L2
M2
G2
M3

DVOCD0
DVOCD1
DVOCD2
DVOCD3
DVOCD4
DVOCD5
DVOCD6
DVOCD7
DVOCD8
DVOCD9
DVOCD10
DVOCD11

K5
K1
K3
K2
J6
J5
H2
H1
H3
H4
H6
G3
J3
J2
K6
L5
L3
H5

DVOCCLK
DVOCCLK#
DVOCHSYNC
DVOCVSYNC
DVOBLANK#
DVOCFLDSTL
R291
R305
R342
R341
R284
R288

2.2K
2.2K
10K
10K
10K
10K

R332

1K

MI2C_CLK
MI2C_DATA
DVI_CLK
DVI_DAT

T100
T101
T29
T30
T110
T109
T92

T121
9

AGP_BUSY#

R325
*22

E5
F5
E3
E2
G5
F4
G6
F6

ADDID7
ADDETECT
DPMSCLK

L7
D5

AGP_BUSY#

F7
Y3
D1
F1

CLK66_MCH
13

K7
N6
N7
M6
P7
T7

DVORCOMP
VREF

VREF

I2CCLK
I2CDATA
DVICLK
DVIDATA
DVI2CLK
DVI2DATA

C570
*5P

.1U

DVORCOMP
width:10 mil;
space: 20 mil
+1.5V

R333

T90
T91
TXUCLKOUTTXUCLKOUT+

15
15
15
15
15
15

T83

TXUCLKOUT- 15
R149
TXUCLKOUT+ 15
R148

2.2K
2.2K

+3V

EDIDCLK 15
EDIDDATA 15
DISP_ON

15

BKLON

15

T96

H10
J9
B6
G9
E8

B7
B17
H9
C6

DREFCLK
DREFSSCLK
LCLKCTLA
LCLKCTLB

CRT_R
CRT_G
CRT_B

MISC

SM_B1
SM_B2
SM_B4
SM_B5

11,12
11,12
11,12
11,12

SM_CS0#
SM_CS1#
SM_CS2#
SM_CS3#

R286
R287

11,12
11,12

39/F
39/F

HSYNC
VSYNC
DDCCLK
DDCDAT

IREF

T49

15
15
15

R283

15
15

11,12
11,12
11,12
11,12

127/F

DREFCLK
DREFSSCLK
LCLKCTLA
LCLKCTLB

DREFCLK 2
DREFSSCLK 2

DPWR#
DPSLP#
PCIRST#
PWROK

EXTTS0

R_BA0
R_BA1

11,12 R_SRASA#
11,12 R_SCASA#
11,12 R_BMWEA#

15
15

T114
T111
2.5VSUS

AA22
Y23
AD28
J11
D6

DPWR#
DPSLP#
RSTIN#
PWROK
EXTTS0

AGPBUSY#
CLK66IN
DVORCOMP
GVREF

11,12
11,12
11,12
11,12

1.5K/F

A7
C8
C9
A8
D8
D9

RED
GRN
BLU
RED#
GRN#
BLU#

REFSET

ADDETECT
DPMS

NC11
NC10
NC9
NC8
NC7
NC6
NC5
NC4
NC3
NC2
NC1
NC0

TXUOUT0TXUOUT0+
TXUOUT1TXUOUT1+
TXUOUT2TXUOUT2+

A10

HSYNC
VSYNC
DDCACLK
DDCADATA

ADDID0
ADDID1
ADDID2
ADDID3
ADDID4
ADDID5
ADDID6
ADDID7

15
15
15
15
15
15

TXLCLKOUT- 15
TXLCLKOUT+ 15

TXUOUT0TXUOUT0+
TXUOUT1TXUOUT1+
TXUOUT2TXUOUT2+

A5
G8
F8

LIBG

DVOCCLK
DVOCCLK#
DVOCHSYNC
DVOCVSYNC
DVOCBLANK#
DVOCFLDSTL

TXLOUT0TXLOUT0+
TXLOUT1TXLOUT1+
TXLOUT2TXLOUT2+

T86
T44
TXLCLKOUTTXLCLKOUT+

R285

C555
A2
B1
AH1
AJ2
AJ4
AA9
A28
AJ28
A29
B29
AH29
AJ29

TXLOUT0TXLOUT0+
TXLOUT1TXLOUT1+
TXLOUT2TXLOUT2+

B4
C5

LCDVCCEN
BLKCTL
BLKEN

R331
40.2/F

H12
G12
E12
E11
C12
C11
G11
G10
E10
F10

IYBM0
IYBP0
IYBM1
IYBP1
IYBM2
IYBP2
IYBM3
IYBP3
ICLKBM
ICLKBP

DDCPCLK
DDCPDATA

DVOCD0
DVOCD1
DVOCD2
DVOCD3
DVOCD4
DVOCD5
DVOCD6
DVOCD7
DVOCD8
DVOCD9
DVOCD10
DVOCD11

DAC

MI2C_CLK
MI2C_DATA

13 MI2C_CLK
13 MI2C_DATA

+1.5V

DVOBCLK
DVOBCLK#
DVOBHSYNC
DVOBVSYNC
DVOBLANK#
DVOBFLDSTL
DVOBINTRB#
DVOBCLKINT

G14
F14
E15
E14
C15
C14
C13
B13
D14
E13

IYAM0
IYAP0
IYAM1
IYAP1
IYAM2
IYAP2
IYAM3
IYAP3
ICLKAM
ICLKAP

DVOCD[0..11]

13 DVOCD[0..11]
B

13
13
13
13
13
13

DVOBD0
DVOBD1
DVOBD2
DVOBD3
DVOBD4
DVOBD5
DVOBD6
DVOBD7
DVOBD8
DVOBD9
DVOBD10
DVOBD11

LVDS

100K
T116
T107
T93
T117
T97
T26
T35
T36
T31
T32
T33
T34

DVO

R328

3
3,8
8,13,14,16,17,18,19,23,27,28
9,27,33

T108
T104
C538
R282

R279

R326

150/F

604/F

60.4/F

CKE0
CKE1
CKE2
CKE3

12
12
12
12

CLK_SDRAM0
CLK_SDRAM0#
CLK_SDRAM1
CLK_SDRAM1#

12
12
12
12

CLK_SDRAM3
CLK_SDRAM3#
CLK_SDRAM4
CLK_SDRAM4#

R_MA0
R_MA1
R_MA2
R_MA3
R_MA4
R_MA5
R_MA6
R_MA7
R_MA8
R_MA9
R_MA10
R_MA11
R_MA12

AC18
AD14
AD13
AD17
AD11
AC13
AD8
AD7
AC6
AC5
AC19
AD5
AB5

R_SM_DQS0
R_SM_DQS1
R_SM_DQS2
R_SM_DQS3
R_SM_DQS4
R_SM_DQS5
R_SM_DQS6
R_SM_DQS7
R_SM_DQS8

AG2
AH5
AH8
AE12
AH17
AE21
AH24
AH27
AD15

SM_B1
SM_B2
SM_B4
SM_B5

AD16
AC12
AF11
AD10

SM_CS0#
SM_CS1#
SM_CS2#
SM_CS3#

AD23
AD26
AC22
AC25

M_DM0
M_DM1
M_DM2
M_DM3
M_DM4
M_DM5
M_DM6
M_DM7
M_DM8

AE5
AE6
AE9
AH12
AD19
AD21
AD24
AH28
AH15

R_BA0
R_BA1

AD22
AD20

SMA0
SMA1
SMA2
SMA3
SMA4
SMA5
SMA6
SMA7
SMA8
SMA9
SMA10
SMA11
SMA12
SDQS0
SDQS1
SDQS2
SDQS3
SDQS4
SDQS5
SDQS6
SDQS7
SDQS8
SMA_B1
SMA_B2
SMA_B4
SMA_B5
SCS#0
SCS#1
SCS#2
SCS#3
SDM0
SDM1
SDM2
SDM3
SDM4
SDM5
SDM6
SDM7
SDM8
SBA0
SBA1

R_SRASA# AC21
R_SCASA# AC24
R_BMWEA# AD25
CKE0
CKE1
CKE2
CKE3

SRAS#
SCAS#
SWE#

AC7
AB7
AC9
AC10

SCKE0
SCKE1
SCKE2
SCKE3

AB2
AA2
AC26
AB25
AC3
AD4
AC2
AD2
AB23
AB24
AA3
AB4

SCMDCLK0
SCMDCLK#0
SCMDCLK1
SCMDCLK#1
SCMDCLK2
SCMDCLK#2
SCMDCLK3
SCMDCLK#3
SCMDCLK4
SCMDCLK#4
SCMDCLK5
SCMDCLK#5

0.1U

D7
B2
C2
D2
F2
B3
C3
D3
F3
C4
L4
AA5
B12
D12
F12

RVSD14
RVSD13
GST2
RVSD11
RVSD10
RVSD9
GST1
RVSD7
RVSD6
GST0
RVSD4
RVSD3
RVSD2
RVSD1
RVSD0

T95
T115
GST2
T37

R314

*10K

R310

*10K

R303

*10K

SMDDR_VREF
SMRCOMP
SMVSWINGH
SMVSWINGL

AJ24
AB1
AJ19
AJ22

SMVREF
SMRCOMP
SMVSWINGH
SMVSWINGL

DDR 200/266 MHz

1K

TV ENCODER: REQUEST!
"ADDETECT" must be low, when we use DVO port.

+1.5V

U15C

C520
R307

R_MD[0..63] 11
R_MA[0..12] 11,12
R_SM_DQS[0..7] 11
M_DM[0..7] 11

*22

SDQ0
SDQ1
SDQ2
SDQ3
SDQ4
SDQ5
SDQ6
SDQ7
SDQ8
SDQ9
SDQ10
SDQ11
SDQ12
SDQ13
SDQ14
SDQ15
SDQ16
SDQ17
SDQ18
SDQ19
SDQ20
SDQ21
SDQ22
SDQ23
SDQ24
SDQ25
SDQ26
SDQ27
SDQ28
SDQ29
SDQ30
SDQ31
SDQ32
SDQ33
SDQ34
SDQ35
SDQ36
SDQ37
SDQ38
SDQ39
SDQ40
SDQ41
SDQ42
SDQ43
SDQ44
SDQ45
SDQ46
SDQ47
SDQ48
SDQ49
SDQ50
SDQ51
SDQ52
SDQ53
SDQ54
SDQ55
SDQ56
SDQ57
SDQ58
SDQ59
SDQ60
SDQ61
SDQ62
SDQ63
SDQ64
SDQ65
SDQ66
SDQ67
SDQ68
SDQ69
SDQ70
SDQ71

SRCVENIN#
SRCVENOUT#

AF2
AE3
AF4
AH2
AD3
AE2
AG4
AH3
AD6
AG5
AG7
AE8
AF5
AH4
AF7
AH6
AF8
AG8
AH9
AG10
AH7
AD9
AF10
AE11
AH10
AH11
AG13
AF14
AG11
AD12
AF13
AH13
AH16
AG17
AF19
AE20
AD18
AE18
AH18
AG19
AH20
AG20
AF22
AH22
AF20
AH19
AH21
AG22
AE23
AH23
AE24
AH25
AG23
AF23
AF25
AG25
AH26
AE26
AG28
AF28
AG26
AF26
AE27
AD27
AG14
AE14
AE17
AG16
AH14
AE15
AF16
AF17

R_MD0
R_MD1
R_MD2
R_MD3
R_MD4
R_MD5
R_MD6
R_MD7
R_MD8
R_MD9
R_MD10
R_MD11
R_MD12
R_MD13
R_MD14
R_MD15
R_MD16
R_MD17
R_MD18
R_MD19
R_MD20
R_MD21
R_MD22
R_MD23
R_MD24
R_MD25
R_MD26
R_MD27
R_MD28
R_MD29
R_MD30
R_MD31
R_MD32
R_MD33
R_MD34
R_MD35
R_MD36
R_MD37
R_MD38
R_MD39
R_MD40
R_MD41
R_MD42
R_MD43
R_MD44
R_MD45
R_MD46
R_MD47
R_MD48
R_MD49
R_MD50
R_MD51
R_MD52
R_MD53
R_MD54
R_MD55
R_MD56
R_MD57
R_MD58
R_MD59
R_MD60
R_MD61
R_MD62
R_MD63

T48
T88
T81
T79
T46
T85
T82
T78
T80
T84

AC16
AC15

T118
GST1
T38
T112
GST0
T105
T98
T43
T89

+1.5V

R281

R276

R336

604/F

150/F

60.4/F

C449
0.1U

C567

C434

0.1U

1U_10V

Montara-GM

C437
0.1U

T87

1K

DPMSCLK

Montara-GM

ST2 ST1 ST0

SUSCLK

Q28

2
1

* 00

RHU002N06

MONTARA-GME/ 855GM+ 2 of 3 (DVO & DDR)


1

0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
0

PSB
Memory
GFX Core Clock GFX Core Clock
Frequency Frequency - Low
- High
400MHz
400MHz
400MHz
400MHz
533MHz
533MHz
533MHz
400MHz

266MHz
200MHz
200MHz
266MHz
266MHz
266MHz
333MHz
333MHz

133MHz
100MHz
100MHz
133MHz
133MHz
133MHz
166MHz
166MHz

200MHz
200MHz
133MHz
266MHz
200MHz
266MHz
266MHz
250MHz

PROJECT : KT2

Quanta Computer Inc.


Size
Document Number
Custom
MontaraGM_B
Date:

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Wednesday, April 07, 2004


7

Rev
1A
Sheet

of
8

38

CT1

U15D
A

+ C421
150U/6.3V
<Type>
CC7343

C483 C466 C493 C495


C575
10U_6.3V0.1U 0.1U 0.1U 0.1U

+1.2V
C477 C456 C475 C455
0.1U

0.1U

0.1U

0.1U

W21
AA19
AA17
T17
P17
U16
R16
N16
AA15
T15
P15
J15
U14
R14
N14
H14
T13
P13

+1.2V
+1.2V

R194

+1.2V

R306

VCCAHPLL

9.16mA

C271

C576

0.1U

*10U_6.3V 0.1U

VCCAGPLL

C468 C467 C474 C479


0.1U

0.1U

0.1U

VCCAHPLL
VCCAGPLL

5.57mA

V9
W8
U8
V7
U6
W5
Y1
V1
D29
Y2

C541
VCCADPLLA
VCCADPLLB

0.1U
+1.5V
R160

L12

.1UH

+1.2V
1

VCCADPLLA

1.97mA ~ 5.36mA

C181

C183

220U/2.5V
CC7343

0.1U

+ C574
C525 C515 C516 C559
150U/6.3V
0.1U 0.1U 0.1U 0.1U
CC7343

+
+1.5V
C161
10U_6.3V
R172

L13

.1UH

+1.2V

C558
0.1U

C542
0.1U

C556
0.1U

3.35mA

VCCADPLLB

+1.5V
1

C243

C487

220U/2.5V
CC7343

Route this VSSDAC to other


side of the cap, then to GND.

0.1U

0.1U

0.01U

VCCDLVDS

VCCTXLVDS
+3V
+1.5V

C172
R161

B9
A9
B8
B15
B14
J13
G13
A12
D10
B10
F9

C540

10U_6.3V

5.6mA

P9
M9
K9
R8
N8
M8
L8
J8
H7
E6
M4
J4
E4
N1
J1
E1

C499

C218

A6
B16

A4
A3

0.1U

C484 C186
0.1U

+1.5V

0.1U

C505

VCCDVO0
VCCDVO1
VCCDVO2
VCCDVO3
VCCDVO4
VCCDVO5
VCCDVO6
VCCDVO7
VCCDVO8
VCCDVO9
VCCDVO10
VCCDVO11
VCCDVO12
VCCDVO13
VCCDVO14
VCCDVO15
VCCADAC0
VCCADAC1
VSSADAC
VCCDLVDS0
VCCDLVDS1
VCCDLVDS2
VCCDLVDS3
VCCTXLVDS0
VCCTXLVDS1
VCCTXLVDS2
VCCTXLVDS3
VCCGPIO0
VCCGPIO1

VCCQSM0
VCCQSM1
VCCASM0
VCCASM1
VTTHF0
VTTHF1
VTTHF2
VTTHF3
VTTHF4

VCCALVDS
VSSALVDS

0.1U

0.1U

0.1U

+ C284

0.1U

150U/6.3V
CC7343

VCCP
C424 C446 C444
0.1U

AG29
AF29
AC29
AF27
AJ25
AF24
AB22
AJ21
AF21
AB20
AF18
AB18
AJ17
AB16
AF15
AB14
AJ13
AA13
AF12
AB12
AA11
AB10
AJ9
AF9
Y9
AB8
AA8
AC1
Y7
AF6
AB6
AA6
AJ5
Y4
AF3
AB3
AG1

0.1U

C420
10U_6.3V

0.1U

2.5VSUS
C504 C554 C442 C473
0.1U

0.1U

0.1U

+ C429
150U/6.3V
CC7343

0.1U

2.5VSUS
C503 C486 C461 C506
0.1U

0.1U

0.1U

+ C178
150U/6.3V

0.1U
CC7343
2.5VSUS

C443 C509 C440 C524


0.1U

0.1U

0.1U

0.1U

2.5VSUS
C459 C481 C549 C427
0.1U

0.1U

0.1U

0.1U

L11

BK1608HS800-T

VCCQSM

2.5VSUS
C529
0.1U

R154

AJ8
AJ6

1
L28

V29
M29
H29
A24
A22

BK1608HS800-T

VCCASM

AF1
AD1
VTTHF0
VTTHF1
VTTHF2
VTTHF3
VTTHF4

134mA

C171
4.7U_10V

+1.2V
C489

165mA

+ C165
150U/6.3V
<Type>
CC7343

0.1U
C425

C492

VCCADPLLA
VCCADPLLB

C445 C426 C457 C447

C274

22U/CC1206
0.1U

VCCAHPLL
VCCAGPLL

VCCP

C273

C206

*47U_6.3V

VCCSM0
VCCSM1
VCCSM2
VCCSM3
VCCSM4
VCCSM5
VCCSM6
VCCSM7
VCCSM8
VCCSM9
VCCSM10
VCCSM11
VCCSM12
VCCSM13
VCCSM14
VCCSM15
VCCSM16
VCCSM17
VCCSM18
VCCSM19
VCCSM20
VCCSM21
VCCSM22
VCCSM23
VCCSM24
VCCSM25
VCCSM26
VCCSM27
VCCSM28
VCCSM29
VCCSM30
VCCSM31
VCCSM32
VCCSM33
VCCSM34
VCCSM35
VCCSM36

AB29
Y29
K29
F29
A26
V22
T22
P22
M22
H22
U21
R21
N21
L21
H20
A20
J19
H18
A18
H16
G15

C261

C563

VCCHL0
VCCHL1
VCCHL2
VCCHL3
VCCHL4
VCCHL5
VCCHL6
VCCHL7

VTTLF0
VTTLF1
VTTLF2
VTTLF3
VTTLF4
VTTLF5
VTTLF6
VTTLF7
VTTLF8
VTTLF9
VTTLF10
VTTLF11
VTTLF12
VTTLF13
VTTLF14
VTTLF15
VTTLF16
VTTLF17
VTTLF18
VTTLF19
VTTLF20

C259

A11
B11

VCC0
VCC1
VCC2
VCC3
VCC4
VCC5
VCC6
VCC7
VCC8
VCC9
VCC10
VCC11
VCC12
VCC13
VCC14
VCC15
VCC16
VCC17

POWER

+1.2V

C491
Montara-GM

0.1U
2.5VSUS
R171

.01U

0.1U 0.1U 0.1U 0.1U 0.1U

Route this VSSALVDS to other


side of the cap, then to GND.

17.95mA

C566

C221

C498

C494

C212

*47U_6.3V

22U/CC1206
0.1U

0.1U

0.1U

change +2.5v to 2.5vsus

PROJECT : KT2

Quanta Computer Inc.

MONTARA-GME/ 855GM+ 3 of 3 (POWER & CAP.)


1

Size
Document Number
Custom
MontaraGM_C
Date:
4

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Wednesday, April 07, 2004


7

Rev
1A
Sheet

of
8

38

ICH4-M 1/3 (CPU, PCI, IDE)

CT1

VCCP
2

PCI Bus pull high resistor


R136

CLOSE TO CPU

56
1

U25A

CLOSE TO ICH4
3
3

NMI
A20M#

3
3
3
27
27

IGNNE#
INTR
CPUINIT#
RCIN#
GATEA20

R137 2

FERR#

1 56

V21
AB23
AA21
W21
AB22
V22
U22
Y22

NMI
A20M#
FERR#
IGNNE#
INTR
INIT#
RCIN#
A20GATE

CPU

APICD0
APICD1
APICCLK
SMI#
STPCLK#
CPUSLP#
DPSLP#

R142 1
R140 1

H19
K20
J19

2 10K
2 10K

+3V

+3V

C50
*.1U_0402

R49
10K

U9
*TC7SH08FU

1
PCIRST#

4
PCI_RST#

R50

14,16,17,23 PCI_PME#
PCLK_ICH
2

+3V

R592

PCI_PME#

W2
P5
U5
AC2
FPBACK# E8
ICH_GPIO17
C5

PCI_RST#
1 10K
FPBACK#
T14

PDD0
PDD1
PDD2
PDD3
PDD4
PDD5
PDD6
PDD7
PDD8
PDD9
PDD10
PDD11
PDD12
PDD13
PDD14
PDD15

R74
16,17,18,19,23 CLKRUN#
*33

15

C72
*18P

SIORDY

R110

4.7K

PIORDY

R97

4.7K

+3V

28
28
28
28
28
28
28
28
28
28
28
28

PDD[0..15]
PDCS1#
PDCS3#
PDA0
PDA1
PDA2
PDIOR#
PDIOW#
PIORDY
IRQ14
PDDREQ
PDDACK#

H5
J3
H3
K1
G5
J4
H4
J5
K2
G2
L1
G4
L2
H2
L3
F5
F4
N1
E5
N2
E3
N3
E4
M5
E2
P1
E1
P2
D3
R1
D2
P4

AB11
AC11
Y10
AA10
AA7
AB8
Y8
AA8
AB9
Y9
AC9
W9
AB10
W10
W11
Y11

PDD[0..15]
Y13
AB14
AA13
AB13
W13
AC12
W12
AB12
AC13
AA11
Y12

PIORDY

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

C/BE0#
C/BE1#
C/BE2#
C/BE3#

PCI

FRAME#
IRDY#
TRDY#
DEVSEL#
STOP#
PAR
SERR#
PERR#
PLOCK#
REQ0#
REQ1#
REQ2#
REQ3#
REQ4#
GNT0#
GNT1#
GNT2#
GNT3#
GNT4#
PIRQA#
PIRQB#
PIRQC#
PIRQD#
PIRQE#/GPIO2
PIRQF#/GPIO3
PIRQG#/GPIO4
PIRQH#/GPIO5

PME#
PCICLK
PCIRST#
CLKRUN#/GPIO24
SERIRQ
GNTA#/GPIO16
REQA#/GPIO0
GNTB#/GNT5#/GPIO17 REQB#/REQ5#/GPIO1

PDD0
PDD1
PDD2
PDD3
PDD4
PDD5
PDD6
PDD7
PDD8
PDD9
PDD10
PDD11
PDD12
PDD13
PDD14
PDD15

IDE

PDCS1#
PDCS3#
PDA0
PDA1
PDA2
PDIOR#
PDIOW#
PIORDY
IRQ14
PDDREQ
PDDACK#

SDD0
SDD1
SDD2
SDD3
SDD4
SDD5
SDD6
SDD7
SDD8
SDD9
SDD10
SDD11
SDD12
SDD13
SDD14
SDD15
SDCS1#
SDCS3#
SDA0
SDA1
SDA2
SDIOR#
SDIOW#
SIORDY
IRQ15
SDDREQ
SDDACK#

6
7
8
9
10

+3V

W23
V23
U21
U23

SMI#
STPCLK#
CPUSLP#
DPSLP#

3
3
3
3,6

J2
K4
M4
N4

C/BE0#
C/BE1#
C/BE2#
C/BE3#

F1
L5
F2
M3
F3
G1
K5
L4
M2

FRAME#
IRDY#
TRDY#
DEVSEL#
STOP#

B1
A2
B3
C7
B6

REQ0#
REQ1#
REQ2#
REQ3#
REQ4#

FRAME#
STOP#
INTD#
REQ2#

+3V

14,16,17,19,23
14,16,17,19,23
14,16,17,19,23
14,16,17,19,23

6
7
8
9
10

+3V

5
4
3
2
1

TRDY#
REQ0#
INTG#
REQ1#

10P8R-8.2K
+3V

RP5
INTE#
REQ3#
INTF#
REQ4#

6
7
8
9
10

+3V

5
4
3
2
1

INTC#
ICH_GPIO5
REQA#
REQB#

10P8R-8.2K

GNT0#
GNT1#
GNT2#
GNT3#
GNT4#

D5
C2
B4
A3
C8
D7
C3
C4

INTA#
INTB#
INTC#
INTD#
INTE#
INTF#
INTG#
ICH_GPIO5

J22
B5
A6

REQA#
REQB#

W17
AB17
W16
AC16
W15
AB15
W14
AA14
Y14
AC15
AA15
Y15
AB16
Y16
AA17
Y17

SDD0
SDD1
SDD2
SDD3
SDD4
SDD5
SDD6
SDD7
SDD8
SDD9
SDD10
SDD11
SDD12
SDD13
SDD14
SDD15

REQ0#
REQ1#
REQ2#
REQ3#

16,17
14
23
19

GNT0#
GNT1#
GNT2#
GNT3#
T9

16,17
14
23
19

+3V

INTA#
INTB#
INTC#
INTD#
INTE#
INTF#
INTG#

23
16
14
14
16,17
19

SERIRQ

14,16,17,18,27

SUSA#

9,27

SUSB#

9,27

SUSC#

INTA#
INTB#

R79
R60

8.2K
8.2K

SUSA#

R112 2

1 *4.7K

SUSB#

R47

1 *4.7K

SUSC# R377 2

1 *4.7K

3V_S5

PME CIRCUIT
RP4
GNT3#
GNT2#
GNT1#
GNT4#

6
7
8
9
10

+3V

5
4
3
2
1

+3V

GNT0#

*10P8R-8.2K

SDD[0..15]
AB21
AC22
AA20
AC20
AC21
Y18
AA18
AC19
AA19
AB18
AB19

IRQ14
IRQ15
IRDY#
DEVSEL#

RP3

FRAME# 14,16,17,19,23
IRDY#
14,16,17,19,23
TRDY#
14,16,17,19,23
DEVSEL# 14,16,17,19,23
STOP#
14,16,17,19,23
PAR
14,16,17,19,23
SERR#
14,16,17,19,23
PERR#
14,16,17,19,23
PLOCK# 17

SERR#
PERR#
PLOCK#

C1
E6
A7
B7
D6

5
4
3
2
1
10P8R-8.2K

14,16,17,19,23 AD[0..31]
AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
PCIRST# 6,13,14,16,17,18,19,23,27,28
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

+3V

RP2
SERR#
PERR#
SERIRQ
PLOCK#

SIORDY

SDD[0..15] 28
SDCS1# 28
SDCS3# 28
SDA0
28
SDA1
28
SDA2
28
SDIOR# 28
SDIOW# 28
SIORDY 28
IRQ15
28
SDDREQ 28
SDDACK# 28

PROJECT : KT2

ICH4

Quanta Computer Inc.


Size
Document Number
Custom
ICH4-M
Date:
1

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Rev
1A

(CPU,PCI,IDE)

Wednesday, April 07, 2004

Sheet

of
8

38

ICH4-M

LCDID[0..2]

LCDID[0..2]
LCDID0
LCDID2
LCDID1

1
3
5
7

Y6

+3V

CLK_PWRDWN#

*22P

USBP2+
USBP2-

USBOC2#

DNBSWON#

14M_ICH

Internal
pull-high
20K

R139
*22

14,20 -CODEC_RST
14,20 SYNC1
20
SDINA
14
SDINB
T15
20
BITCLK

*22

HL[0..10]

2
5
5

CLK66_ICH
HLSTB
HLSTB#
LAD0/FWH0
LAD1/FWH1
LAD2/FWH2
LAD3/FWH3
R366

33

2
2

PCLK_SMB
PDAT_SMB

3V_S5
5

17
RI#
3
ICH_THRM#
6,27,33 PWROK
27
BATLOW#
27
DNBSWON#

R65
100K

VCC
3

-RSMRST

A
B

RSMRST#

R57

*0

ORGND
U12
NC7SZ58

27

R138

+1.5V

FROM EC

R56

33
6

100K

GND
C64
.1U/16V/0402

RSMRST_#

VCCRTC

VCCRTC

CRT_SENSE#

14M_ICH

20
+3V
27

27

R53

SPK

*10K

KBSMI#
3V_S5

3VPCU

C77
1U/16V

D2

3
33

D3
R80

200K

G2
*SHORT_ PAD1

+3V

C83
.047/0402
R_3VRTC
VBIAS
R96
3K

3VRTC

T2
R4
T4
U2

AC_SYNC_1

C13
C9
D13
A13
B13
B8

PCLK_SMB
PDAT_SMB

AC4
AB4

RI#
ICH_THRM#
PWROK
BATLOW#
DNBSWON#
RSMRST#
56

Y1
V1
AB6
AB2
AA1
AA6
W20
V20
T3
R2
J23
AC3
AB1
H23
R3
V4
Y23
Y20
J21
V19

USB

HI0
HI1
HI2
HI3
HI4
HI5

HUB LINK

HI_CLK
HL_STB/HL_STBS
HL_STB#/HLSTBF

T20
R20
P23
L22
N22
K21

HI6
HI7
HI8
HI9
HI10
HI11

M23
R22
R23

HI_REF
HI_VSWING
HUB_RCOMP

U3
U4
T5

LPC&FWH

LDRQ0#
LDRQ1#
LFRAME#/FWH4

AC97&RTC

SMBCLK
SMBDATA

SM

RI#
THRM#
PWROK
BATLOW#
PWRBTN#
RSMRST#
THRMTRIP#
DPRSLPVR
C3_STAT#/GPIO21
AGPBUSY#/GPIO6
CLK14
SMLINK0
SMLINK1
SPKR
GPIO7
GPIO8

10K
10K
10K

C544

R318

100K

C12
A8
D11
D10

SM_EN#

R365
10M
CLK_32KX1
5VPCU

R64
R381
R45
R70
R66
R382
R376

4.7K
4.7K
10K
10K
10K
10K
10K

SMLINK0
SMLINK1
SMBALERT#
PCLK_SMB
PDAT_SMB
RI#
BATLOW#

.1U

USBP1+
USBP1-

USBOC1#

162/F

32
32

C545
.1U

USBOC3#
USBOC5#
USBRBIAS
HL6
HL7
HL8
HL9
HL10
HL11

0.35V +/- 8%

R320
127/F

T17
T19
3V_S5

12 mils R319

HL[0..10]
R146

HUB_REF
HUB_VSWING
ICH_RCOMP R323

22.6/F

HL[0..10]

56

48.7/F

VBIAS
RTCX1
RTCX2
VCCRTC
RTCRST#
AC_SDOUT

INTRUDER#
SMBALERT#/GPIO11
SLP_S3#
SLP_S4#
SLP_S5#
SUSCLK

PM

CPUPWRGD
CPUPERF#/GPIO22
SSMUXSEL/GPIO23
VGATE/VRMPWRGD

VBIAS
CLK_32KX1
CLK_32KX2
VCCRTC
RTCRST#
AC_SDO R89

W6
AA5

R73
SMBALERT#

Y4
Y2
AA2
AA4

SUSB#
SUSC#
SLP_S5#

V5
W3

GPIO12
GPIO13

MISC&GPIO

Y6
AC7
AC6
AB5
W7
D9

Y3
W18
Y21
W19
AB3

SYS_RESET#
SLP_S1#/GPIO19
STP_PCI#/GPIO18
STP_CPU#/GPIO20
SUS_STAT#/LPCPD#

V2
W1
W4

GPIO25
GPIO27
GPIO28

LPC_DRQ0#
LPC_DRQ1#
LFRAME#/FWH4

RP6

C552

+1.5V

USBOC2#
USBOC4#
USBOC5#
USBOC3#

1
3
5
7

USBOC0#
USBOC1#

8P4R-10K
R117
10K
R120
10K

2
4
6
8

C553
+3V

LPC_DRQ0# 18,27
.01U/16V/0402
T10
LFRAME#/FWH4 18,27
FIR_PRESENT#
FDD_PRESENT#
C85
33

R145
R134

SDOUT1

8,27
8,27
6

SWI#_1
SCI#_1
WP

*470
*470

14,20

DBR#
3
SUSA#
8
STP_PCI# 2
STP_CPU# 2,33
SUS_STAT# 18

R378

10K
10K

VCCRTC
T13
SUSB#
SUSC#
T123
SUSCLK

SUSA#

R144
R130

.1U/16V/0402

100K

*10K

+3V

R374

10K

R380

10K

D20
2
1

D21

SW1010C

3V_S5

SW1010C
1

SWI#
SCI#

27
27

T122
T12

+3V

SpeedStep

R71

100K

PWROK

EE_SHCLK
EE_DOUT
EE_DIN
EE_CS

LAN

A10
A9
A11
B10
C10
A12
Y5
C11
B11

LAN_RXD0
LAN_RXD1
LAN_RXD2
LAN_TXD0
LAN_TXD1
LAN_TXD2
LAN_RST#
LAN_CLK
LAN_RSTSYNC

R379
*10K

R61
*10K

R54
*10K

LPC_DRQ0#
LPC_DRQ1#
R55

10K
LFRAME#/FWH4
+3V

LAN_CLK-1 R363

*100K

ICH4

Q8
3904

ICH4-M 2/3 (USB, HUB LINK, LPC&FWH,


AC97, SM, PM, MISC&GPIO, LAN)

+3V

R101
4.7K

BITCLK
ICH_THRM#

R383

8.2K

SPK

R316

*8.2K

SDOUT1

R93

*8.2K

SDINB
RTC_N02

PROJECT : KT2

SDINA

RTC

R95
15K

R369
*10K

R359
*10K

Quanta Computer Inc.

R102
*10K
VCCP

Size
Document Number
Custom
ICH4-M USB,HUB

3,4,5,7,8,10,35,38

Date:
1

0.8V +/- 8%
R313

.01U/16V/0402

LAD0/FWH0
LAD1/FWH1
LAD2/FWH2
LAD3/FWH3
AC_RST#
AC_SYNC
AC_SDIN0
AC_SDIN1
AC_SDIN2
AC_BITCLK

R317
R147
R312

HUB_REF
A21
B21
C14
A19
B19
B14
A17
B17
D14
A23
B23

USBP1P
USBP1N
OC1#
USBP3P
USBP3N
OC3#
USBP5P
USBP5N
OC5#
USBRBIAS
USBRBIAS#

USBP0P
USBP0N
OC0#
USBP2P
USBP2N
OC2#
USBP4P
USBP4N
OC4#
CLK48

HUB_VSWING

LCDID2
GPIO40
GPIO41
GPIO42
SM_EN#

3 RTC_N01

BT2
RTC-BAT

F23
H22
G23
H21
F22
E23

GPIO38
GPIO39
GPIO40
GPIO41
GPIO42
GPIO43

100K

3V_S5

2
R81
1K

LAD0/FWH0
LAD1/FWH1
LAD2/FWH2
LAD3/FWH3

CPUPERF#

R118

C84
.1U/16V/0402

T21
P21
N20

GPIO32
GPIO33
GPIO34
GPIO35
GPIO36
GPIO37

+3V

RTCRST#
Delay 18~25 ms

1
CH501H-40

CLK66_ICH
HLSTB
HLSTB#

R384
10K
14M_ICH
SMLINK0
SMLINK1
SPK
CRT_SENSE#
KBSMI#
R48
10K

CPUPWRGD
T21
T22
IMVPOK

CH501H-40

L19
L20
M19
M21
P19
R19

DPRSLPVR
T11
AGP_BUSY#
+3V

15 CRT_SENSE#

C20
D20
B15
C18
D18
A15
C16
D16
A14
F19

HL0
HL1
HL2
HL3
HL4
HL5

SDINA
SDINB
AC_SDIN2
BITCLK

C62
.1U/16V/0402

3V_S5

R143

18,27
18,27
18,27
18,27

CLK66_ICH-1
C158
*22P

CLK48_USB

CLK48_USB1

CLK66_ICH

C162
*22P

32
32

USBOC0#

USBOC4#
2
C163

14M_ICH-1

USBP0+
USBP0-

T16
T18

U8
*7SH08

R141
*22

32
32

J20
G22
F20
G20
F21
H20

LCDID0
LCDID1
FIR_PRESENT#
FDD_PRESENT#
T155

18 FIR_PRESENT#
18 FDD_PRESENT#

USB0~1: MB USB
USB2: DAUGHTER/B USB
USB4: CABLE DOCK
USB5: BLUE TIITH/
LCD

R46

8P4R-10K
C603
15P

2
C49
*.1U/16V/0402

255/F

U25B

1
32.768KHZ

15P

R321

2
4
6
8

2
1

C608

CLK_32KX2

+3V

CT1

ICH4-M

+3V

15

CLK_32KX1

RN60

SUSB#

+1.5V

R367
10M

SUSA#

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Wednesday, April 07, 2004


7

Rev
1A

& LPC INTERFACE


Sheet

of
8

38

D7
1

5VSUS

3V_S5

CT1

RB751V
D6
A

V5REF_SUS

+1.5V

1
RB751V

C128

C596

0.1U

1U_16V
CC0805

R86
2

D4

0.01U

VCCP
C135

1U_10V 0.1U

0.01U

AA23
P14
U18

+3V

E9
F9
F6
F7

+1.5V

C137

22U/CC1206
0.1U

C109
0.1U

C159
0.1U

K10
K12
K18
K22
P10
T18
U19
V14

C145
0.1U

3V_S5

C594

VCCPLL

V5REF_SUS

C107

C100

C123

C131

22U/CC1206
0.1U

0.1U

0.1U

0.1U

C86

C82

C130

C101

0.1U

0.1U

0.1U

0.1U

E11
F10
F15
F16
F17
F18
K14
V7
V8
V9

V5REF1
V5REF2

V_CPU_IO_0
V_CPU_IO_1
V_CPU_IO_2
VCCLAN3_3_1
VCCLAN3_3_0
VCCLAN1_5_0
VCCLAN1_5_1

VCCHI_0
VCCHI_1
VCCHI_2
VCCHI_3

VCC

VCC1_5_0
VCC1_5_1
VCC1_5_2
VCC1_5_3
VCC1_5_4
VCC1_5_5
VCC1_5_6
VCC1_5_7

VCCSUS1_5_0
VCCSUS1_5_1
VCCSUS1_5_2
VCCSUS1_5_3
VCCSUS1_5_4
VCCSUS1_5_5
VCCSUS1_5_6
VCCSUS1_5_7
VCC3_3_0
VCC3_3_1
VCC3_3_2
VCC3_3_3
VCC3_3_4
VCC3_3_5
VCC3_3_6
VCC3_3_7
VCC3_3_8
VCC3_3_9
VCC3_3_10
VCC3_3_11
VCC3_3_12
VCC3_3_13
VCC3_3_14
VCC3_3_15

VCCSUS3_3_0
VCCSUS3_3_1
VCCSUS3_3_2
VCCSUS3_3_3
VCCSUS3_3_4
VCCSUS3_3_5
VCCSUS3_3_6
VCCSUS3_3_7
VCCSUS3_3_8
VCCSUS3_3_9

E7
V6

+3V

RB751V

C71

C605

0.1U

1U_16V
CC0805

E15

C157

+1.5V

C546

U25C

C22
C129

N21
N23
N5
P11
P13
P20
P22
P3
R18
R21
R5
T1
T19
T23
U20
V15
V17
V3
W22
W5
W8
Y19
Y7
A1
A16
A18
A20
A22
A4
AA12
AA16
AA22
AA3
AA9
AB20
AB7
AC1
AC10
AC14
AC18
AC23
AC5
B12
B16
B18
B20
B22
B9
C15
C17
C19

+5V

1K

C160 C73
0.1U

VCC5REF

+1.5V

L23
M14
P18
T22
E12
E13
E20
F14
G18
R6
T6
U6

C125

C90

C120

0.1U

0.1U

1U_10V
1.5V_S5

C88

C87

C113

0.1U

0.1U

0.1U

C550
10U/10V
+3V

A5
AC17
AC8
B2
H18
H6
J1
J18
K6
M10
P12
P6
U1
V10
V16
V18

U25D

C91

C81

C108

C138

C600

0.1U

0.1U

0.1U

0.1U

22U/CC1206

+3V

C52

C80

C115

C59

0.1U

0.1U

0.1U

0.1U

C105
0.1U

ICH4

VSS000
VSS001
VSS002
VSS003
VSS004
VSS005
VSS006
VSS007
VSS008
VSS009
VSS010
VSS011
VSS012
VSS013
VSS014
VSS015
VSS016
VSS017
VSS018
VSS019
VSS020
VSS021
VSS022
VSS023
VSS024
VSS025
VSS026
VSS027
VSS028
VSS029
VSS030
VSS031
VSS032
VSS033
VSS034
VSS035
VSS036
VSS037
VSS038
VSS039
VSS040
VSS041
VSS042
VSS043
VSS044
VSS045
VSS046
VSS047
VSS048
VSS049
VSS050

GND

VSS051
VSS052
VSS053
VSS054
VSS055
VSS056
VSS057
VSS058
VSS059
VSS060
VSS061
VSS062
VSS063
VSS064
VSS065
VSS066
VSS067
VSS068
VSS069
VSS070
VSS071
VSS072
VSS073
VSS074
VSS075
VSS076
VSS077
VSS078
VSS079
VSS080
VSS081
VSS082
VSS083
VSS084
VSS085
VSS086
VSS087
VSS088
VSS089
VSS090
VSS091
VSS092
VSS093
VSS094
VSS095
VSS096
VSS097
VSS098
VSS099
VSS100
VSS101

C21
C23
C6
D1
D12
D15
D17
D19
D21
D23
D4
D8
D22
E10
E14
E16
E17
E18
E19
E21
E22
F8
G19
G21
G3
G6
H1
J6
K11
K13
K19
K23
K3
L10
L11
L12
L13
L14
L21
M1
M11
M12
M13
M20
M22
N10
N11
N12
N13
N14
N19

ICH4

PROJECT : KT2

Quanta Computer Inc.

ICH4-M 3/3 (PWR, GND)


1

Size
Document Number
Custom
ICH4-M (POWER&GND)
Date:
3

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Wednesday, April 07, 2004


7

Sheet

Rev
1A
10

of
8

38

SM_B1
R_MA[0..12]

R_MA[0..12]

R_BA[0..1]

R_BA[0..1]

6,12
6,12

SM_B2
SM_B4
SM_B5

SM_B1

6,12

SM_B2

6,12

SM_B4

6,12

SM_B5

6,12

R_SM_DQS[0..7]
SM_DQS[0..7]

R_SM_DQS[0..7]

CKE[0..3]

CKE[0..3]

SM_CS3#

SM_DQS[0..7] 12

MD[0..63]
M_DM[0..7]
DM[0..7]

R_MD[0..63]
MD[0..63]

SM_CS0#

M_DM[0..7] 6
DM[0..7]

MA8

SM_CS1# 6,12
12

MA11

SM_CS0# 6,12
12
12

MA0
MA6

MA0
MA6
R_MA7
R_MA3

12
12
12
6,12

USE 8P4R-0402 package

R212
R208

MA8
R_MA9
R_MA12
MA11

SM_CS2# 6,12

SM_CS1#

12

6,12

SM_CS3# 6,12
12

SM_CS2#
R_MD[0..63]

R_BA0
R_BA1

RN29
SRASA# 1
SCASA# 3
R_BMWEA# 5
R_MA10
7

SRASA#
SCASA#
R_BMWEA#

SMDDR_VTERM

RN55
MD61
MD62
SM_DQS7
MD59

1
3
5
7

8P4R-S-56
2
4
6
8

RN54
1
3
5
7

8P4R-S-56
MD63
2
MD58
4
DM7
6
MD57
8

RN46
1
3
5
7

8P4R-S-56
MD49
2
MD48
4
MD43
6
MD46
8

RN50
MD56
MD51
MD55
SM_DQS6

1
3
5
7

8P4R-S-56
2
4
6
8

RN51
1
3
5
7

8P4R-S-56
MD60
2
MD54
4
MD50
6
DM6
8

RN31
1
3
5
7

8P4R-S-56
R_MA2
2
R_MA4
4
6
CKE0
8

1
3
5
7

8P4R-S-56
2
4
6
8

RN41
1
3
5
7

8P4R-S-56
DM5
2
MD40
4
MD39
6
MD45
8

RN42
SM_DQS5
1
MD44
3
MD41
5
MD35
7

8P4R-S-56
2
4
6
8

RN39
1
3
5
7

8P4R-S-56
MD34
2
DM4
4
MD33
6
MD37
8

RN38
MD38
SM_DQS4
MD32
MD36

8P4R-S-56
2
4
6
8

RN25
1
3
5
7

RN45
MD53
MD52
MD47
MD42

R_MA10
R_BMWEA#
R_BA1
R_BA0

1
3
5
7

8P4R-S-10
2 R_SRASA#
4 R_SCASA#
BMWEA#
6
MA10
8

RN34
1
3
5
7

RN28
R_MA12
1
R_MA9
3
R_MA3
5
R_MA7
7

8P4R-S-56
2
4
6
8

RN35
1
3
5
7
R213

SM_CS2#

R209

56-0402 RN33
1
3

1
3
5
7

RN17
MD28
1
MD23
3
MD22
5
SM_DQS2
7

8P4R-S-56
2
4
6
8
8P4R-S-56
2
4
6
8

R_MD53
R_MD52
R_MD48
R_MD49

MA7

12

MA3

12

R_SRASA# 6,12
R_SCASA# 6,12
BMWEA# 12
MA10
12

RN49
7
5
3
1

MD54
MD51
MD55
MD50

8
6
4
2

8P4R-S-10
SM_DQS6
4
DM6
2
4P2R-S-10
8
6
4
2

MD53
MD52
MD48
MD49
MD46
MD42
MD47
MD43

R_MD46
R_MD42
R_MD47
R_MD43

RN48
7
5
3
1

8P4R-S-10
8
6
4
2

R_SM_DQS5
M_DM5

RN47
3
1

8P4R-S-10
SM_DQS5
4
DM5
2

R_MD45
R_MD44
R_MD41
R_MD40

RN44
7
5
3
1

4P2R-S-10
8
6
4
2

RN43

8P4R-S-10

MD45
MD44
MD41
MD40

8P4R-S-56
MD30
2
MD26
4
DM3
6
MD29
8

RN19
1
3
5
7

8P4R-S-56
MD24
2
MD19
4
MD18
6
DM2
8

RN8
1
3
5
7

8P4R-S-56
DM1
2
MD8
4
MD12
6
MD6
8

1
3
5
7

8P4R-S-56
2
4
6
8

RN4
1
3
5
7

8P4R-S-56
MD3
2
DM0
4
MD0
6
MD4
8

MD7
SM_DQS0
MD1
MD5

1
3
5
7

8P4R-S-56
2 R207
4 R210
6
8

RN30
CKE3
SM_B5
SM_B1
Z0801

1
3
5
7

8P4R-S-56
2
4
6
8

7
5
3
1

R_SM_DQS3
M_DM3

RN24
3
1

8P4R-S-10
SM_DQS3
4
DM3
2

R_MD24
R_MD25
R_MD28
R_MD29

RN23
7
5
3
1

4P2R-S-10
8
6
4
2

R_MD18
R_MD23
R_MD22
R_MD19

RN20
7
5
3
1

8P4R-S-10
MD18
8
MD23
6
MD22
4
MD19
2

R_SM_DQS2
M_DM2

RN18
3
1

8P4R-S-10
SM_DQS2
4
DM2
2

R_MD20
R_MD16
R_MD17
R_MD21

RN16
7
5
3
1

4P2R-S-10
8
6
4
2

MD20
MD16
MD17
MD21

R_MD10
R_MD14
R_MD15
R_MD11

RN14
7
5
3
1

8P4R-S-10
8
6
4
2

MD10
MD14
MD15
MD11

R_SM_DQS1
M_DM1

RN12
3
1

8P4R-S-10
SM_DQS1
4
DM1
2

R_MD9
R_MD13
R_MD12
R_MD8

RN10
7
5
3
1

4P2R-S-10
8
6
4
2

RN9

8P4R-S-10

7
5
3
1

8
6
4
2

R_MD3
R_MD2
R_MD7
R_MD6

RN6
R_SM_DQS0
3
M_DM0
1
RN5
7
5
3
1

R_MD4
R_MD5
R_MD1
R_MD0

RN2

SM_CS3#
4P2R-S-56
R_MA1
2
R_MA5
4

RN22
1
3
5
7

RN15
1
3
5
7

12

56-0402

8P4R-S-56
2
4
6
8

RN3

12

MA12

8P4R-S-56
SM_B4
2
SM_B2
4
R_SRASA#
6
R_SCASA#
8

1
3
5
7

RN7
SM_DQS1
MD9
MD13
MD2

MA9

R_MD26
R_MD31
R_MD27
R_MD30

Place Rterms close to second DIMM

SMDDR_VTERM

SMDDR_VTERM

C253 C210 C297 C219 C230 C251 C246 C286 C357 C294

C300 C304 C307 C328 C342 C336 C231 C359 C352 C344

C334 C330 C320 C310 C301 C290 C285 C270 C262 C256

.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402

.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402

.01U_0402
.01U_0402
.01U_0402
.01U_0402
.01U_0402
.01U_0402
.01U_0402
.01U_0402
.01U_0402
.01U_0402

SMDDR_VTERM
RN11
MD17
MD16
MD14
MD10

7
5
3
1

RN52
R_SM_DQS6
3
M_DM6
1

SMDDR_VTERM
RN21
MD27
MD31
SM_DQS3
MD25

12
12

8P4R-S-10
R_MD54
R_MD51
R_MD55
R_MD50

8P4R-S-56
2
R_MA11
4
CKE1
6
8
T64
8P4R-S-56
RN27
8P4R-S-56
CKE2
2
1
2
R_MA8
4
3
4
R_MA6
6
5
6
R_MA0
8
7
8

BA0
BA1

8P4R-S-10
2 R_MA0
4 R_MA6
MA7
6
MA3
8

RN32
SMDDR_VTERM 35,38

BA0
BA1

2 R_MA8
MA9
4
MA12
6
8 R_MA11

1
3
5
7
RN26
1
3
5
7

10-0402
10-0402

SMDDR_VTERM

50 PCS

C247 C226 C216 C351 C211 C220 C239 C245 C248 C305

C279 C288 C289 C291 C309 C327 C331 C343 C345 C278

.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402

.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402
.1U_0402

MD26
MD31
MD27
MD30

CT1

MD24
MD25
MD28
MD29
4

MD9
MD13
MD12
MD8
3

MD3
MD2
MD7
MD6

8P4R-S-10
SM_DQS0
4
DM0
2
4P2R-S-10
8
6
4
2

MD4
MD5
MD1
MD0

8P4R-S-10

R_MD34
R_MD39
R_MD35
R_MD38

7
5
3
1

R_SM_DQS4
M_DM4

RN40
3
1

8P4R-S-10
SM_DQS4
4
DM4
2

R_MD37
R_MD32
R_MD36
R_MD33

RN37
7
5
3
1

4P2R-S-10
8
6
4
2

RN36

8P4R-S-10

R_MD62
R_MD59
R_MD58
R_MD63

7
5
3
1

8
6
4
2

R_SM_DQS7
M_DM7

RN57
3
1

8P4R-S-10
SM_DQS7
4
DM7
2

R_MD61
R_MD56
R_MD60
R_MD57

RN56
7
5
3
1

4P2R-S-10
8
6
4
2

+
C531
150U/6.3V

8
6
4
2

56-0402SM_CS0#
56-0402SM_CS1#

RN53

8
6
4
2

MD34
MD39
MD35
MD38

MD37
MD32
MD36
MD33

MD62
MD59
MD58
MD63

MD61
MD56
MD60
MD57

8P4R-S-10

8P4R-S-56
MD21
2
MD20
4
MD15
6
MD11
8

T65
1

PROJECT : KT2

Quanta Computer Inc.


Size
Document Number
Custom
DDR
Date:
A

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Rev
1A

I/F

Wednesday, April 07, 2004

Sheet
E

11

of

38

2.5VSUS

2.5VSUS

SMDDR_VREF

SMDDR_VREF

6,7,30,35,37,38 2.5VSUS
6,38 SMDDR_VREF

SMDDR_VREF

SODIMM1

MD9
SM_DQS1
MD10
MD14
6 CLK_SDRAM0
6 CLK_SDRAM0#
MD16
MD17
SM_DQS2
MD22
MD23
MD28
MD25
SM_DQS3
MD31
MD27
B

SM_DQS8

T63
T62
6,11

CKE1

11
11

MA12
MA9

11

MA7

11

MA3

11
11
11
6,11

CKE1
MA12
MA9
MA7
R_MA5
MA3
R_MA1
MA10

MA10
BA0
BMWEA#
SM_CS0#

BA0
BMWEA#
SM_CS0#

MD36
MD32
SM_DQS4
MD38
MD35
MD44
MD41
SM_DQS5
MD42
MD47

MD53
MD52
SM_DQS6
MD55
MD51
MD56
MD61
SM_DQS7

MD59
MD62
CGDAT_SMB
CGCLK_SMB
+3V

R163

*10K

Z0901

41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
71
73
75
77
79
81
83
85
87
89
91
93
95
97
99
101
103
105
107
109
111
113
115
117
119
121
123
125
127
129
131
133
135
137
139
141
143
145
147
149
151
153
155
157
159
161
163
165
167
169
171
173
175
177
179
181
183
185
187
189
191
193
195
197
199

DQ16
DQ17
VDD
DQS2
DQ18
VSS
DQ19
DQ24
VDD
DQ25
DQS3
VSS
DQ26
DQ27
VDD
CB0
CB1
VSS
DQS8
CB2
VDD
CB3
DU
VSS
CK2
CK2
VDD
CKE1
DU/A13
A12
A9
VSS
A7
A5
A3
A1
VDD
A10/AP
BA0
WE
S0
DU
VSS
DQ32
DQ33
VDD
DQS4
DQ34
VSS
DQ35
DQ40
VDD
DQ41
DQS5
VSS
DQ42
DQ43
VDD
VDD
VSS
VSS
DQ48
DQ49
VDD
DQS6
DQ50
VSS
DQ51
DQ56
VDD
DQ57
DQS7
VSS
DQ58
DQ59
VDD
SDA
SCL
VDD(SPD)
VDD(ID)

DQ20
DQ21
VDD
DM2
DQ22
VSS
DQ23
DQ28
VDD
DQ29
DM3
VSS
DQ30
DQ31
VDD
CB4
CB5
VSS
DM8
CB6
VDD
CB7
DU/RESET
VSS
VSS
VDD
VDD
CKE0
DU/BA2
A11
A8
VSS
A6
A4
A2
A0
VDD
BA1
RAS
CAS
S1
DU
VSS
DQ36
DQ37
VDD
DM4
DQ38
VSS
DQ39
DQ44
VDD
DQ45
DM5
VSS
DQ46
DQ47
VDD
CK1
CK1
VSS
DQ52
DQ53
VDD
DM6
DQ54
VSS
DQ55
DQ60
VDD
DQ61
DM7
VSS
DQ62
DQ63
VDD
SA0
SA1
SA2
DU

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
72
74
76
78
80
82
84
86
88
90
92
94
96
98
100
102
104
106
108
110
112
114
116
118
120
122
124
126
128
130
132
134
136
138
140
142
144
146
148
150
152
154
156
158
160
162
164
166
168
170
172
174
176
178
180
182
184
186
188
190
192
194
196
198
200

MD4
MD0

MD5
MD1

DM0
MD3

SM_DQS0
MD7

MD6
MD12

MD2
MD13

MD8
DM1

MD9
SM_DQS1

MD15
MD11

MD10
MD14
6 CLK_SDRAM3
6 CLK_SDRAM3#

MD20
MD21

MD16
MD17

DM2
MD18

SM_DQS2
MD22

MD19
MD24

MD23
MD28

MD29
DM3

MD25
SM_DQS3

MD26
MD30

MD31
MD27

SM_DQS8

T74
T73
CKE0
MA11
MA8
MA6
R_MA4
R_MA2
MA0
BA1
SRASA#
SCASA#
SM_CS1#

CKE0

6,11

MA11
MA8

11
11

MA6

11

MA0

11

BA1
SRASA#
SCASA#
SM_CS1#

11
11
11
6,11

6,11

CKE3

CKE3

R_MA12
R_MA9

6,11

SM_B5

6,11

SM_B1

6,11
6,11

R_BMWEA#
SM_CS2#

R_MA7
SM_B5
R_MA3
SM_B1
R_MA10
R_BA0
R_BMWEA#
SM_CS2#

MD37
MD33

MD36
MD32

DM4
MD34

SM_DQS4
MD38

MD39
MD45

MD35
MD44

MD40
DM5

MD41
SM_DQS5

MD46
MD43

MD42
MD47
CLK_SDRAM1# 6
CLK_SDRAM1 6

MD48
MD49

MD53
MD52

DM6
MD50

SM_DQS6
MD55

MD54
MD60

MD51
MD56

MD57
DM7

MD61
SM_DQS7

MD58
MD63

MD59
MD62
2
2

amp-ddr-sodimm-200p

CGDAT_SMB
CGCLK_SMB

CGDAT_SMB
CGCLK_SMB
+3V

Z0902

R164

*10K

AMP-DDR_SODIMM

SMbus address A0
1

41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
71
73
75
77
79
81
83
85
87
89
91
93
95
97
99
101
103
105
107
109
111
113
115
117
119
121
123
125
127
129
131
133
135
137
139
141
143
145
147
149
151
153
155
157
159
161
163
165
167
169
171
173
175
177
179
181
183
185
187
189
191
193
195
197
199

VREF
VSS
DQ0
DQ1
VDD
DQS0
DQ2
VSS
DQ3
DQ8
VDD
DQ9
DQS1
VSS
DQ10
DQ11
VDD
CK0
CK0
VSS

VREF
VSS
DQ4
DQ5
VDD
DM0
DQ6
VSS
DQ7
DQ12
VDD
DQ13
DM1
VSS
DQ14
DQ15
VDD
VDD
VSS
VSS

DQ16
DQ17
VDD
DQS2
DQ18
VSS
DQ19
DQ24
VDD
DQ25
DQS3
VSS
DQ26
DQ27
VDD
CB0
CB1
VSS
DQS8
CB2
VDD
CB3
DU
VSS
CK2
CK2
VDD
CKE1
DU/A13
A12
A9
VSS
A7
A5
A3
A1
VDD
A10/AP
BA0
WE
S0
DU
VSS
DQ32
DQ33
VDD
DQS4
DQ34
VSS
DQ35
DQ40
VDD
DQ41
DQS5
VSS
DQ42
DQ43
VDD
VDD
VSS
VSS
DQ48
DQ49
VDD
DQS6
DQ50
VSS
DQ51
DQ56
VDD
DQ57
DQS7
VSS
DQ58
DQ59
VDD
SDA
SCL
VDD(SPD)
VDD(ID)

DQ20
DQ21
VDD
DM2
DQ22
VSS
DQ23
DQ28
VDD
DQ29
DM3
VSS
DQ30
DQ31
VDD
CB4
CB5
VSS
DM8
CB6
VDD
CB7
DU/RESET
VSS
VSS
VDD
VDD
CKE0
DU/BA2
A11
A8
VSS
A6
A4
A2
A0
VDD
BA1
RAS
CAS
S1
DU
VSS
DQ36
DQ37
VDD
DM4
DQ38
VSS
DQ39
DQ44
VDD
DQ45
DM5
VSS
DQ46
DQ47
VDD
CK1
CK1
VSS
DQ52
DQ53
VDD
DM6
DQ54
VSS
DQ55
DQ60
VDD
DQ61
DM7
VSS
DQ62
DQ63
VDD
SA0
SA1
SA2
DU

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
72
74
76
78
80
82
84
86
88
90
92
94
96
98
100
102
104
106
108
110
112
114
116
118
120
122
124
126
128
130
132
134
136
138
140
142
144
146
148
150
152
154
156
158
160
162
164
166
168
170
172
174
176
178
180
182
184
186
188
190
192
194
196
198
200

AMP-DDR_SODIMM(REVERSE)

SMbus address A1

CLOCK 0,1
CKE 0,1
2

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39

PC2100 DDR SDRAM SO-DIMM


(200P)

MD2
MD13

VREF
VSS
DQ4
DQ5
VDD
DM0
DQ6
VSS
DQ7
DQ12
VDD
DQ13
DM1
VSS
DQ14
DQ15
VDD
VDD
VSS
VSS

PC2100 DDR SDRAM SO-DIMM


(200P)

SM_DQS0
MD7

CT1

SMDDR_VREF

CN23

VREF
VSS
DQ0
DQ1
VDD
DQS0
DQ2
VSS
DQ3
DQ8
VDD
DQ9
DQS1
VSS
DQ10
DQ11
VDD
CK0
CK0
VSS

0.1U cap per power pin. Place each capclose to pin.


33pin per socket (11*6).

2.5VSUS

SODIMM0
MD5
MD1

2.5VSUS

CN24
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39

2.5VSUS
2.5VSUS
SMDDR_VREF

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

SMDDR_VREF

C209
C208
C225
C224
C238
C237
C257
C258
C266
C267
.1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402

6,38

MD4
MD0

2.5VSUS

DM0
MD3

MD6
MD12

C277
C276
C303
C318
C319
C325
C326
C335
.1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402

MD8
DM1

2.5VSUS

MD15
MD11

C215
C263
C222
C232
C233
C355
C356
C255
.1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402

MD20
MD21

2.5VSUS

DM2
MD18
MD19
MD24

C316
C324
C348
C353
C347
C340
C315
C269
C323
.1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402

MD29
DM3
MD26
MD30

2.5VSUS
B

C333
C217
C228
C260
C354
C341
C350
C358
C223
.1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402
2.5VSUS

CKE2

CKE2

6,11
C282
C287
C292
C299
C302
C312
C321
C332
C338
C346
C349
.1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402 .1U_0402

R_MA11
R_MA8
R_MA6
SM_B4
SM_B2
R_MA0

SM_B4
SM_B2

R_BA1
R_SRASA#
R_SCASA#
SM_CS3#

6,11
6,11

R_SRASA# 6,11
R_SCASA# 6,11
SM_CS3# 6,11

+
C418
100U/10V
C

MD37
MD33
SMDDR_VREF

DM4
MD34
MD39
MD45
C191
.1U_0402

MD40
DM5

C362
.1U_0402

C360
.1U_0402

C361
.1U_0402

MD46
MD43

DM[0..7]
MD[0..63]

CLK_SDRAM4# 6
CLK_SDRAM4 6
MD48
MD49

SM_DQS[0..7]

DM[0..7]

11

MD[0..63]

11

SM_DQS[0..7]

11

DM6
MD50
MA[0..12]
MD54
MD60

MA[0..12]

R_MA[0..12]

R_MA[0..12]

11
6,11

MD57
DM7

R_BA[0..1]
MD58
MD63

R_BA[0..1]

6,11

<OrgName>
+3V

amp-ddr-sodimm-r-200p

PROJECT : KT2

<OrgAddr1>
<OrgAddr2>
<OrgAddr3>
<OrgAddr4>
Size

CLOCK 3,4
CKE 2,3
5

2.5VSUS

Quanta Computer Inc.

Document Number

Rev
1A

DDR RAM
Date:
6

Wednesday, April 07, 2004


7

Sheet

of

12
8

38

OA8

R99
*0

+3V

DVOCFLDSTL 6
S-YD1
S-CD1
S-CVBS1

C103
R87

4.7K

TV_CLK

DVDD3V

+3V

C602

MI2C_DATA

3
2

MI2C_CLK

Q6
FDV301N
3

46

6
6

DVOCHSYNC
DVOCVSYNC

4
5
13
TV_DAT
TV_CLK

7
8

AS

R88
*10K

10

ISET

35
R78
2.2K

Place close to
Pin35

14
15

GPIO1
GPIO0

R358
0

DVOBINTRB#

DVOBCLKINT

6,8,14,16,17,18,19,23,27,28 PCIRST#

+3V
R82
*10K

TV_VSWING

R76
140/F

19
3

VSWING
VREF

R90
330

C597
0.1U

C112
*0.1U

C102
*0.1U

TVXI
+1.5V

R100

1K

R94

+3V
C

R77
23
29
20
26
32

TVDD0
TVDD1
TGND0
TGND1
TGND2

ISET

C79

C110

0.1U

0.1U

+ C117

45

DVDDV

AS

6
11
64

RESET*

GPIO1
GPIO0

R103
56_0805

1
12
49

DGND0
DGND1
DGND2

LQFP64S
R362
330

39

DVDD0
DVDD1
DVDD2

SPD
SPC

C116
0.1U

38

CVBS/B/U

H
V

+1.5V

37

Y/G

Pout/DET*

CVBSREF

Q7
FDV301N

36

C/R/V

DE

+ C588
T10U/10V

0.1U

75

48

CVBS

XCLK*
XCLK

C592

0.1U

18
44
16
17
41
33
34
40

AVDD0
AVDD1
AGND0
AGND1
AGND2
VDD
GND0
GND1

2 0
C78
0.1U
RAVDD3V

T10U/10V
2

DVOBLANK#

BCO
C/H Sync

CH7011
W/S-DTV

C119

R83

47

+3V

B TEST ADD

20 MIL

20 mils
C604

L3
FBM2125
+3V

R104
0

C599
0.1U
9

HPDET

+ C89
0.1U

VDD3V

T10U/10V
2

56
57

DVOCCLK#
DVOCCLK

D11
D10
D9
D8
D7
D6
D5
D4
D3
D2
D1
D0

XO

6
6

+1.5V

50
51
52
53
54
55
58
59
60
61
62
63

43

DVOCD11
DVOCD10
DVOCD9
DVOCD8
DVOCD7
DVOCD6
DVOCD5
DVOCD4
DVOCD3
DVOCD2
DVOCD1
DVOCD0

21
22
24
25
27
28
30
31

U26

DVOCD[0..11]

TDC0*
TDC0
TDC1*
TDC1
TDC2*
TDC2
TLC
TLC*

6
*27PF

XI/FIN

TV_DAT

42

4.7K

L33
FBM2125

*27PF
R364

15
15
15

TVXO

1K
Y5
1

VREF
C124 0.1U
C601

*14.318MHZ

20P

2
R361 0

AS: Address set to 0X76

C598
*20P
2

*20P

20P

(+-20PPM)

14M_TV

GPIO0:0:NTSC (DEAFULT)

FOOTPRINT

1: PAL

PROJECT : KT2

Quanta Computer Inc.

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Size
C

Document Number

Date:

Wednesday, April 07, 2004

Rev
1A

13---TV ENCODER (CH7011)


Sheet
1

13

of

38

RF_LINK
Q32
DTC144EUA
1

-WIRELESS_LED

+3V

-WIRELESS_LED 18

CN25

D18

RF_LINK
27

1
SW1010C

RF_OFF#

PCLK_MINI
2

INTD#

R371

rev.d

REQ1#

8,16,17,19,23 AD31
8,16,17,19,23 AD29

*33

8,16,17,19,23 AD27
8,16,17,19,23 AD25

C607
*18P

T7
8,16,17,19,23 C/BE3#
8,16,17,19,23 AD23
8,16,17,19,23 AD21
8,16,17,19,23 AD19
8,16,17,19,23 AD17
8,16,17,19,23 C/BE2#
8,16,17,19,23 IRDY#
8,16,17,19,23 SERR#
8,16,17,19,23 PERR#
8,16,17,19,23 C/BE1#
8,16,17,19,23 AD14

8,16,17,19,23 AD12
8,16,17,19,23 AD10
8,16,17,19,23 AD8
8,16,17,19,23 AD7

+3V

8,16,17,19,23 AD5
8,16,17,19,23 AD3
+5V

R19
*10K

8,16,17,19,23 AD1
9,20
9

SYNC1
SDINB

R27

SDIN1

SDIN1
2

R407
*10K

33

+5V

GND

R26

125

10K

+5V

C75
.1U/16V/0402

TIP
LAN1
LAN3
LAN5
LAN7
LED_GP
LED_GN
NC1
-INTB
+3V
R(IRQ3)
GND
PCICLK
GND
-REQ
+3V
AD31
AD29
GND
AD27
AD25
(V)
-CBE3
AD23
GND
AD21
AD19
GND
AD17
-CBE2
-IRDY
+3V
-CLKRUN
-SERR
GND
-PERR
-CBE1
AD14
GND
AD12
AD10
GND
AD8
AD7
+3V
AD5
(V)
AD3
+5V
AD1
GND
SYNC
SDIN0
BITCLK
-AC_PRIMARY
BEEP
AGND
+MIC
-MIC
AGND
-RI
+5VA

+5V

C648
.1U/16V/0402

+5V

RING
LAN2
LAN4
LAN6
LAN8
LED_YP
LED_YN
NC2
+5V
-INTA
R(IRQ4)
+3VAUX
-RST
+3V
-GNT
GND
-PME
(V)
AD30
+3V
AD28
AD26
AD24
IDSEL
GND
AD22
AD20
PAR
AD18
AD16
GND
-FRAME
-TRDY
-STOP
+3V
-DEVSEL
GND
AD15
AD13
AD11
GND
AD9
-CBE0
+3V
AD6
AD4
AD2
AD0
(V)
SERIRQ
GND
M66EN
SDOUT
SDIN1
-RESET
-MPCICACK
AGND
+SPK
-SPK
AGND
NC4
+3VAUX

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
72
74
76
78
80
82
84
86
88
90
92
94
96
98
100
102
104
106
108
110
112
114
116
118
120
122
124

GND

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
71
73
75
77
79
81
83
85
87
89
91
93
95
97
99
101
103
105
107
109
111
113
115
117
119
121
123

R368
10K

126

+3V

MINI PCI TYPE III SLOT


+5V
INTC#

PCIRST#

6,8,13,16,17,18,19,23,27,28

GNT1#

3VSUS

PCI_PME# 8,16,17,23

T8

R44

100 AD22
AD22

AD30

8,16,17,19,23

AD28
AD26
AD24

8,16,17,19,23
8,16,17,19,23
8,16,17,19,23

AD22
AD20
PAR
AD18
AD16

8,16,17,19,23
8,16,17,19,23
8,16,17,19,23
8,16,17,19,23
8,16,17,19,23

FRAME#
TRDY#
STOP#

8,16,17,19,23
8,16,17,19,23
8,16,17,19,23

DEVSEL# 8,16,17,19,23
AD15
AD13
AD11

8,16,17,19,23
8,16,17,19,23
8,16,17,19,23

AD9
C/BE0#

8,16,17,19,23
8,16,17,19,23

AD6
AD4
AD2
AD0

8,16,17,19,23
8,16,17,19,23
8,16,17,19,23
8,16,17,19,23

SERIRQ

8,16,17,18,27

SDOUT1

9,20

+3V

R25
*10K

-CODEC_RST 9,20
R24
1K
R16

2
3VSUS

1 10K

MINIPCI_TYPE_III

rev.d

C16
.1U/16V/0402

rev.d

PROJECT : KT2

Quanta Computer Inc.

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Size
C

Document Number

Date:

Wednesday, April 07, 2004

Rev
1A

14---MINIPCI
Sheet
1

14

of

38

KT7

LCD INTERFACE

S-VEDIO CONNECTOR

3VSUS

R356
10K
L30
FBMH2016HM251
1
2

TXUOUT1TXUOUT1+

6
6

TXLOUT1TXLOUT1+

6
6

TXLOUT2TXLOUT2+

6
6

TXLOUT0TXLOUT0+

TXUOUT1TXUOUT1+
TXLOUT1TXLOUT1+
TXLOUT2TXLOUT2+
TXLOUT0TXLOUT0+

BLON

1K

R355

1K

MXLID#_ICH4 27

13

C595
.1U/16V/0402

LCDVIN

S-CD1

13 S-CD1

TV-CHROMA
C391

C390

82P

82P

R243
75

TXLCLKOUT+
TXLCLKOUT-

VADJ

27

LCDID0
LCDID1
LCDID2

9
9
9

LID#

Q30
DTC144EUA

FPBACK#

TXLCLKOUT+ 6
TXLCLKOUT- 6

EDIDDATA
EDIDCLK

1.8UH

+3V
+5V
VADJ
BLON
LCDID0
LCDID1
LCDID2

CN17

L20

SW1010C

R344

BKLON

S-YD1
L18

S-YD1

TV-LUMA

1.8UH
C386

C387

82P

82P

R241

8
75

32
3

TXUOUT0TXUOUT0+

6
6

TXUOUT0TXUOUT0+

D15
LCDVCC

L32
PBY201209T-4A

6
6

TXUOUT2TXUOUT2+

LCDVCC2

40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22
21

20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1

TXUOUT2TXUOUT2+

TXUCLKOUTTXUCLKOUT+

L19

6
6

TXUCLKOUTTXUCLKOUT+

CN6
6
6

13

S-CVBS1
S-CVBS1

TV-COMP
1.8UH

EDIDDATA 6
EDIDCLK 6

S-VIDEO(35138S-07T1)

C388

C389

82P

82P

R242
75

ACS_88324-4000

+3V
R304
LCDID2
LCDID1
LCDID0

10K

D13

+3V

VADJ
BLON

EDIDDATA
EDIDCLK

C580
220P

C121
100P

C586
220P

C122
100P

C577
C569
.1U/16V .1U/16V

R308
10K
6

2
1

C578
220P

G
D2
D1

DISP_ON

TV-LUMA

Q22
3

S
D4
D3

+3V

5
6

2
*DA204U

LCDVCC

D11

24mil

SI3443DV

1
C539

C543

10U/10V

0.1U

TV-CHROMA

Q14
DTC144EU

*DA204U
D12
1
TV-COMP

3
R330

+3V

100K

*DA204U
+3V

Q26

30mil
C557
.1U/16V/0402

LCDVIN

C565
10U/25V

C564
.1U_0603_25V

C527
1U

C547
.01U

Q25
DTC144EU

5
6

D4
D3

D2
D1

SI3443DV

LCDVCC

2
1

10K

LCDVIN

VIN

R311

+5V

CRT_B

CH501H-40
CRT_R

L5

BK1608HM121

CRT_R1

CRT_G

L7

BK1608HM121

CRT_G1

CRT_B

L8

BK1608HM121

CRT_B1
T120

R98

R109

R129

AHCT1G125DCH

C154
15p
75

75

C143
15p

C132
15p

6
1
7
2
8
3
9
4
10
5

4.7K

13

R111

14

D5
1
3

CN3
AMP-1-440361-3
DFDS15FR319-15P

5
2

CRTDDCCLK

R335
R345

39
39

CRTVSYNC
CRTHSYNC

CRTDDCDAT

AHCT1G125DCH
6

DDCCLK

DDCCLK

Q24
1

VFAN_1

30 MIL

Q9
DTC144EUA

CN5

FAN_PWR1

3
2
1

C155
T10U/10V

+3V
3
2
1
85204-0300 R119
10K

FANSIG
3

HSYNC1

27

1K

DA204U
D16

R322

R353
HSYNC

CRT_R1

U24

Q11
IRLML5103

+3V

15

VSYNC1

+5VFAN

CRT_SENSE# 9

12

75

U23
VSYNC

CRT_SENSE#

11

+5V

R116

17

C593 .1U_0603_25V

R357
10K

CRT_G

20 MIL

CRT_R

+5V_CRT2

FAN CONTROL

+3V

20 MIL

D8

.1U_0603_25V

16

+5V

C156

+5V_CRT2
F2
FUSE1A6V_POLY
2
1

CRT PORT

27

CRT_G1
C148
100P

DA204U
D14

2N7002E
3

C562

C572

C581

C587

22P

33P

33P

22P

R309 2.2K

1
3

CRT_B1

2
2

DA204U

R360 2.2K

+3V
6

DDCDAT

DDCDAT

Q31

2N7002E
R324

R354

2.2K

2.2K

PROJECT : KT2

Quanta Computer Inc.


Size
C

Document Number

Date:

Wednesday, April 07, 2004

+5V
5

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Rev
1A

15---LCD, CRT, S-VIDEO, FAN


Sheet
1

15

of

38

R397
0

PCLK_PCMCIA

2,17 PCLK_PCMCIA

PCLK_1520

R9022 FOR 1520 INSTALL


PLACEMENT CLOSE TO 1410
GNT0#
REQ0#
C/BE3#
C/BE2#
C/BE1#
C/BE0#
PCLK_1520
FRAME#
DEVSEL#
PCIRST#

B_RSVD/A18 M5
B_RSVD/D14 J6
B_RSVD/D2 P10

17
17
17
17

B_CCD1
B_CCD2
B_CVS1
B_CVS2

B_CCD1
B_CCD2
B_CVS1
B_CVS2

H5
P9
U8
P7

C617

.1U_0402

.1U_0402

C634
.1U_0402

40 MILS

C612

C611

C620

C633

C647

C637

C616

.1U_0402

.1U_0402

.1U_0402

.1U_0402

.1U_0402

.1U_0402

10U

J19

P19

L1
VR_EN

CORE_VCC

R1

I/O_VCC1
I/O_VCC2
I/O_VCC3
I/O_VCC4
I/O_VCC5
I/O_VCC6
I/O_VCC7
I/O_VCC8

VCCA

A7
A12
G1
G19
N1
N19
W8
W13

A10
VCCP

E17
F15
E18
F14

A13

B13
GNT#

REQ#

G6
F6
F8
B14

C11
A5
C6
E7
B6
B7
C7
C13
F7
E8

C10
PCLK

C/BE0#
C/BE1#
C/BE2#
C/BE3#

VCCB

A_CCD1#//A_CD1#
A_CCD2#//A_CD2#
A_CVS1//A_VS1#
A_CVS2//a_VS2#

P11
W12
R11
U12
V12
R12
P12
U13
P13
R13
U14
W15
P14
V15
U15
R14
W16
M18
M19
L19
L17
L14
K18
K15
J18
J17
J14
G17
G14
F18
G15
E19

A_CAD0
A_CAD1
A_CAD2
A_CAD3
A_CAD4
A_CAD5
A_CAD6
A_CAD7
A_CAD8
A_CAD9
A_CAD10
A_CAD11
A_CAD12
A_CAD13
A_CAD14
A_CAD15
A_CAD16
A_CAD17
A_CAD18
A_CAD19
A_CAD20
A_CAD21
A_CAD22
A_CAD23
A_CAD24
A_CAD25
A_CAD26
A_CAD27
A_CAD28
A_CAD29
A_CAD30
A_CAD31

V14 A_CC/BE0
T19 A_CC/BE1
M17 A_CC/BE2
K14 A_CC/BE3
L15
M15
N18
N17
N15
P17
R18
H18
P15
K17
P18
M14
H14
H15
N14
H19
H17

A_CRST
A_CFRAME
A_CIRDY
A_CTRDY
A_CDEVSEL
A_CSTOP
A_CPERR
A_CSERR
A_CPAR
A_CREQ
A_CGNT
A_CCLK
A_CSTSCHNG
A_CCLKRUN
A_CBLOCK
A_CINT
A_CAUDIO

F17 A_RSVD/D2
V13 A_RSVD/D14
R17 A_RSVD/A18
U11
G18
J15
L18

A_CCD1
A_CCD2
A_CVS1
A_CVS2

C619

AD17
8,17
8

R399

100

A_CC/BE0
A_CC/BE1
A_CC/BE2
A_CC/BE3

17
17
17
17

A_CRST 17
A_CFRAME 17
A_CIRDY 17
A_CTRDY 17
A_CDEVSEL 17
A_CSTOP 17
A_CPERR 17
A_CSERR 17
A_CPAR 17
A_CREQ 17
A_CGNT 17
A_CCLK 17
A_CSTSCHNG 17
A_CCLKRUN 17
A_CBLOCK 17
A_CINT
17
A_CAUDIO 17

A_RSVD/D2 17
A_RSVD/D14 17
A_RSVD/A18 17
A_CCD1
A_CCD2
A_CVS1
A_CVS2

17
17
17
17

R396

CLKRUN# 8,17,18,19,23

*10K

1U
R394
*0

8,14,17,19,23 AD[0..31]

A_CAD0 17
A_CAD1 17
A_CAD2 17
A_CAD3 17
A_CAD4 17
A_CAD5 17
A_CAD6 17
A_CAD7 17
A_CAD8 17
A_CAD9 17
A_CAD10 17
A_CAD11 17
A_CAD12 17
A_CAD13 17
A_CAD14 17
A_CAD15 17
A_CAD16 17
A_CAD17 17
A_CAD18 17
A_CAD19 17
A_CAD20 17
A_CAD21 17
A_CAD22 17
A_CAD23 17
A_CAD24 17
A_CAD25 17
A_CAD26 17
A_CAD27 17
A_CAD28 17
A_CAD29 17
A_CAD30 17
A_CAD31 17

CLKRUN_PCM#
R395

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

PCI1520GHK

A_RSVD/D2
A_RSVD/D14
A_RSVD/A18

PCI

B_CCD1#//B_CD1#
B_CCD2#//B_CD2#
B_CVS1//B_VS1#
B_CVS2//B_VS2#

1212

A_CC/BE0#/A_CE1#
A_CC/BE1#/A_A8
A_CC/BE2#/A_A12
A_CC/BE3#/A_REQ#
A_CRST#//A_RESET
A_CFRAME#//A_A23
A_CIRDY#//A_A15
A_CTRDY#//A_A22
A_CDEVSEL#//A_A21
A_CSTOP#//A_A20
A_CPERR#//A_A14
A_CSERR#//A_WAIT
A_CPAR//A_A13
A_CREQ#//A_INPACK
A_CGNT#//A_WE#
A_CCLK//A_A16
A_CSTSCHG//A_BVD1
A_CLKRUN#//A_WP
A_CBLOCK#//A_A19
A_CINT#//A_READY
A_CAUDIO//A_BVD2

B_RSVD/A18
B_RSVD/D14
B_RSVD/D2

Correct module name: BCVS 1#, BCVS2#

A_CAD0//A_D3
A_CAD1//A_D4
A_CAD2//A_D11
A_CAD3//A_D5
A_CAD4//A_D12
A_CAD5//A_D6
A_CAD6//A_D13
A_CAD7//A_D7
A_CAD8//A_D15
A_CAD9//A_A10
A_CAD10//A_CE2#
A_CAD11//A_OE#
A_CAD12//A_A11
A_CAD13//A_IORD#
A_CAD14//A_A9
A_CAD15//A_IOWR#
A_CAD16//A_A17
A_CAD17//A_A24
A_CAD18//A_A7
A_CAD19//A_A25
A_CAD20//A_A6
A_CAD21//A_A5
A_CAD22//A_A4
A_CAD23//A_A3
A_CAD24//A_A2
A_CAD25//A_A1
A_CAD26//A_A0
A_CAD27//A_D0
A_CAD28//A_D8
A_CAD29//A_D1
A_CAD30//A_D9
A_CAD31//A_D10

GND
GND
GND
GND
GND
GND
VR_OUT
GND
GND
GND
GND
GND

B_RSVD/A18
B_RSVD/D14
B_RSVD/D2

B_CRST#//B_RESET
B_CFRAME#//B_A23
B_CIRDY#//B_A15
B_CTRDY#//B_A22
B_CDEVSEL#//B_A21
B_CSTOP#//B_A20
B_CPERR#B_A14
B_CSERR#B_WAIT#
B_CPAR//B_A13
B_CREQ#//B_INPACK#
B_CGNT#//B_WE#
B_CCLK//B_A16
B_CSTSCHG//B_BVD1
B_CLKRUN#//B_WP
B_CBLOCK#//B_A19
B_CINT#//B_READY
B_CAUDIO//B_BVD2

PME#/RI_OUT#

17
17
17

C613

A_VCC

A6
A9
A14
E1
F19
K1
K19
P1
R19
W6
W11
W14

B_CRST
W5
B_CFRAME R3
B_CIRDY
P5
B_CTRDY
R2
B_CDEVSEL P3
B_CSTOP
P2
B_CPERR
N6
B_CSERR
W9
B_CPAR
N2
B_CREQ
R7
B_CGNT
N5
B_CCLK
P6
B_CSTSCHNG U9
B_CCLKRUN R9
B_CBLOCK N3
B_CINT
V8
B_CAUDIO
V9

E13

17
B_CRST
17
B_CFRAME
17
B_CIRDY
17
B_CTRDY
17
B_CDEVSEL
17
B_CSTOP
17
B_CPERR
17
B_CSERR
17
B_CPAR
17
B_CREQ
17
B_CGNT
17
B_CCLK
17 B_CSTSCHNG
17 B_CCLKRUN
17
B_CBLOCK
17
B_CINT
17
B_CAUDIO

B_CC/BE0#/B_CE1#
B_CC/BE1#/B_A8
B_CC/BE2#/B_A12
B_CC/BE3#/B_REQ#

IDSEL

K5
M6
T1
U7

PCI1520/GHK

E10

B_CC/BE0
B_CC/BE1
B_CC/BE2
B_CC/BE3

B_CAD0//B_D3
B_CAD1//B_D4
B_CAD2//B_D11
B_CAD3//B_D5
B_CAD4//B_D12
B_CAD5//D_D6
B_CAD6//B_D13
B_CAD7//B_D7
B_CAD8//B_D15
B_CAD9//B_A10
B_CAD10//B_CE2#
B_CAD11//B_OE#
B_CAD12//B_A11
B_CAD13//B_IORD#
B_CAD14//B_A9
B_CAD15//B_IOWR#
B_CAD16//B_A17
B_CAD17//B_A24
B_CAD18//B_A7
B_CAD19//B_A25
B_CAD20//B_A6
B_CAD21//B_A5
B_CAD22//B_A4
B_CAD23//B_A3
B_CAD24//B_A2
B_CAD25//B_A1
B_CAD26//B_A0
B_CAD27//B_D0
B_CAD28//B_D8
B_CAD29//B_D1
B_CAD30//B_D9
B_CAD31//B_D10

DATA
CLOCK
LATCH
SPKROUT#

B_CC/BE0
B_CC/BE1
B_CC/BE2
B_CC/BE3

H3
H1
H2
J2
J1
J5
J3
K2
K3
K6
L2
L3
L6
L5
M2
M1
M3
W4
U5
R6
V5
U6
V6
P8
V7
W7
R8
W10
V10
U10
R10
V11

SOCKET B

17
17
17
17

B_CAD0
B_CAD1
B_CAD2
B_CAD3
B_CAD4
B_CAD5
B_CAD6
B_CAD7
B_CAD8
B_CAD9
B_CAD10
B_CAD11
B_CAD12
B_CAD13
B_CAD14
B_CAD15
B_CAD16
B_CAD17
B_CAD18
B_CAD19
B_CAD20
B_CAD21
B_CAD22
B_CAD23
B_CAD24
B_CAD25
B_CAD26
B_CAD27
B_CAD28
B_CAD29
B_CAD30
B_CAD31

B_VCC

SOCKET A

B_CAD0
B_CAD1
B_CAD2
B_CAD3
B_CAD4
B_CAD5
B_CAD6
B_CAD7
B_CAD8
B_CAD9
B_CAD10
B_CAD11
B_CAD12
B_CAD13
B_CAD14
B_CAD15
B_CAD16
B_CAD17
B_CAD18
B_CAD19
B_CAD20
B_CAD21
B_CAD22
B_CAD23
B_CAD24
B_CAD25
B_CAD26
B_CAD27
B_CAD28
B_CAD29
B_CAD30
B_CAD31

+3V

40 MILS

Directly connect VR_EN# to GND (TI FAE).


2004/03/23

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

17
17
17
17
17
17
17
17
17
17
17
17
17
17
17
17
17
17
17
17
17
17
17
17
17
17
17
17
17
17
17
17

+3V

G_RST#
PAR
SERR#
PERR#
STOP#
IRDY#
TRDY#
PRST#
DEVSEL#
FRAME#

U6

+3V

TPSDATA 17
TPSCLOCK 17
TPSLATCH 17
PCMSPK 17,20

+3V

TRDY#
IRDY#
STOP#
PERR#
SERR#
PAR
PCIRST#

8,14,17,19,23 TRDY#
8,14,17,19,23 IRDY#
8,14,17,19,23 STOP#
8,14,17,19,23 PERR#
8,14,17,19,23 SERR#
8,14,17,19,23 PAR
6,8,13,14,17,18,19,23,27,28 PCIRST#

MFUNC0
MFUNC1
SUSPEND#
MFUNC2
MFUNC3
MFUNC4
MFUNC5
MFUNC6/CLKRUN#

8,14,17,19,23 FRAME#
8,14,17,19,23 DEVSEL#
6,8,13,14,17,18,19,23,27,28 PCIRST#

TPSDATA
TPSCLOCK
TPSLATCH

D19
A16
C15
E14
F13
B15
A15
C14

GNT0#
REQ0#
C/BE3#
C/BE2#
C/BE1#
C/BE0#

G2
G3
H6
F1
G5
F2
F3
E2
F5
E3
D1
B12
A4
C5
E6
B5
C8
B8
A8
E9
F9
C9
B9
F10
B10
F12
F11
E11
B11
A11
C12
E12

8,17
8,17
8,14,17,19,23
8,14,17,19,23
8,14,17,19,23
8,14,17,19,23

PCI_PME# 8,14,17,23

CLKRUN_PCM#

INTE#
INTB#

T6
PCI_LOCK# 17
SERIRQ 8,14,17,18,27
-CB_RI
17
SUSPEND# 17

-CB_RI
SUSPEND#

R391
10K
A

+3V

PROJECT : KT2

Quanta Computer Inc.

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Size
C

Document Number

Date:

Wednesday, April 07, 2004

Rev
1A

16---CARDBUS (PCI1520)
Sheet
1

16

of

38

CARDBUS PCMCIA SOCKET


6,8,13,14,16,18,19,23,27,28 PCIRST#

+3V

1
2

16
16
16
16
16
16
16

A_CAD23
A_CREQ
A_CAD24
A_CC/BE3
A_CAD25
A_CAUDIO
A_CAD26

16
16

A_CCLKRUN
A_CCD2

+
C625
0.1U

1000P

C590
10U/16V-1206

C127

+
C139
0.1U

1000P

C118
10U/16V-1206

C35
0.1U

B_CVS1 C610

C38
0.1U

B_CCD1

C630

*1000P

B_CCD2

C628

*1000P

B_CVS2 C629

*1000P

B_VPP
R375
B_CTRDY 16
B_CIRDY 16
B_CFRAME 16
B_CC/BE2 16
B_CAD17 16
B_CAD18 16
B_CAD19
B_CAD20
B_CVS2
B_CAD21
B_CRST
B_CAD22
B_CSERR

22

B_CCLK

C618

16

*22P

A_CCLK_R

16
16
16
16
16
16
16

C609

*22P

B_CAD23 16
B_CREQ 16
B_CAD24 16
B_CC/BE3 16
B_CAD25 16
B_CAUDIO 16
B_CAD26 16
B_CSTSCHNG 16
B_CAD27 16
B_CAD28 16
B_CAD29 16
B_CAD30 16
B_RSVD/D2 16
B_CAD31 16
B_CCLKRUN 16
B_CCD2 16

*1000P

A_CCD1

C65

*1000P

A_CCD2

C635

*1000P

A_CVS2 C621

*1000P

1
2
30

+5V

Used for TI1410 PCIVCC COREVCC AUXVCC


(Pin44,18,50,30,138,122,102,86,66,14,63)

7
24

R75
*0
6
14

6,8,13,14,16,18,19,23,27,28 PCIRST#
16
16
16

NOT SUPPORT TI1410:


REMOVE R392,R35,U5,U7

16
16
16
16
16

B_CPAR 16
B_RSVD/A18 16
B_CPERR 16
B_CBLOCK 16
B_CGNT 16
B_CSTOP 16
B_CINT
16
B_CDEVSEL 16
B_VCC

15
16
17

+3V
C134
0.1U

B_CAD13
B_CAD14
B_CAD15
B_CC/BE1
B_CAD16

*1000P

C140
0.1U
2

CB15
0.1U
2

CB5
0.1U

1
CB9
0.1U

16
16
16
16
16

A_CVS1 C632

B_VCC

C133
0.1U

B_CAD9
B_CAD10
B_CAD11
B_CVS1
B_CAD12

CN4

16,20

16
16
16
16
16
16

B_CAD5 16
B_CAD6 16
B_CAD7 16
B_RSVD/D14 16
B_CC/BE0 16
B_CAD8 16

BREGCARDBUS

PCMSPK

CB16
0.1U

B_CAD0
B_CCD1
B_CAD1
B_CAD2
B_CAD3
B_CAD4

F
E

C627
C626
0.1U

GND28
GND27

D
C
SHDN

GND

16

7
1

A_CAD19
A_CAD20
A_CVS2
A_CAD21
A_CRST
A_CAD22
A_CSERR

GND30
GND29

2
1
2

1
2
1

16
16
16
16
16
16
16

A_VPP

62

CB13
0.1U
2

CB10
0.1U

1
CB6
0.1U

16

1
-CB_RI

1 -CB_RI

A_CTRDY
A_CIRDY
A_CFRAME
A_CC/BE2
A_CAD17
A_CAD18

+3V

RI#

16
16
16
16
16
16

A_VCC

2
9

30

A_CCLK

C641
*5P

B_VPP

Q5
*DTC144EUA

30

A_VPP

16 A_CSTSCHNG
16
A_CAD27
16
A_CAD28
16
A_CAD29
16
A_CAD30
16
A_RSVD/D2
16
A_CAD31

IDSEL = AD21
MASTER = REQ0#
GNT0#

CB_RI# move from Func5 to Func4. (TIFAE)


2004/03/23

A_CPAR
A_RSVD/A18
A_CPERR
A_CBLOCK
A_CGNT
A_CSTOP
A_CINT
A_CDEVSEL
A_VCC

B1
B2
B3
B4
B5
B6
B7
B8
B9
B10
B11
B12
B13
B14
B15
B16
B17
B18
B19
B20
B21
B22
B23
B24
B25
B26
B27
B28
B29
B30
B31
B32
B33
B34
B35
B36
B37
B38
B39
B40
B41
B42
B43
B44
B45
B46
B47
B48
B49
B50
B51
B52
B53
B54
B55
B56
B57
B58
B59
B60
B61
B62
B63
B64
B65
B66
B67
B68
B69
B70
B71
B72
B73
B74
B75
B76
B77

SPKROUT

GND
GND
GND
GND
GND
GND
GND
GND

R51 PCI_LOCK#
0

PLOCK#

6
22
42
58
78
94
114
130

A_CC/BE0
A_CAD10
A_CGNT
A_CAD13
A_CAD15
A_CAD11
A_CVS1
A_CVS2
A_CC/BE3
A_CRST

A_CAD13
A_CAD14
A_CAD15
A_CC/BE1
A_CAD16

R372
16

PCLKPCIC-1
C68
4.7U/10V-0805

16
16
16
16
16

GND14
GND1
GND15
GND2
B_D3
D3
B_CD1#
CD1#
B_D4
D4
B_D11
D11
B_D5
D5
B_D12
D12
GND16
GND3
B_D6
D6
B_D13
D13
B_D7
D7
B_D14
D14
B_CE1#
CE1#
B_D15
D15
GND17
GND4
B_A10
A10
B_CE2#
CE2#
B_OE#
OE#
B_VS1#
VS1#
B_A11
A11
GND18
GND5
B_IORD#
IORD#
B_A9
A9
B_IOWR#
IOWR#
B_A8
A8
B_A17
A17
GND19
GND6
B_A13
A13
B_A18
A18
B_A14
A14
B_A19
A19
B_WE#
WE#
B_A20
A20
B_RDY
RDY
B_A21
A21
VCC3
VCC1
VCC4
VCC2
VPP3
VPP1
VPP4
VPP2
B_A16
A16
GND20
GND7
B_A22
A22
B_A15
A15
B_A23
A23
B_A12
A12
B_A24
A24
B_A7
A7
GND21
GND8
B_A25
A25
B_A6
A6
B_VS2#
VS2#
B_A5
A5
B_RESET
RESET
B_A4
A4
B_WAIT#
WAIT#
GND22
GND9
B_A3
A3
INPACK# B_INPACK#
B_A2
A2
B_REG#
REG#
B_A1
A1
B_BVD2
BVD2
B_A0
A0
GND23
GND10
B_BVD1
BVD1
B_D0
D0
B_D8
D8
B_D1
D1
B_D9
D9
B_D2
D2
B_D10
D10
GND24
GND11
B_WP
WP
B_CD2#
CD2#
GND25
GND12
GND26
GND13

88
91
106
93
96
92
131
117
125
119

126
90

63
AUXVCC

VCCCB
VCCCB

138
122
102
86
66
14
COREVCC
COREVCC
COREVCC
COREVCC
GRST#
COREVCC

50
30

CC/BE0#/CE1#
CAD10/CE2#
CGNT#/WE#
CAD13/IORD#
CAD15/IOWR#
CAD11/OE#
CVS1
CVS2
CC/BE3#/REG#
CRST#/RESET

MF0/INTA
MF1
MF2/REQ
MF3/IRQSER
MF4
MF5/GNT
MF6/CLKRUN

R393
*0
+3V

C66
4.7U/10V-0805

A_CAD9
A_CAD10
A_CAD11
A_CVS1
A_CAD12

16
16
16
16
16
16
16
16

PCLK_PCMCIA

+5V

16
16
16
16
16

PCI_LOCK#
-CB_RI

RI_OUT#/PME#
SUSPEND#

+3V

A_CAD5
A_CAD6
A_CAD7
A_RSVD/D14
A_CC/BE0
A_CAD8

A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17
A18
A19
A20
A21
A22
A23
A24
A25
A26
A27
A28
A29
A30
A31
A32
A33
A34
A35
A36
A37
mil
A38
A39
mil
A40
22A_CCLK_RA41
A42
A43
A44
A45
A46
A47
A48
A49
A50
A51
A52
A53
A54
A55
A56
A57
A58
A59
A60
A61
A62
A63
A64
A65
A66
A67
A68
A69
A70
A71
A72
A73
A74
A75
A76
A77

8,14,16,18,27 SERIRQ
16
PCI_LOCK#
8,16,18,19,23 CLKRUN#

60
61
64
65
67
68
69

*10K

16
16
16
16
16
16

INTE#

R35

A_CAD0
A_CCD1
A_CAD1
A_CAD2
A_CAD3
A_CAD4

INTE#

A_CSTSCHNG
A_CAUDIO
A_CCD1
A_CCD2
A_CINT
A_CSERR
A_CCLKRUN
A_CREQ

16
16
16
16
16
16

8,16

PCIIDSEL

135
134
75
137
132
133
136
123

OC

SUSPEND#

CSTSCHNG/BVD1/STSCHG#/RI#
CAUDIO#/BVD2/SPKR#
CCD1#
CCD2#
CINT#/READY/IREQ#
CSERR#/WAIT#
CCLKRUN#/WP/IOIS16#
CREQ#/INPACK#

A_CAD26
A_CAD25
A_CAD24
A_CAD23
A_CAD22
A_CAD21
A_CAD20
A_CAD18
A_CC/BE1
A_CAD14
A_CAD9
A_CAD12
A_CC/BE2
A_CPAR
A_CPERR
A_CIRDY
A_CCLK
A_CAD16
A_RSVD/A18
A_CBLOCK
A_CSTOP
A_CDEVSEL
A_CTRDY
A_CFRAME
A_CAD17
A_CAD19

3.3V
3.3V

CB14
0.1U

16

2 *33 PCMID 13
2 *33
59
70

R392 1
R398 1
R42
*0

PCIRST#
PCIFRAME#
PCIIRDY#
PCITRDY#
PCIDEVSEL#
PCISTOP#
PCIPERR#
PCISERR#
PCIPAR
PCIREQ#
PCIGNT#
PCIPCLK

129
128
127
124
121
120
118
115
99
97
89
95
112
101
104
110
108
98
100
103
105
107
109
111
113
116

3
4

AD21
AD22
8,14,16,23 PCI_PME#

PCLK_PCMCIA

20
28
29
31
32
33
34
35
36
1
2
21

A_CAD27
A_CAD29
A_RSVD/D2
A_CAD0
A_CAD1
A_CAD3
A_CAD5
A_CAD7
A_CAD28
A_CAD30
A_CAD31
A_CAD2
A_CAD4
A_CAD6
A_RSVD/D14
A_CAD8

-VCCD0
-VCCD1
VPPD0
VPPD1

1
2
15
14

PCIRST#

6,8,13,14,16,18,19,23,27,28 PCIRST#
8,14,16,19,23 FRAME#
8,14,16,19,23 IRDY#
8,14,16,19,23 TRDY#
8,14,16,19,23 DEVSEL#
8,14,16,19,23 STOP#
8,14,16,19,23 PERR#
8,14,16,19,23 SERR#
8,14,16,19,23 PAR
8,16
REQ0#
8,16
GNT0#
2,16 PCLK_PCMCIA

C/BE3#
C/BE2#
C/BE1#
C/BE0#

VCCD0
VCCD1
VPPD0
VPPD1

12
27
37
48

C/BE3#
C/BE2#
C/BE1#
C/BE0#

CAD26/A0
CAD25/A1
CAD24/A2
CAD23/A3
CAD22/A4
CAD21/A5
CAD20/A6
CAD18/A7
CC/BE1#/A8
CAD14/A9
CAD9/A10
CAD12/A11
CC/BE2#/A12
CPAR/A13
CPERR#/A14
CIRDY#/A15
CCLK/A16
CAD16/A17
RSVD/A18
CBLOCK#/A19
CSTOP#/A20
CDEVSEL#/A21
CTRDY#/A22
CFRAME#/A23
CAD17/A24
CAD19/A25

CB11
0.1U
+3V

139
141
143
76
79
81
83
85
140
142
144
77
80
82
84
87

A_VPP
CB7
0.1U

5V
5V

8,14,16,19,23
8,14,16,19,23
8,14,16,19,23
8,14,16,19,23

CAD27/D0
CAD29/D1
RSVD/D2
CAD0/D3
CAD1/D4
CAD3/D5
CAD5/D6
CAD7/D7
CAD28/D8
CAD30/D9
CAD31/D10
CAD2/D11
CAD4/D12
CAD6/D13
RSVD/D14
CAD8/D15

5
6

10

AD31
AD30
AD29
AD28
AD27
AD26
AD25
AD24
AD23
AD22
AD21
AD20
AD19
AD18
AD17
AD16
AD15
AD14
AD13
AD12
AD11
AD10
AD9
AD8
AD7
AD6
AD5
AD4
AD3
AD2
AD1
AD0

VPP

+5V

0.1U
0.1U
U5
*TI1410
PQFP144-22X22-5
-VCCD0
73
VCCD0# 74
-VCCD1
VCCD1# 71
VPPD0
VPPD0 72
VPPD1
VPPD1

Used for TI1410 A_VCC


(Pin126,90)

A_VCC

C48

CB2
0.1U

3
4
5
7
8
9
10
11
15
16
17
19
23
24
25
26
38
39
40
41
43
45
46
47
49
51
52
53
54
55
56
57

PCIVCC
PCIVCC

44
18
1

1
CB3
0.1U
2

CB4
0.1U

A_VCC

12V

13
12
11

C34
4.7U/10V-0805
C33

PCIVCC
PCIVCC
AD31
AD30
AD29
AD28
AD27
AD26
AD25
AD24
AD23
AD22
AD21
AD20
AD19
AD18
AD17
AD16
AD15
AD14
AD13
AD12
AD11
AD10
AD9
AD8
AD7
AD6
AD5
AD4
AD3
AD2
AD1
AD0

VCC
VCC
VCC

AVCC1
CB12
0.1U

AD[0..31]

8,14,16,19,23 AD[0..31]

C30
*0.01U

CB8
0.1U

0-0805

C638
1000P
2

C46
1000P

20mil

C31
*0.1U

R33

+3V

U7
*TPS2211
SSOP16

A_VCC

3
4
5

TPSDATA
TPSCLOCK
TPSLATCH
R387
43K

R388
*2.7K

18

U10

TPS2206

3V
3V.
3V,

AVPP
AVCC
.AVCC
,AVCC

5V
5V.
5V,
12V
12V.
RESET
RESET
DATA
CLOCK
LATCH
OC

NC
NC
NC
NC
NC
BVPP
BVCC
.BVCC
,BVCC
MODE
STBY
GND

A_VPP
8
9
10
11

A_VCC
C614
10U

C615
10U

13
25
26
27
28

B_VPP

23
20
21
22

B_VCC

29
19
R373

C69
10U

C67
10U

10K

12

+3V

PROJECT : KT2

Quanta Computer Inc.

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Size
C

Document Number

Date:

Wednesday, April 07, 2004

Rev
1A

17---CARDBUS (PCI1410)
Sheet
1

17

of

38

+3V

R200 3MODE#
*0

ICH_GPIO28

R202
0

R204

+3V

C298
10U
CC0805

C293
0.1U
CC0402

C317
0.1U
CC0402

C295
0.1U
CC0402

C268
0.1U
CC0402

DCD1#
DSR1#
SIN1
RTS1#/TEST
SOUT1/XCNF0
CTS1#
DTR1#_BOUT1/BADDR
RI1#

IRTX
IRRX1
XA0/GPIO20
IRRX2_IRSL0
XA1/GPIO21
IRSL1
XA2/GPIO22
IRSL2/PWUREQ#
XA3/GPIO23
XA4/GPIO24/XSTB0#
XA5/GPIO25/XSTB1#/XCNF2
XD0/GPIO00/JOYABTN1
XA6/GPIO26/PRIQA/XSTB2#
XD1/GPIO01/JOYBBTN1
XA7/GPIO27/PIRQB
XD2/GPIO02/JOYAY
XA8/GPIO30/PIRQC
XD3/GPIO03/JOYBY
XA9/GPIO31/MTR1#/PIRQD
XD4/GPIO04/JOYBX
XA10/GPIO32/XIORD#/MDRX
XD5/GPIO05/JOYAX
XA11/GPIO33/XIOWR#/MDTX
XD6/GPIO06/JOYBBTN0
XA12/GPIO10/JOYABTN1/RI2#
XD7/GPIO07/JOYABTN0
XA13/GPIO11/JOYBBTN1/DTR2#_BOUT2
XA14/GPIO12/JOYAY/CTS2#
XER#/XCNF1
XA15/GPIO13/JOYBY/SOUT2
XRD#/GPIO34/WDO#
XA16/GPIO14/JOYBX/RTS2#
XIOWR#/XCS1#/MTR1#/DRATE0
XA17/GPIO15/JOYAX/SIN2
XIORD#/GPIO37/IRSL2/DR1#
XA18/GPIO16/JOYBBTN0/DSR2#
XCS0#/DR1#/XDRY
XA19/DCD2#/JOYABTN0/GPIO17

52
50
48
46
45
44
43
42

PD0
PD1
PD2
PD3
PD4
PD5
PD6
PD7
R205

35
36
37
40
41
47
49
51
53
54

SLCT
PE
BUSY
ACK#
SLIN#
INIT#
ERROR#
AFD#
STRB#

55
56
57
58
59
60
61
62

DCD1#
DSR1#
RXD1
RTS1#
TXD1
CTS1#
DTR1#
RI1#

70
69
68
67
66

IR_TX
IR_RX
IR_SEL

*10K

For PC87393 Use

14
39
63
88

52 1
51 2
50 3
49 4
48 5
47 6
46 7
45 8
44 9
4310
4211
4112
4013
3914
3815
3716
3617
3518
3419
3320
3221
3122
3023
2924
2825
2726

INDEX#
FDDDRV0#

C203
0.1U

C205
0.1U

DSKCHG#

MTR0#

DIR#
3MODE#
STEP#
WDATA#
WGATE#
TRK0#
WP#
RDATA#
R135

56FDD_PRESENT#

HEAD#

FDD_PRESENT# 9

FDD_26P(88203-2660)
R215

*10K

+3V

R214
10K

INDEX#
FDDDRV0#
DSKCHG#
MTR0#
DIR#
3MODE#
STEP#
WDATA#
WGATE#
TRK0#
WP#
RDATA#
HEAD#

+3V

3
2
1
100
99
98
97
96

C192 C193 C194 C195 C196 C197 C198 C199 C200 C201 C202 C204 C190
*47P *47P *47P *47P *47P *47P *47P *47P *47P *47P *47P *47P *47P

4
5
73
71
72

IR BOARD CONN

VSS
VSS
VSS
VSS

C296
10U
CC0805

PD0/INDEX#
PD1/TRK0#
PD2/WP#
PD3/RDATA#
PD4/DSKCHG#
PD5/MSEN0
PD6/DRATE0
PD7/MSEN1

PNF/XRDY
SLCT/WGATE#
PE/WDATA#
BUSY_WAIT#/MTR1#
ACK#/DR1#
SLIN#_ASTRB#/SETP#
INIT#/DIR#
ERR#/HDSEL#
AFD#_DSTRB#/DENSEL
STB#_WRITE#

DSKCHG#
HDSEL#
RDATA#
WP#
TRK0#
WGATE#
WDATA#
SETP#
DIR#
DR0#
MTR0#
INDEX#
DENSEL
DRATE0/IRSL3

95
94
93
92
91
90
87
86
85
84
83
82
81
80
79
78
77
76
75
74

*10K

PC87391

CLKIN

21
22
23
24
25
26
27
28
29
30
31
32
33
34

For PC87393 Use

+3V

LCLK
LRESET#
LFRAME#
LDRQ#
LPCPD#
CLKRUN#/GPIO36
SERIRQ
SMI#/GPIO35

20
DSKCHG#
HEAD#
RDATA#
WP#
TRK0#
WGATE#
WDATA#
STEP#
DIR#
FDDDRV0#
MTR0#
INDEX#
DENSEL

R168
10K

PD[0..7]

LAD0
LAD1
LAD2
LAD3

8
9
12
11
7
6
10
19

14M_SIO

14M_SIO

ICH_GPIO28

15
16
17
18

PCLK_SIO
PCIRST#
LFRAME#/FWH4
LPC_DRQ0#
D9 2 LPC_PD#
1
CLKRUN#
SERIRQ
SW1010C
T61

2
PCLK_SIO
6,8,13,14,16,17,19,23,27,28 PCIRST#
9,27 LFRAME#/FWH4
9,27 LPC_DRQ0#
9
SUS_STAT#
8,16,17,19,23 CLKRUN#
8,14,16,17,27 SERIRQ

VDD
VDD
VDD
VDD

LAD0/FWH0
LAD1/FWH1
LAD2/FWH2
LAD3/FWH3

+5V

60 mils

CN7
U17

LAD0/FWH0
LAD1/FWH1
LAD2/FWH2
LAD3/FWH3

PIN1,3,5

FDD CONN

+3V

R191
10K

9,27
9,27
9,27
9,27

LPC SUPER I/O

CN15
-WIRELESS_LED

14 -WIRELESS_LED
27

RF_SW#

RF_SW#

+3V

1
2
1

13
38
64
89

PC87391-VJG

3
9 FIR_PRESENT#

IR_SEL
+3V

0.1U
2

5
6
7
8

9
10

IR_RX

C417

C416
4.7U/10V-0805

4
IR_TX

85205-0800

SERIAL PORT

PARALLEL PORT
CN16
DS01391-H2-KT
+5V
26
10X4
2
4
6
8
2
4
6
8

RP8

10X4

5
9
4
8
3
7
2
6
1

C458
100P

C454
100P

C450
100P

C441
100P

C439
100P

C438
100P

C436
100P

C433
100P

11

10

STRB#
AFD#
PD0
ERROR#
PD1
INIT#
PD2
SLIN#
PD3

CN9
D-SUB(DS00191-H2)

PD4

DFDS09MR601

PD5
PD6
PD7
ACK#

U16
+5V

R211
10K
A

RTS1#
DTR1#
TXD1

14
13
12

DSR1#
RXD1
CTS1#
DCD1#
RI1#

19
18
17
16
15
23
22
21
20

C419

0.1U
CC0805

C275

0.47U
CC0805

28
24
1
2

T1I
T2I
T3I
RIO
R2O
R3O
R4O
R5O

T1O
T2O
T3O
R1I
R2I
R3I
R4I
R5I

9
10
11

MRTS1#
MDTR1#
MTXD1

4
5
6
7
8

MDSR1#
MRXD1
MCTS1#
MDCD1#
MRI1

VCC
V+
VGND

+5V

SLCT

R_STRB#
R_AFD#
R_PD0
R_ERROR#
R_PD1
R_INIT#
R_PD2
R_SLIN#
R_PD3
R_PD4
R_PD5
R_PD6
R_PD7
R_ACK#
R_BUSY
R_PE
R_SLCT

1
14
2
15
3
16
4
17
5
18
6
19
7
20
8
21
9
22
10
23
11
24
12
25
13

D10
SW1010C

R_SLCT
R_PD3
R_PD2
R_PD1
R_PD0

1
3
5
7

R240
4.7K
RN58
2
4
6
8

RP9
R_ERROR#
R_INIT#
R_STRB#
R_AFD#

1KX4
C377
330P

C374
330P

C372
330P

C370
330P
C371
680P

RN59
27

R_PD7
R_PD6
R_PD5
R_PD4

1
3
5
7

C373
680P

C368
330P

C369
330P

6
7
8
9
10

5
4
3
2
1

R_SLIN#
R_ACK#
R_BUSY
R_PE
C385
680P

4.7KX8

C384
680P

C383
680P

C375
330P

2
4
6
8
A

C308
10U
CC0805

C314
10U
CC0805

C311
0.1U
CC0402

AMP-1-440362-1

1KX4
C382
330P

C381
330P

C380
330P

C378
330P

R196
*1K

26
27
3
25

MAX3243
<1ST PART FIELD>

PE
+5V

FORCEON
FORCEOFF#
INVAILD#
R2OUTB
C1+
C1C2+
C2-

BUSY

R218
R220
33
R222
33
33
R224
33
R226
R227
33
33
R228
33
R229
R230
33
33
R231
33
R233
33
R234
33
R235
33
R236
33
R237
33
R238
33
R239
33

RP7
1
3
5
7
1
3
5
7

MRI1
MDTR1#
MCTS1#
MTXD1
MRTS1#
MRXD1
MDSR1#
MDCD1#

PROJECT : KT2
C272
0.47U
CC0805

C306
0.47U
CC0805

Quanta Computer Inc.

C313
0.47U
CC0805

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Size
C

Document Number

Date:

Wednesday, April 07, 2004

Rev
1A

18---SUPER IO (FDD, PIO, SIO, IR)


Sheet
1

18

of

38

+3V
+3V

IEEE-1394

BK1608HS600

C74

C61

C95

C44

C53

C63

C58

C55

C96

C54

C94

1394_PLLVCC
C92
C104

0.1U

0.1U

.01U

.01U

1000P

0.1U

0.1U

0.1U

.01U

.01U

1000P 1000P 1000P

8,14,16,17,23 FRAME#
8,14,16,17,23 IRDY#
8,14,16,17,23 TRDY#
8,14,16,17,23 DEVSEL#
8,14,16,17,23 STOP#
8,14,16,17,23 PERR#
8,14,16,17,23 SERR#
8,14,16,17,23 PAR
8
INTF#
8,16,17,18,23 CLKRUN#
6,8,13,14,16,17,18,23,27,28 PCIRST#

73
60
47
34
PCLK_1394
16
18
19
R69
100 36
49
50
52
53
54
56
57
58
21
13
12
85
14
90
89

7
PLLVCC

15
27
39
51
59
72
88
100
1
2
108
120
107

CPS

C56

C57

C99

0.1U

.01U

1000P 1000P

C98

Used for TSB43AB21 VCC3


(Pin15,27,39,51,59,72,88,100)

Used for TSB43AB21 AVCC


(Pin1,2,107,108,120)

R43
R85
R92
R38
R39

96
11
10
87
86

4.7K
4.7K
4.7K
4.7K
10K

106 CPS_G R52

12 mils
C70
1K

1U

R67

R68

56/F

56/F

AGND_1394
CN28

PHY PORT 0TPBIAS0


TPA0+
TPA0TPB0+
TPB0-

BIAS CURR ENT

R0
R1

116
115
114
113
112

TPBIAS_1394

1394_TPA0+
1394_TPA01394_TPB0+
1394_TPB0-

118 BIAS_R0

R72
6.34K

1394_XOUT

C126

CRYSTAL

56/F
56/F

DLW21SN261SQ2L
L1394_TPB02
L1394_TPA03
L1394_TPA0+
2
L1394_TPB0+
3

CML4

DLW21SN261SQ2L

5
1
3
4
2

6
FOX UV31413-G6

C60
220P

R63
5.11K/F

15P

CML5
1
4
1
4

AGND_1394
L1394_TPB0L1394_TPA0L1394_TPA0+
L1394_TPB0+

Y3
24.576MHz/30PPM

38M030006-00
<MOUNTED>
IEEE 1394 CONN 6POLE R/A 787956-1 DIP AMP

AGND_1394
X1
F0

5
1394_XIN

C114

15P

3
1394_F0

C97

F1

EEPROM BUS SDATA


SCLK

PHY PORT 1TPBIAS1


TPA1+
TPA1TPB1+
TPB1-

POWER CLASS

PC0
PC1
PC2

TEST9
TEST8
TEST3
TEST2
TEST1
TEST0

C683

C681

C682

C684

22P

22P

22P

22P

0.1U

FILTER

G_RSTGPIO2
GPIO3

R58
R62

119 BIAS_R1

X0

CBE0CBE1CBE2CBE3PCI_CLK
GNTREQIDSEL
FRAMEIRDYTRDYDEVSELSTOPPERRSERRPAR
PCI_PMEINTACLKRUNRST-

R40
220

C76
0.1U

+3V

TEST7
TEST16
TEST17
CYCLEIN
CYCLEOUT

17
23
30
33
44
55
64
75
83
93
103
68
109
110
111
117
126
127
128

R41
220

Used for TSB43AB21 VCCP


(Pin20,35,48,62,78)

1394_F1

92
91

1394_SDATA
1394_SCLK

125
124
123
122
121

+3V

R34
2.7K

99
98
97

R36
2.7K
U4

1394_SCLK
1394_SDATA

94
95
101
102
104
105

PLLGND1
PLLGND2

8,14,16,17,23 C/BE0#
8,14,16,17,23 C/BE1#
8,14,16,17,23 C/BE2#
8,14,16,17,23 C/BE3#
2 PCLK_1394
8
GNT3#
REQ3#
AD238

C43

6
5
7

SCL
SDA
WP
NM24C02

R3

0-0805

R2

*0

A0
A1
A2
VCC
GND

1
2
3

+3V

8
4
C32
0.1U

8
9

C111
*10P

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

PCI
INTERFACE

84
82
81
80
79
77
76
74
71
70
69
67
66
65
63
61
46
45
43
42
41
40
38
37
32
31
29
28
26
25
24
22

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
AGND
AGND
AGND
AGND
AGND
AGND
AGND

R84
*4.7K

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
AVCC
AVCC
AVCC
AVCC
AVCC

20
35
48
62
78
VCCP
VCCP
VCCP
VCCP
VCCP

8,14,16,17,23 AD[0..31]

C45

0.1U

1000P
U11
TSB43AB21PDT

PCLK_1394

+3V

L4

+3V

+3V
+3V

1394_DGND1
1394_DGND2
C106

C93

0.1U

0.01U

R91
*0

AGND_1394
AGND_1394
C41

C47

0.1U

.01U

R37
*0

IDSEL = AD23
MASTER = REQ3#
GNT3#

PROJECT : KT2

Quanta Computer Inc.

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Size
C

Document Number

Date:

Wednesday, April 07, 2004

Rev
1A

19---IEEE1394a (43AB21A)
Sheet
1

19

of

38

For Layout:
Place decoupling caps near the
power pins of SmartAMC
device.

3VSUS
MC16
0.1U

AMCVDD
GND
MC19
0.1U
MC14

MC25

10U

0.1U

MC15
0.1U
MC39

MC27

10U

0.1U

MC35
GND

GND

0.1U

AGND
GND

MC17
0.1U

AGND

DIB_DATAP

PWRCLKN

1K RC0603

DIB_DATAP_A

DIB_PWRP

DIB_PWRN

MR24
MR20
MR18

15
16
17

MODEM_PRES

GND

MR13
*0

SDINA

BITCLK

20
MR14

33

RC0603

MR9

33

RC0603
MR17 *0
MR16 *0

GND
GND

MR10
*10K
RC0603

MR11
*10K
32
RC0603

MUTE_LED

MR12

SDATA_IN0

21

BIT_CLK0

22

ID0

11

ID1

EAPD_1

14

PC_BEEP
MR8
*0

Populate RX152 in order


to enable the audio codec
only feature of the
SmartAMC device

12

45
13

R20
*10K

MIC_IN
DIB_DATAP
CD_IN_R
CD_IN_GND
CD_IN_L

PWRCLKP
PWRCLKN

LINE_IN_L
LINE_IN_R

SDATA_OUT
SYNC
AC_RESET#

LINE_OUT_L
LINE_OUT_R
HP_OUT_L
HP_OUT_R

AC_ONLY
SDATA_IN0

REF_FLT
VC_SCA
VREF_SCA

MU3
CX20468-31

ID0#

MBIAS/AVDD
ID1#
S_PDIF
EAPD
GPIO_4
PC_BEEP
GPIO_5
DSPKOUT

GND

MC24
1U
AMCVDD

AGND

XTLO
XTLI

R413
*220K

MR26
3K

MC21 10U

DIB_DATAN

BIT_CLK

10U

44

33
AVDD33

RCOSC1

AVDD44

23
VDD_CLK

VDD5
3

1K RC0603

9,14 SDOUT1
9,14 SYNC1
9,14 -CODEC_RST

MC20
150P

DIB_DATAN_A

MR27

MC30

0.1U

29

MIC
CDAUDR
CDGND
CDAUDL

32
31
30
27
28

LINEINL_PR
LINEINR_PR

AMPL
AMPR

HPSENSE 21

21
21

REF_FLT
VC_SCA
VREF_SCA

For Layout:

MIC_BIAS

34
46

Place CX132, CX133, CX135,


CX136 near SmartAMC
device

T3
GPIO_4

MR30

10K
MC33

MC38

MC36

MC40

0.1U

0.1U

0.1U

1U

SOFTEQSEN

48
XTLO

MR31

10K

AGND
AGND

27 EC_MUTELED
2
6
9
19
26

1
*SW1010C

T4
T2

38
37
36

24
25

SOFTEQSEN

T141
T142

39
40
42
43

47

D24

21

CDAUDR 28
CDGND
28
CDAUDL 28

MR15
33
RC0603

GND

XTLO_1
MC13
33P

22

PWRCLKP

22

DIB_DATAN

AGND35
AGND41

MC23
150P

15:5:15 to other signal


50
22

RC_OSC

15:20:15 to other signal 50


22

MC26

MIC_BIAS

35
41

GND

MR28
249K
RC0603

GNDC2
GND8
GNDC9
GNDC19
AVSS_CLK

For Layout:
Place these resistors close
to SmartAMC device.

VDDC18
VDDC10

18
10

GND

MY2
GND

AGND

24.576MHZ
XTL8X4_5

MC18
33P
2

GND

Ground Tie

BIT_CLK0

XTLI

MR7
0

For Layout:
Place crystal and associated
circuitry very near
SmartAMC Device.

C657
22P
GND

For EMI request


C

AGND

PC SPEAKER

AMCVDD
+3V
R11
2.2K
C673

Q33
PHI2N7002E
4

R5
1K

1U

CX20468-31:

ADD
MR8, R9025, D8
REMOVE
R9026, MR9, MR19

SPK

U33
TC7SH86FU

PCMSPK

ADD
R9026, MR9, MR19
REMOVE
MR8, R9025, D8

PC_BEEP
3

.1U_0603_25V

16,17

CX20468-21:
C6

R9
*4.7K

R6
100K

CX20468-31 without software EQ:


ADD
MR8, MR9
REMOVE
R9025, D8

AGND
1

R423
10K

AGND

PROJECT : KT2

Quanta Computer Inc.

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Size
C

Document Number

Date:

Wednesday, April 07, 2004

Rev
1A

20---AC97 CTRL_CONEXANT20468-31

Sheet

20

of

38

AUDIO AMPLIFIER

INTERNAL SPK

1
C678

2
C671

1
C661

+5VAMP
1
C667

R_SPK+
R_SPK-

L38
L39

BK1608HS241
BK1608HS241

INT_SPKR+ 32
INT_SPKR- 32

2
10U_1206_10V

C674
180P

AGND
20

AMPR

R412

1K

RIN-1 C668

.47U

R18

1K

LIN-1 C18

.47U

RRIN-2
RRIN-1
AGND

20

AMPL

C658

23
20
8

C659 1

2 .47U

C660 1

2 .47U

10
6
5

C663 2

1 4.7U/6.3V/CC0603

11

RLIN-1
RLIN-2

.047U

AGND

R410
R409
R411
R408

+5VAMP
R17
15K

*1K
1K
10K
*10K

2
3

VDD

ROUT+
ROUT-

PVDD1
PVDD2
RLINEIN
RHPIN
RIN+
LIN+
LHPIN
LLINEIN
BYPASS
GAIN0
GAIN1

AGND

PC-BEEP
SE/BTL
HP/LINE
SHUTDOWN
GND4
GND3
GND2
GND1
GND5
GND6
GND7
GND8
GND9
GND10
GND11
GND12
GND13

R_SPK+
R_SPK-

4
9

L_SPK+
L_SPK-

14

C665

15
17

AGND
L_SPK+
L_SPK.1U_0402

R414

22
2
D23

BK1608HS241
BK1608HS241

INT_SPKL+ 32
INT_SPKL- 32
C656
180P

AGND

100K HPSENSE

1
24
13
12
25
26
27
28
29
30
31
32
33

L36
L37

R426

10K

R415

10K

C655
180P

+5VAMP
AGND

+5VAMP

VOLMUTE# 27

CH501H-40

+5V

L35

R433
15K

BK2125HS220_0805

C645
4.7U/10V

+5VAMP

C653
22U_1206_16V

AGND

LOUT+
LOUT-

21
16

7
18

.047U

19

C666

C672
180P

U32

1
.01U/50V

2
.1U_0603_25V

AGND

R424
15K

2
.1U_0603_25V

R425

R404

R435

*0

R431

*0

R432

*0

AGND
TPA0212
PWP24

0212Gain Table
Av

0
0

15.6dB
21.6dB

27.6dB

4.1dB

+5V
AMCVDD

U30
GMT_G910T21U

Vin

800mA (30MIL)

+ C652
T10U/10V

C646
.01U/50V
1

C644
.47U

Vout

AGND

HEADPHONE OUT

D25

+
+

R_SPK+ C677

AGND

AGND

AGND

AGND

C685
0.1U
CN27
CN26

C651
4.7U/10V

MICROPHONE

+5V

*PACDN004

L_SPK+ C670

C642
.1U_0603_25V

Priority :
1. Port-replicator headphone is 1st priority
2. Notebook headphone is 2nd priority
3. Notebook internal speaker is 3rd priority
2004/03/20

1
0

6dB

0
1

SE/BTL

Gain1

AGND

GND

Gain0

AGND

100U/6.3V LSPK+ 30-0805R427

LSPK+_1

100U/6.3V RSPK+ 30-0805R428

RSPK+_1

1
2
6
3
4
5

20

MIC

MIC L40

FCM1608K221

1
2
6
3
4
5

MIC_IN
C680 220P_0603_50V
C679 220P_0603_50V

MIC-JACK-PINK

8
AGND

AGND

AGND

HP-JACK-GREEN
R429
1K

R430
1K

C675
180P

C676
180

HPSENSE

HPSENSE 20

AGND

AGND

PROJECT : KT2

Quanta Computer Inc.

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Size
C

Document Number

Date:

Wednesday, April 07, 2004

Rev
1A

21---JACK, AMP_TPA0312
Sheet
1

21

of

38

REV

Description

Date

00

Initial Release

February14,2002

01

27mmx27mm form factor.

July 5, 2002

02

6 pins J1 connector-T/R traces for specific uses-100V C902/C904

September 24, 2002

Vdd

REV:B MODIFY FOR USE


NEW MODEM MODULE

Revision History

MC978

0.1uF

MTP58

MTP59

DGND_LSD

MTP36

MTP37

MTP35

MTP38

MTP39

November 26, 2002

TAC1

25
29
06

Corrected error in Q904 PCB footprint.

January 3, 2003

07

Added DIB data transformer footprint, added MC966, deleted ring


impedance circuit. Added the letter "M" prefix to all reference
designators.
Changed
value for MC966 from 3.3nF to 10nF, 100V, +/-20%, Y5V. By
default, MC966 will be populated. Also, changed CX20493 revision from
11 to 21.

September 24, 2003

08

20

TAC1

MR904

1M TAC1/TIP

MBR904
MC904

0.033uF/100V

MC906
*470pF

MMBD3004S

NC2
NC3

RAC2

PADDLE

TAC2

19
18

Removed J1B. Change size for C978, C984, R902, R904, R906, R908,
R910 and R978. Changed BR904 and BR906 to different manufacture.

RAC1

NC1

RING_1

GND
MC908
*470pF

TRDC

November 06, 2003

TRDC

12

MBR906
MMBD3004S
TIP_2

AC

MTP34

MR906

6.8M
MTP40

MJ2
1
2

AGND_LSD

AGND_LSD
MU902

MTP41

MMZ1608D301B

05

8
22

0.033uF/100V

November 12, 2002

MC902

October 9, 2002

Change J1 & J1B. Change R938 size. Add TP60 to TP71.

1M RAC1/RING

AC

DVdd

24
add J1B - remove T903

04

MR902

KU10S31N

03

RAC1

MRV902

MFB902

RING_2

21

MFB904

*FI-S2P-HF(JAE)

TIP_1

MTP42

MMZ1608D301B
MC918

MTP33

2 MC966
0.01U

0.1uF
EIC
MTP28

MTP29

MC926

AC1

MTP30 1

PWRCLKP

PWRCLKP

1
2
3
4
5
6
7
8

1
2
3
4
5
6
7
8

20

MC928

2.2uF

0.1uF

VZ

17

EIO

EIO

MC922

10pF

MC924

10pF

DIB_P1

MR922

DIB_P2

27

MR924

DIB_N2

28

TXO

1 MT9224

BRIDGE_CC

MQ902
MMBTA42

16

EIF

14

TXO

13

TXF

MTP31 1

MTP67

MQ904
SB29003
1

MQ906
MMBTA42

MTP66

DC_GND
DGnd

MR928
27
B

MTP64
1

15

MTP49

MTP65

23

MR938
110

C944, C974, and C976


must be placed near
pins 3 (Vc) and 4
(VRef).

2
3
*MID82157(omit)
Depending of the design target and DIB length,
DIB components can be:
-C922/C924 10pF
-C922/C924 47pF (Validation in progress)

4
MTP62

DGND_LSD

Vref_LSD

20493-21

Vc_LSD

DIB_DATAN

DIB_N

MTP61

VRef

MTP73

20

MC910
0.047uF/100V

DIB_P

Vc

DIB_N1

MTP32

TXF
DIB_DATAN
MTP25

24
24

AGND_LSD

MTP60

DIB_DATAP

RING_1
TIP_1

MTP68
MR908
348K
R908 must be placed
near pin 10 (VZ).

AGnd

EIF
20

*HEADER8 (omit)

10

AGND_LSD

VZ

GND

59.0K

DIB_DATAP

1
2
3
4
5
6
7
8

MTP69

AVdd

MJ3
1
2
3
4
5
6
7
8

RING_1
TIP_1

1
MTP72

MTP71

MR954
1

MC930

C928, C930 must be


placed near pins 2
(AVdd) and 6
(AGnd).

RBias

PWR+

MTP24

*HEADER8

GPIO1
RBias

6
C970 must be
placed near pins 7
(PWR+) and 6
(AGnd).

MTP27

CLK

0.1uF

MBR908
BAV99DW

2
3 PCLK
MID82154

MTP23

A1
A2
AC2

C1
C2

1 MT9024 BR908_AC1
MC962
47pF

RXI-1

Vdd

MC970

PWRCLKN

MJ1

PWR+

MMZ1608D301B

MTP22

26

10pF

MFB906

BR908_CC

PWRCLKN

AGND_LSD

R910 must be placed


near pin 9 (RXI).

CLK

CLK2

MTP26

20

MTP70

MR910
237K

RXI

C926 must be placed


near pin 26 (CLK).

MR932
15K

C906 and C908 must be Y3 type


Capacitors for Nordic
Countries only

REV:C MODIFY

15nF

AGND_LSD
1

RXI

MTP52
C

MC958

EIC

11

AGND_LSD

AGND_LSD

MTP63

AGND_LSD

REV:B MODIFY
MC974
*0.001uF (omit)

C922, C924, C906, and C908, must be Y3 type Capacitors in order


to comply with Nordic Countries deviations of IEC60950 2nd and 3rd ed.
Y3 type capacitors must also be certified for a 2.5KV impulse test.
This must be checked in vendors' specifications (see AVL).

MC944

MC940

0.1uF

1uF

DEL L9 / L10 / RV1 / C458

MC976
.001uF

C940 is X5R ceramic.


AGND_LSD

Circuit traces for C922 and C924 should be less


than 2 inches.
A

PROJECT : KT2

Quanta Computer Inc.


Size
Document Number
Custom
22---MODEM
Date:
5

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Rev
1A

(DAA)

Wednesday, April 07, 2004

Sheet
1

22

of

38

8100CL(10/100M)

8110SB(1G)

DVDD33

3.3VD
26,41,56,71,84,94,107

3.3VD
26,41,56,71,84,94,107

AVDDL

3.3VA
3,7,20

2.5VA
3,7,20,16

DVDD

2.5VD
32,54,78,99

1.8VD
32,54,78,99,24,45,64,110,116,126

AVDD25

2.5VA
12

NC

AVDDH

NC

3.3VA
10,120

LANVCC
10K
24
Q3

24 LAN_SPEED_LED#

3VPCU

GND
U3

VSS
RSET
VDD18
CTRL18
LG2
HG
XTAL2
XTAL1
AVDDH
VSSPST
GND
LED0
VDD18
LED1
LED2
LED3
GND
EESK
VDD18
EEDI
EEDO
VDD33
EECS
LWAKE
AD0
AD1

24
24

TX0P
TX0N

24
24

TX1P
TX1N

12/11 FROM FAE for


8110SB
R23

TX0P
TX0N
AVDDL
GND
TX1P
TX1N
AVDDL
CTRL25
GND
AVDDH
*0
V_12P
GND

L2
PBY201209T-4A

T140
T139

C15

C14

C25

C24

AVDDL
GND
T136
T137
AVDDL

.1U/16V/0402

.1U/16V/0402

.1U/16V/0402

.1U/16V/0402

+3V

Q2
2SB1197K
1 CTRL25

C2
.1U/16V/0402

R29

INTA#

AVDDL
GND
GND
ISOLATEB
DVDD
INTA#
LANVCC
PCIRST#
PCLK_LAN
GNT2#
REQ2#
PCI_PME#
DVDD
AD31
AD30
GND
AD29
AD28
GND

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38

MDI0+
MDI0AVDDL
VSS
MDI1+
MDI1AVDDL
CTRL25
VSS
AVDDH
HSDAC+
HSDACVSS
MDI2+
MDI2AVDDL
VSS
MDI3+
MDI3AVDDL
VSSPST
GND
ISOLATEB
VDD18
INTAB
VDD33
RSTB
CLK
GNTB
REQB
PMEB
VDD18
AD31
AD30
GND
AD29
AD28
VSSPST

AD2
VSSPST
GND
VDD18
AD3
AD4
AD5
AD6
VDD33
AD7
CBE0B
VSSPST
AD8
AD9
M66EN
AD10
AD11
AD12
VDD33
AD13
AD14
VSSPST
GND
AD15
VDD18
CBE1B
PAR
SERRB
SMBDATA
GND
SMBCLK
VDD33
PERRB
STOPB
DEVSELB
TRDYB
VSSPST
CLKRUNB

AD2
GND
GND
DVDD
AD3
AD4
AD5
AD6
LANVCC
AD7
C/BE0#
GND
AD8
AD9
M66EN
AD10
AD11
AD12
LANVCC
AD13
AD14
GND
GND
AD15
DVDD
C/BE1#
PAR
SERR#

C/BE0#

R21

8,14,16,17,19

*15K

C/BE1#
PAR
SERR#

8,14,16,17,19
8,14,16,17,19
8,14,16,17,19

PERR#
STOP#
DEVSEL#
TRDY#

8,14,16,17,19
8,14,16,17,19
8,14,16,17,19
8,14,16,17,19

CLKRUN#

8,16,17,18,19

T135
LANVCC
PERR#
STOP#
DEVSEL#
TRDY#
GND

T5

R32
0

39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64

DVDD

102
101
100
99
98
97
96
95
94
93
92
91
90
89
88
87
86
85
84
83
82
81
80
79
78
77
76
75
74
73
72
71
70
69
68
67
66
65

AD27
AD26
LANVCC
AD25
AD24
C/BE3#
DVDD
AD16
AD23
GND
AD22
AD21
GND
GND
AD20
DVDD
AD19
LANVCC
AD18
AD17
AD16
C/BE2#
FRAME#
GND
IRDY#
DVDD

C26
.1U/16V/0402

C12
.1U/16V/0402

C40
.1U/16V/0402

C22
.1U/16V/0402

C13
.1U/16V/0402

C19
C37
0.1U

1K

15K

8,14,16,17,19 AD[0..31]

10U/10V/0805

1
C42

R30

6,8,13,14,16,17,18,19,27,28 PCIRST#
2
PCLK_LAN
8
GNT2#
8
REQ2#
8,14,16,17 PCI_PME#

LANVCC

2
22U

*0
GND

AD[0..31]

AD27
AD26
VDD33
AD25
AD24
CBE3B
VDD18
IDSEL
AD23
GND
AD22
AD21
VSSPST
GND
AD20
VDD18
AD19
VDD33
AD18
AD17
AD16
CBE2B
FRAMEB
GND
IRDYB
VDD18

C23

C27
.1U/16V/0402

C21

.1U/16V/0402

C11

.1U/16V/0402

C29

.1U/16V/0402

C36

.1U/16V/0402

C39

.1U/16V/0402

C20

.1U/16V/0402

10U/10V

10U/10V

C17

LANVCC

R4

AD0
AD1

5.6K

27P

DVDD

C5

GND
EESK
DVDD
EEDI/AUX
EEDO
LANVCC
EECS

T144
T143

R14

12/5 FROM FAE


for B TEST

8
7
6
5

VCC
NC
NC
GND

27P

Y2
25.0000MHz

RTL8110S(B)/8100C

C8

XTAL1
LANVCC

XTAL2

CS
SK
DI
DO

AT93C46-10SC-2.7

Q4
DTC144EU

U2
1
2
3
4

5
6

GND
GND
XTAL2
XTAL1
AVDDH
GND
GND

D4
D3

GND
RSET

D2
D1

SI3443DV

3VAUXON

27

2
1

R15
LANVCC
3.6K
EECS
EESK
EEDI/AUX
EEDO

LAN_LINK#

128
127
126
125
124
123
122
121
120
119
118
117
116
115
114
113
112
111
110
109
108
107
106
105
104
103

R28

PCLK_LAN

R31
*22
R22

8,14,16,17,19 C/BE3#
8,14,16,17,19 AD16

IRDY#
FRAME#
C/BE2#

PCLK_LAN-1

8,14,16,17,19
8,14,16,17,19
8,14,16,17,19

0
C28
*22P

AD[0..31]

V_12P

PROJECT : KT2

Quanta Computer Inc.

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Size
C

Document Number

Date:

Wednesday, April 07, 2004

Rev
1A

23---LAN (RTL8100CL)
Sheet
1

23

of

38

Close to Chip
23
C394
.1U/0402

C396
.1U/0402

LAN_LINK#

CN18

LANVCC

LAN_LINK#
470 LANVCC_R

R244

R246
49.9/F

R245
49.9/F

R252
49.9/F

10
9

X-TX0P
X-TX0N
X-TX1P
X-TX1N

R251
49.9/F

LANCT4
TX1N

TX1N

23

TX1P

23

TX0N

23

TX0P

23

1
2
3
4
5
6
7
8

LANCT5

TX1P
TX0N
TX0P

23 LAN_SPEED_LED#
LANVCC

LAN_SPEED_LED#

12
11

LANVCC_P
R253

470

G1

13

G2

14

C393 C392

FOX_JM36113-L1H7
C397 C395 JM36113-L1H-12P-V

0.1U

0.1U

0.1U

0.1U

U21
TX0P
TX0N

6
7
8

TX1P
TX1N
CT2

1
2
3

CT
TD+
TD-

CT
TX+
TX-

RD+
RDCT

RX+
RXCT

11
10
9

LANCT1
X-TX0P
X-TX0N

16
15
14

X-TX1P
X-TX1N
LANCT2

LANCT4

RJ11 CONNECTOR

LANCT5

TIP_1

C414
0.1U

CN2

ATPL-119

R250
75

R247
75

R248
75

TIP_1

22

RING_1

22

R249
75

10/100M

RING_1

2
C4
FOX=JM34613-L002
1000P/3KV-1808

C3
1000P/3KV-1808

SWAP

C415
1000P

PROJECT : KT2

Quanta Computer Inc.

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Size
C

Document Number

Date:

Wednesday, April 07, 2004

Rev
1A

24---LAN (RJ11 & RJ45)


Sheet
1

24

of

38

PROJECT : KT2

Quanta Computer Inc.

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Size
C

Document Number

Date:

Wednesday, April 07, 2004

Rev
1A

25---RESERVED
Sheet
1

25

of

38

PROJECT : KT2

Quanta Computer Inc.

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Size
C

Document Number

Date:

Wednesday, April 07, 2004

Rev
1A

26---RESERVED
Sheet
1

26

of

38

3VPCU

3VPCU
3VPCU

SWI#2

SW1010C
T130
9

SCI#

8
8

GATEA20
RCIN#

32
32
32
32
32
32
32
32

MX0
MX1
MX2
MX3
MX4
MX5
MX6
MX7

32
32
32
32
32
32
32
32
32
32
32
32
32
32
32
32

MY0
MY1
MY2
MY3
MY4
MY5
MY6
MY7
MY8
MY9
MY10
MY11
MY12
MY13
MY14
MY15

R422

3VPCU

10K

SCI#

31
5
6

RCIN#
MX0
MX1
MX2
MX3
MX4
MX5
MX6
MX7

71
72
73
74
77
78
79
80

MY0
MY1
MY2
MY3
MY4
MY5
MY6
MY7
MY8
MY9
MY10
MY11
MY12
MY13
MY14
MY15

49
50
51
52
53
56
57
58
59
60
61
64
65
66
67
68

TINT-

105
106
107
108
109

T153
T154
T147
T152
32
32
32
32
32
32
32
32

R406

20M

R405

120K

PSCLK1
PSDAT1
KB_CLK
KB_DAT
TPCLK
TPDATA
CAPSLED
NUMLED

PSCLK1
PSDAT1
KB_CLK
KB_DAT
TPCLK
TPDATA
NUMLED

110
111
114
115
116
117
118
119

591_32KX1

158

591_32KX2

160

R403

GA20/IOPB5
KBRST/IOPB6
KBSIN0
KBSIN1
KBSIN2
KBSIN3
KBSIN4
KBSIN5
KBSIN6
KBSIN7

TINT
TCK
TDO
TDI
TMS

Key matrix scan

IOPC0
IOPC1/SCL2
IOPC2/SDA2
IOPC3/TA1
IOPC4/TB1/EXWINT22
IOPC5/TA2
IOPC6/TB2/EXWINT23
IOPC7/CLKOUT

PORT-C

IOPD0/RI1/EXWINT20
IOPD1/RI2/EXWINT21
IOPD2/EXWINT24

PORT-D-1

PORT-E
JTAG debug port

PSCLK1/IOPF0
PSDAT1/IOPF1
PSCLK2/IOPF2
PSDAT2/IOPF3
PSCLK3/IOPF4
PSDAT3/IOPF5
PSCLK4/IOPF6
PSDAT4/IOPF7

IOPE4/SWIN
IOPE5/EXWINT40
IOPE6/LPCPD/EXWIN45
IOPE7/CLKRUN/EXWINT46
IOPH0/A0/ENV0
IOPH1/A1/ENV1
IOPH2/A2/BADDR0
IOPH3/A3/BADDR1
IOPH4/A4/TRIS
IOPH5/A5/SHBM
IOPH6/A6
IOPH7/A7

PORT-H
PS2 interface

IOPI0/D0
IOPI1/D1
IOPI2/D2
IOPI3/D3
IOPI4/D4
IOPI5/D5
IOPI6/D6
IOPI7/D7

PORT-I

32KX1/32KCLKOUT
32KX2

IOPJ0/RD
IOPJ1/WR0

PORT-J-1
591_32KX3

SELIO

32.768KHZ
C649
20P

32
36,37
8,9

C650
5.6P
32
18

TP_SW#
RF_SW#
21

DNBSWON#

6,9,33

MY16

62
63
69
70
75
76

TP_SW#
RF_SW#

VOLMUTE#

35,37
S5_ON
35,37
SUSON
35,38
MAINON
9
SWI#
D22 33,35,38
VRON
1
9
SW1010C

PWROK

MY16
HWPG
SUSC#

BATLOW#

DNBSWON#591
BATLOW#
PWROK_1
CS#

148
149
155
156
3
4
27
28
173
174
47

T133

IOPJ2/BST0
IOPJ3/BST1
IOPJ4/BST2
IOPJ5/PFS
IOPJ6/PLI
IOPJ7/BRKL_RSTO
IOPM0/D8
IOPM1/D9
IOPM2/D10
IOPM3/D11
IOPM4/D12
IOPM5/D13
IOPM6/D14
IOPM7/D15

PORTJ-2

IOPD4
IOPD5
IOPD6
IOPD7

PORT-D-2

PORT-K
PORT-M

IOPK0/A8
IOPK1/A9
IOPK2/A10
IOPK3/A11
IOPK4/A12
IOPK5/A13/BE0
IOPK6/A14/BE1
IOPK7/A15/CBRD

PORT-L

SEL0
SEL1
CLK

Should have a 0.1uF capacitor close to every


GND-VCC pair + one larger cap on the
supply.

161
IOPB0/URXD
IOPB1/UTXD
IOPB2/USCLK
IOPB3/SCL1
IOPB4/SDA1
IOPB7/RING/PFAIL

PORT-B

KBSOUT0
KBSOUT1
KBSOUT2
KBSOUT3
KBSOUT4
KBSOUT5
KBSOUT6
KBSOUT7
KBSOUT8
KBSOUT9
KBSOUT10
KBSOUT11
KBSOUT12
KBSOUT13
KBSOUT14
KBSOUT15

VBAT
IOPA0/PWM0
IOPA1/PWM1
IOPA2/PWM2
IOPA3/PWM3
IOPA4/PWM4
IOPA5/PWM5
IOPA6/PWM6
IOPA7/PWM7

PWM or
PORT-A

IOPL0/A16
IOPL1/A17
IOPL2/A18
IOPL3/A19
IOPL4/WR1

81
82
83
84
87
88
89
90
93
94

TEMP_MBAT
MBATV
SYS_I
SWID1
SWID2

2
4
6
8

AGND

*10K

R417

MUSIC#

10K

R386

SHBM

10K

R416

168
169
170
171
172
175
176
1

34

VADJ

15

5VPCU

T148

32
33
36
37
38
39
40
43
153
154
162
163
164
165

CC-SET

5VPCU
T124

CELL-SET 34
VFAN_1
15
3VAUXON 23

U29
T132
T128
T129
T127

MBCLK
MBDATA

8
7
6
5

VCC
NC
SCL
SDA

A0
A1
A3
GND

1
2
3
4

24LC08

MBATLED1 32

C631
0.1U

4.7K
4.7K

C640
*27PF

EC_MUTELED 20
THERMTRIP_SIO 3

26
29
30

MUSIC#

2
44
24
25

NBSWON#
ACIN
SUSB#

124
125
126
127
128
131
132
133

ENV0
ENV1
BADDR0
BADDR1
TRIS
SHBM
A6
A7

138
139
140
141
144
145
146
147

D0
D1
D2
D3
D4
D5
D6
D7

T125
T131
T126

DVD#

NBSWON# 32

Pin 24 if no pull-high,
will can't reboot.

ACIN
34
SUSB#
8,9
MXLID#_ICH4 15

BIOS ROM
U27

150
151

RD#
WR#

152

SELIO#

41
42
54
55

D/C#

143
142
135
134
130
129
121
120

A8
A9
A10
A11
A12
A13
A14
A15

113
112
104
103
48

A16
A17
A18
A19
WR1#

T138
TP_LED
CPU66M#
D/C#
BL/C#

T151
T134

32
2
34
34

Pin 103 internal is


"A19",Can't use to
GPIO

PC97551

ENV0
ENV1
BADDR0
BADDR1
TRIS
SHBM
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17

20
19
18
17
16
15
14
13
3
2
31
1
12
4
5
11
10
6

CS#
RD#
WR#

30
32
7

ENV0
ENV1
BADDR0
BADDR1
TRIS
SHBM
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17

12
11
10
9
8
7
6
5
27
26
23
25
4
28
29
3
2
30

CS#
RD#
WR#

22
24
31

A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17
CE#
OE#
WE#
39VF040

D0
D1
D2
D3
D4
D5
D6
D7
A18

21
22
23
25
26
27
28
29

D0
D1
D2
D3
D4
D5
D6
D7

A18
B

VCC

GND

C51
.1U/16V/0402

13
14
15
17
18
19
20
21

D0
D1
D2
D3
D4
D5
D6
D7

A18

U28
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17

D0
D1
D2
D3
D4
D5
D6
D7

VCC

CE#
OE#
WE#

GND

VPP

3VPCU

24

32

3VPCU
A

16

*PLCC32

MBCLK
MBDATA

3VPCU

3VPCU

+3V

C636
*27PF

RSMRST_# 9
FANSIG
15
RF_OFF# 14

FANSIG
RF_OFF#

8P4R-10K

R400
R401

R390
4.7K

SCROLED 32

IRCLK
IRDATA

5VPCU

R389
4.7K
IRDATA
IRCLK

MBATLED0 32
PWR_LED 32
MBCLK
3,34
MBDATA 3,34
PCIRST# 6,8,13,14,16,17,18,19,23,28

MBCLK
MBDATA

C623
.1U/16V/0402

PSCLK1
PSDAT1
KB_CLK
KB_DAT

BADDR1

I/O Address
BADDR1-0
Data
Index
2E
2F
0 0
0 1
4F
4E
1 0
(HCFGBAH, HCFGBAL) (HCFGBAH, HCFGBAL)+1
1 1
Reserved

T149
T150

RN64
1
3
5
7

R418

TEMP_MBAT 34
MBATV
34
AD_AIR
34
SYS_I
34

99
100
101
102

NC1
NC2
NC3
NC4
NC5
NC6
NC7
NC8
NC9
NC10
11
12
20
21
85
86
91
92
97
98

96

GND1
GND2
GND3
GND4
GND5
GND6
GND7

TPCLK
TPDATA

17
35
46
122
159
167
137

4.7K
4.7K

R419

*10K

T146
T145

+5V

R421
R420

10K

DA0
DA1
DA2
DA3

DA output

ENV1
BADDR0

C624
C654
C643
C664
.1U/16V/0402 .1U/16V/0402 .1U/16V/0402 .1U/16V/0402

SHBM=1: Enable shared memory with host BIOS

AD0
AD1
AD2
AD3
IOPE0AD4
IOPE1/AD5
IOPE2/AD6
IOPE3/AD7
DP/AD8
DN/AD9

Host interface

IOPD3/ECSCI

VCCRTC

RESERCE FOR 97551

AD Input

Y7
B

95

34
45
123
136
157
166

SERIRQ
LDRQ
LFRAME
LAD0
LAD1
LAD2
LAD3
LCLK
LREST
SMI
PWUREQ

*0

7
8
9
15
14
13
10
18
19
22
23

R402

SERIRQ
DRQ0#
LFRAME#/FWH4
LAD0/FWH0
LAD1/FWH1
LAD2/FWH2
LAD3/FWH3
PCLK_591
591RESET#
KBSMI#591

8,14,16,17,18 SERIRQ
9,18 LFRAME#/FWH4
9,18 LAD0/FWH0
9,18 LAD1/FWH1
9,18 LAD2/FWH2
9,18 LAD3/FWH3
2
PCLK_591
D19
2
KBSMI#

U31

DRQ0#

VCC1
VCC2
VCC3
VCC4
VCC5
VCC6

*0

VDD

9,18 LPC_DRQ0#

R385

LPC_DRQ0#

10U/10V/V

16

LDRQ#(pin 8) internal is no use

C639
C669
.1U/16V/0402
.1U/16V/0402

C662
C622
.1U/16V/0402

AVCC

KBC-NS87551L

VCCRTC

3VPCU

+3V

R370

470K

591RESET#

2
D17

1 PRSET
RB501H

SW2
1
2

3
4

RN63
1
3
5
7

2
4
6
8

NBSWON#
DVD#
RF_SW#
TP_SW#

C606
.1U/16V/0402

PROJECT : KT2

Quanta Computer Inc.

PRSET

8P4R-10K

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Size
C

Document Number

Date:

Wednesday, April 07, 2004

Rev
1A

27---KBC PCU-97551
Sheet
1

27

of

38

20

CDAUDL

20

CDAUDR

20

CDGND

CDAUDL

C7

1U/16V

CDINL2

R265

6.8K

CDAUD_L

CDAUDR

C10

1U/16V

CDINR2

R267

6.8K

CDAUD_R

CDGND

C9

1U/16V

CDGND1

R266

3.4K

CD_GND

CD-ROM
CDVCC

CN13

R12
10K

AGND

R13
20K

AGND

CDAUD_L
CD_GND
PCIRST#
SDD7
SDD6
SDD5
SDD4
SDD3
SDD2
SDD1
SDD0

R10
20K

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49

AGND
SDIOW#
SIORDY
IRQ15
SDA1
SDA0
SDCS1#

SDA[0..2]

SDD[0..15]

SDA[0..2]

CDVCC

SDD[0..15]
R260 470 CSEL

8
8
8
8
8
8
8
8

SDIOW#
SDDREQ
SIORDY
SDIOR#
IRQ15
SDDACK#
SDCS1#
SDCS3#

SDIOW#
SDDREQ
SIORDY
SDIOR#
IRQ15
SDDACK#
SDCS1#
SDCS3#

T69

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50

CDAUD_R

60MIL

L17
PBY201209T-4A

+5V

SDD8
SDD9
SDD10
SDD11
SDD12
SDD13
SDD14
SDD15
SDDREQ
SDIOR#

C364
C365
C367
C366
C363
.1U/16V/0402
.1U/16V/0402
.1U/16V/0402
.1U/16V/0402 10U/10V/V

+5V

SDDACK#
IOCS16#
DIAG#
SDA2
SDCS3#

T68
T67

CDVCC

CD.46

T71

CD.50

T70

SDIOR#

R262

*4.7K

SDIOW#

R261

*4.7K

SDDREQ

R263

*4.7K

SDD7

R264

*10K

51
52
SUY-80185A-050G1T-AP
CDR-C12468-25001-50P

HDD CONNECTOR
CN10

PDA[0..2]

PDD[0..15]

8
PDIOW#
8
PDDREQ
8
PIORDY
8
PDIOR#
8
IRQ14
8
PDDACK#
8
PDCS1#
8
PDCS3#
6,8,13,14,16,17,18,19,23,27 PCIRST#

PCIRST#
PDD7
PDD6
PDD5
PDD4
PDD3
PDD2
PDD1
PDD0

PDA[0..2]
PDD[0..15]
PDIOW#
PDDREQ
PIORDY
PDIOR#
IRQ14
PDDACK#
PDCS1#
PDCS3#
PCIRST#
32

IDELED#
HDD_VDD

44
42
40
38
36
34
32
30
28
26
24
22
20
18
16
14
12
10
8
6
4
2

PDDREQ
PDIOW#
PDIOR#
PIORDY
PDDACK#
IRQ14
PDA1
PDA0
PDCS1#
IDELED#

C250
*100P

43
41
39
37
35
33
31
29
27
25
23
21
19
17
15
13
11
9
7
5
3
1

PDD8
PDD9
PDD10
PDD11
PDD12
PDD13
PDD14
PDD15
PDIOR#
PDIOW#

R201
R203

*4.7K
*4.7K

PDDREQ
PDD7

R206
R217

*4.7K
*10K

+5V
B

PCSEL

R199

PDIAG
PDA2
PDCS3#

R190

470
*10K

60MIL

HDD_VDD L14
PBY201209T-4A
+5V

SUYIN-HDD
C234

.1U/16V/0402

C240
1000P

C241

C235
10U/10V/V

.1U/16V/0402

PROJECT : KT2

Quanta Computer Inc.

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Size
C

Document Number

Date:

Wednesday, April 07, 2004

Rev
1A

28---HDD, ODD
Sheet
1

28

of

38

PROJECT : KT2

Quanta Computer Inc.

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Size
C

Document Number

Date:

Wednesday, April 07, 2004

Rev
1A

29---RESERVED
Sheet
1

29

of

38

HOLE18
*SCREW

HOLE21
*SCREW

HOLE15
*SCREW

HOLE6
*SCREW

HOLE14
*SCREW

HOLE8
*SCREW

HOLE11
*SCREW

HOLE19
*SCREW

HOLE24
*SCREW

HOLE16
*SCREW

HOLE9
*SCREW

HOLE10
*SCREW

HOLE7
*SCREW

HOLE4
*SCREW

HOLE3
*SCREW

HOLE22
*SCREW

HOLE2
*SCREW

HOLE13
*SCREW

HOLE5
*SCREW

HOLE20
*SCREW

HOLE12
*SCREW

HOLE23
*SCREW

+3V

2.5VSUS
0.1U

EC3
EC2

0.1U

HOLE17
*SCREW

P24
*EMI

P11
*EMI

P57
*EMI

P62
*EMI

P50
*EMI

P38
*EMI

P43

P40
*EMI

P30
*EMI

P48
*EMI_6011

P34
*EMI

P22
*EMI_6011
P19

P32
P21
P46
*EMI_6011
*EMI_6011
*EMI_6011
*EMI_6011

P29
*EMI

P28
*EMI

P37

P63
*EMI

P26
*EMI

P64
*EMI_6011

1
P61
*EMI

P54
*EMI

P59
*EMI

P36
*EMI

P4
*EMI

P13
*EMI

P12
*EMI

P6
*EMI

*EMI

P47
*EMI

P52
*EMI

P27
*EMI

P53
*EMI

P20
*EMI

P14
*EMI

P18
*EMI

P51
*EMI

P10
*EMI

P16
*EMI

P41
*EMI

P25
*EMI

P44
*EMI

P39

P31
*EMI

P2 *EMI

*EMI_2016 P3

P9

*EMI
1

*EMI

P17
P15
*EMI_6011
*EMI_6011
*EMI_6011
1

P7
*EMI

P8
*EMI

1
P45
*EMI

1
P5
*EMI

1
P55
*EMI

1
P42
*EMI

1
P60
*EMI

P65
P35
P23
*EMI_6011
*EMI_6011

P58
*EMI_6011

*EMI

P33
*EMI

P56
*EMI

P49
*EMI

AGND_1394 AGND

PROJECT : KT2

Quanta Computer Inc.

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Size
C

Document Number

Date:

Wednesday, April 07, 2004

Rev
1A

30---RESERVED
Sheet
1

30

of

38

PROJECT : KT2

Quanta Computer Inc.

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Size
C

Document Number

Date:

Wednesday, April 07, 2004

Rev
1A

31---PORT REPLICATOR
Sheet
1

31

of

38

TOUCH PAD CONNECTOR

USB PORT
12 MIL

02
02

1
1

-PWRLED
28
C254
*10P

-BATLED1
-BATLED0

C471
*10P

PWR_LED

PWR_LED

C402

.1U/16V/0402

9
9
5VPCU

-PWRLED

TP_SW#

C405
C404

C403
470P

R255
6.8K

GND
AAT4610A

*100U/10V

22U/CC1206

Q16
DTC144EUA
1

USB1PWR

OUT

ON# SET

R258
0
CML3
DLW21SN261SQ2L
1
2
4
3

27

IDELED#

-BATLED0

R166
R170
R165
R167

IN

R186

-TP_LED
5VTP
TPD_1
TPD_2

USBP0USBP0+

USBP0-1
USBP0+1

USB 1
CN19

12
R259
0

C213
0.1U

TP_LED

TP_LED

27

1
2
3
4

C452
0.1U

Q18
DTC144EUA

L15
L27

Q17
DTC144EUA

1
33
2
3
4
5
6
5VPCU
7
0
200/F 8
9
1K
10
680
11 13
12 14
ACES-87153-1201

GND_1

5VTP
TPDATA
TPCLK

27
27

MBATLED0

MBATLED0

U20
TP_SW#

TP_SW#

27

5VSUS
CN8

27

-BATLED1

C252
.1U/16V/0402

+5V

Q15
DTC144EUA
1

L16
BK1608HS800-T

MBATLED1

MBATLED1

27

PORT1-VCC
PORT1-DPORT1-D+
PORT1-GND
GND

UP CONNECT
5VSUS

C399
.1U/16V/0402
F3

1
2
3
4
5
6

PS2_MC
L23

9
9

7
8

PS2_VCC
PS2_MC
PS2_KC

PS2_KD
L22

BK1608HS241

FOX_MH21761-WRF6

C398
C400
470P

R254
6.8K

GND

AAT4610A
R256
0
CML2
DLW21SN261SQ2L
1
2
4
3

USBP1USBP1+

C401
*100U/10V

12

22U/CC1206
USB_2522A-8G1T

USB 2

USBP1-1
USBP1+1

PS2_MD

PSDAT1

BK1608HS241
2

R257
0

C411 C412 C408 C406 C407

7/17 EMI

.01U

470P 470P 470P 470P

+5V

PSCLK1

BK1608HS241

27

PS2_MD
PS2_KD

PS2_KC
L24
BK1608HS241

KB_DAT

KB_CLK

27

PS2_VCC

2
1
FBMJ2125HM330 L25

27

27

1 Z1504

2
MINI_PS

ON# SET

CN21

+5V

L21

PS/2 PORT

5
6
7
8

USB0PWR

OUT

IN

GND_2

U19
5

11

10

-TP_LED

GND
PORT0-VCC
PORT0-DPORT0-D+
PORT0-GND

C410
4.7U/10V-0805

5VSUS
U18
4
C322
.1U/16V/0402

KEYBOARD CONNECTOR

IN

OUT

ON# SET

USB2PWR

C337
C339

C329
470P

R216
6.8K

GND

22U/CC1206

*100U/10V

USB 3

C413
0.1U
2

C409
0.1U

PS2_VCC

AAT4610A
+3V
CA4
1
3
5
7
CA3
7
5
3
1
CA2

7
5
3
1

220PX4
2 MY9
4 MY8
6 MY7
8 MY6

CA5
1
3
5
7

220PX4
8 MY10
6 MY14
4 MY11
2 MY2

1
3
5
7

220PX4
8 MY0
6 MY12
4 MY13
2 MY15

1
3
5
7

CA7

CA6

220PX4
2 MY5
4 MY3
6 MY1
8 MY4

CN11
MX0
MX1
MX2
MX3
MX4
MX5
MX6
MX7

RP10
10
9
8
7
6

MY6
MY7
MY8
MY9

220PX4
2 MX7
4 MX1
6 MX4
8 MX2

MY5
MY3
MY1
MY4

1
2
3
4
5
10KX8

MY0
MY1
MY2
MY3
MY4
MY5
MY6
MY7
MY8
MY9
MY10
MY11
MY12
MY13
MY14
MY15
NC

RP11

220PX4
2 MX5
4 MX6
6 MX0
8 MX3

10
9
8
7
6

MY0
MY12
MY13
MY15

MY10
MY2
MY11
MY14

1
2
3
4
5
10KX8

C379 220P
MY16

MY16

R232 10K

27

MY16

20
23
25
21
24
18
19
22

MX0
MX1
MX2
MX3
MX4
MX5
MX6
MX7

27
27
27
27
27
27
27
27

4
15
5
14
16
13
12
11
10
9
8
6
3
2
7
1
17

MY0
MY1
MY2
MY3
MY4
MY5
MY6
MY7
MY8
MY9
MY10
MY11
MY12
MY13
MY14
MY15

27
27
27
27
27
27
27
27
27
27
27
27
27
27
27
27

9
9

24

R268
L26 0
DLW21SN261SQ2L
1
2
4
3

USBP2USBP2+

CN12

1
R269
0

90ohm@100Mhz

UP CONNECT

LED BOARD CON


CN14
-PWRLED R219
27

NBSWON#

MX0
MX1
MX2
MX3
MX4

VOL UP
MX5

2
SW4

IT-1188E

R221
R223
R225

-CAPSLED
-NUMLED
-SCROLED

KEYBOARD_CONN.

VOLUME CONTROL

1
3
4

MY2

1
3
4

MY2

1
2
6
3 GND 5
4 GND
UB11193-C1301-TR

USBP2_CONUSBP2_CON+

1
3
470 5
470 7
470 9
11
13
15
17
19

1
3
5
7
9
11
13
15
17
19

2
4
6
8
10
12
14
16
18
20

2
4
6
8
10
12
14
16
18
20

INT_SPKL+ 21
INT_SPKL- 21
+5V
INT_SPKR- 21
INT_SPKR+ 21
5VPCU

LID#

VOL DN

CAPSLED

15

C376
*0.1U

CAPSLED
2

27

LID#

MY16

127183

MX6

2
SW5

IT-1188E

Q19
DTC144EUA
1
+5V

MUTE
2
SW3

IT-1188E

27

1
3
4

R434

MY2

NUMLED

NUMLED
2

LED2
2

MX7

-CAPSLED

MUTE_LED 20

1.2K/F

Q20
DTC144EUA

LED(0603package)-AMBER
1

SCROLED

SCROLED
2

27

-NUMLED

MX0
MY2

RF

MY7
MY16

QS1

MX1

MX2

MX3

MX4

TouchPad
QS2

QS3

QS4

MX5

MX6

VOL UP

VOL DN

Q21
DTC144EUA

MX7
MUTE

QS5
4

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

PROJECT : KT2

-SCROLED

Quanta Computer Inc.


Size
C

Document Number

Date:

Wednesday, April 07, 2004

Rev
1A

32----TP, KB, PS2, LED/B, KEY, USB


Sheet
1

32

of

38

VIN

VIN_1907
1907VCC
PC101
.22/10V/0603

PC29

30

5
6
7
8

5
6
7
8

3
2
1

3
2
1
1907DL

56K/F/0603
P331

270P/50V
PC107
P332

39
12

B0
B1
B2

GND
PGND

SUS

1907AGND

TON

P335

40

OA+
OA-

TIME

FB
CC

CSP

*10K

IR7832

IR7832

19

PR99

3.01K/F

*IR7832

DDO

41

NEG

PC111

100P/50V

CM-1
CM+1
PC103
1000P/50V

18

200
200

S1

S0

Output

OPEN VCC

GND

0.748V

B2

B1

B0

Output

GND

GND
REF

GND
REF

1.708V
1.372V

REF
OPEN

1907AGND
*SHORT

PR88
*0

1907REF

1907VCC

PR86
0

PR84
0

1907REF

VCC

OPEN OPEN 1.036V


VCC
0.700V
VCC

REF

VCC

PR85
*0

1907VCC

PR75
*0

1907B1

1907B2

1P

PR108

D5
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1

VCC

1.212V

1907AGND

1907VCC

PC5

CMCM+

SUSPEND MODE (SUS=HIGH)


S2

PC6

SP-CAP H:2.8mm

VCC_BOOT

1907AGND 1907AGND

PC9

PC104
470P/50V/0603

14

PR98
100K/F

1907AGND

1P

2K/F

PR102
PR103

PR100
1.24K/F

PC96
100P/50V

2P

PR107

1907_OA-

P334

J2

2P

PD3

1907FB

MAX1907

PR92
34.8K/F/0603

0.62UH/30A

PC3

1907_OA+
1907FB

15

ILIM
POS

27

PQ14
4

17
16

REF

GND

1907DD

PR71

SHDN

13

P333

1907VCC

1.5K

CSN
8

PQ13

DPSLP

.22/10V/0603
PC91

PQ15

11
28

VCC_CORE
PC4

CM+

29

5
6
7
8

DL

1
20

T72

VDD

10
VCC

S2
S1
S0

35

PR11
0.001/2W/5%
2
2
1

PL2

1907REF

PR90
232K/F

VCC_CORE 4

1907LX

32

1907REF

25A
IR7821

IR7821
LX

3
2
1

DPRSLPVR
STP_CPU#

2.7K

1.356V

PQ17

330U/2.5V/ESR-9/SP

1907AGND

PR69

PR70

330U/2.5V/ESR-9/SP

1907AGND

1
2
3

VRON-1

DPRSLPVR

D5
D4
D3
D2
D1
D0

1907DH

33

*330U/2.5V/ESR-9/SP

VRON

.22U/25V
PQ16

DH

330U/2.5V/ESR-9/SP

27,35,38

PR19

CLKEN

330U/2.5V/ESR-9/SP

STP_CPU#

PC81
1907_BST

CM-

DPRSLPVR

2,9

31

EC31QS04

1907B0
1907B1
1907B2

BST

PR68

5
6
7
8

1907AGND

IMVPOK

34

3
2
1

T66
1907VCC

6
5
4

CH501H-40
V+

5
6
7
8

1907S2

PD14

3
2
1

0.748V for suspend mode


(Deeper sleep)

CPU_VID5
CPU_VID4
CPU_VID3
CPU_VID2
CPU_VID1
CPU_VID0

PC33

10U/25V

4
4
4
4
4
4

21
22
23
24
25
26

PL6
FBMJ3216HS800

10U/25V

CLK_EN#

38

PC22

10U/25V

37

PC25

10U/25V

IMVPOK

PC31

10U/25V

PC19

.1U/50V

4ms delay to ICH4-M.

SYSPOK

2200P/50V

PU5
36

PC30

VIN
.1U/50V
VIN_1907

PWROK

PC84

PC89
1U/10V/X7R

PR95

1907AGND

6,9,27

15,34,35,36,37

5VPCU

10-0402

PR67
0

1907REF

D4
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1

D3
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

D2
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

D1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

D0
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

D5
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

Output
1.196V
1.180V
1.164V
1.148V
1.132V
1.116V
1.100V
1.084V
1.068V
1.052V
1.036V
1.020V
1.004V
0.988V
0.972V
0.956V
0.940V
0.924V
0.908V
0.892V
0.876V
0.860V
0.844V
0.828V
0.812V
0.796V
0.780V
0.764V
0.748V
0.732V
0.716V
0.700V

D4
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1

D3
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

D2
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

D1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

D0
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

Output
1.708V
1.692V
1.676V
1.660V
1.644V
1.628V
1.612V
1.596V
1.580V
1.564V
1.548V
1.532V
1.516V
1.500V
1.484V
1.468V
1.452V
1.436V
1.420V
1.404V
1.388V
1.372V
1.356V
1.340V
1.324V
1.308V
1.292V
1.276V
1.260V
1.244V
1.228V
1.212V

PR72
*0

1907B0
PR80
*0

PR73
*0

Title
<Title>
Size
Document Number
Custom<Doc>
Date:
5

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Wednesday, April 07, 2004

Rev
<RevCode>
Sheet
1

33

of

38

PD10

PD5

2
3

BATTERY
CHARGER

ADAPTER 18.5V 65W 3.51A

*SBM1040
VA

*SBM1040

VIN

VAD

PQ19
D

PQ32

2P

2P

1
2
3
4

1P

1P

8
7
6
5

PR46
200K

0.04/1W/3720

PR55

8
7
6
5

PR27

PQ30

PL8

2
3VPCU

P344

2N7002E

1 P3410

PC44
1U/10V/X7R

CSIP

16

15
VCTL

17
BATT

CELLS

18
CSIN

19
CSIP

20
PGND

22

23

24

25

26

21
DLO

DLOV

LX

DHI

BST

27

REF4.096
PR32

PU3

PR29
100K

PR33
2.61K/F
3

27

VA

2
ICTL

2N7002E
1

ACOK

REFIN
13

12

ICHG

ACIN
11

P3416

SW2020C

10

GND

CCV

CCI

CCS

CLS

DCIN

REF

2
PD7

Battery Low 8V

3VPCU
PQ27

MAX1772EEI
GND

BL/C#

BL/C#

PQ31
2N7002E

33.2K/F

INP

PR42
12.4K

CSSN

SYS_I

PR51
100K

10K/F
P3411

27
LMV331

PR48

PR30
100K

CSSP

2N7002E
P343

1772_5.4V

CSSN

28

PQ33

CSSP

PC40
.1U/50V

CSIN
27

LDO

P3411
P342

PU4

D/C#

3VPCU
PC49

VA

ACOK

.1U/50V

2
B

10K/F

10K

AD_AIR

PR44
12.4K/F
15K

PR40

3VPCU

22K/F

I source_Max=Vcls/0.8

.01U/50V

PR34

.01U/50V

REF4.096

ACIN

1U/10V/X7R

27

CELL-SET

1K
3VPCU
.1U/50V

DTA124EUA
PR41

PC41

REF4.096

PC43

PC48
1U/10V/X7R

PR43

PC45

PQ29

75K/F

CELL-SET 27

1772_5.4V
PR38

1772_5.4V

PC46

PR36

27

.1U/50V

PR35
4.7

SW2020C

SW2020C

PR50
47K

D/C#

47.5K/F

PC32

.1U/50V

1
PR49

PC34
100U/25V

PC42
.1U/50V

PC47

PD6

PR39 33
P349

PR47

PC51

14

5VPCU

2
1772_5.4V

1
1

PD8

3VPCU

VIN

FBMJ3216HS800
PC52

PQ34

2
5VPCU

.1U/50V

.1U/50V

10U/25V

PC58

.1U/50V
10U/25V

PD9
CH501H-40

1P

PR37
4.7
6

PC57

10K
P346

100K

1P

0.05/1W/3720

G1

P345

ACOK

4.7

PC35
MBAT+

2P

SI4814DY

PL9

PC53

PR53

PR52

S2

PC50
.1U/50V

PR57

4.7

2P

10U/25V

PR56

2
15uH/4.4A/CDRH104R

PC54
*.22U/25V

PC36

PQ28

10U/25V

PR45
100K

P347

G2

D1

DTA124EUA
3P348

S1D2

10K

PL11
FBMJ3216HS800

1
2
3
4

1
2
3
4

PC56
.1U/50V

PC55
.1U/50V

4
5

8
7
6
5

AO4411

POWER_JACK

AO4411

PR54

2
1
3

PQ35
AO4411

PL10
FBMJ3216HS800
J1-1

12/15

CN20

9,23,27,36

LOW = LDO/2 = 3 CELL

PC39
.1U/50V
P3412

HI = LDO =
PR31

4 CELL

CC-SET = 1.05V/A
CC-SET

CC-SET 27

10K

Charge Current=VICTL*1.24

P3414
PR60
P3415

PC60
10K/F
.01U/50V

CN22

7
6

MBAT+

1
2
3
4
5

P3417
P3418

TEMP_MBAT 27
PC59

PR61

.01U/50V

100K/F

PR58
100

PR59
100

Main battery conn.


20175A-05G1-5P-D

MBATV
MBDATA

MBCLK

MBDATA

MBATV

27

PC61

3,27

.01U/50V

PR62
PD11
ZD5.6V

14K/F

PD12
ZD5.6V
2

MBCLK

3,27

PL7
FBMJ3216HS480NT(6A)

Title
<Title>
Size
Document Number
Custom<Doc>
Date:
5

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Wednesday, April 07, 2004

Rev
<RevCode>
Sheet
1

34

of

38

VIN
+1.2V

SMDDR_VTERM

+10V

+5V

+1.5V

+3V
VIN
VCCP

PR9
22B

PR117
1M

PR5
22B

PR113
22B

PR3
22B

PR28
22B

PR26
1M

MAINON_G

MAIND

PR21
1M

36,37

MAIND

P355

P354

PR20
22B
P356

VRON_G

MAINON 2
2

PC38
2200P/50V

2
PQ2
2N7002E

PQ26
2N7002E

PQ25
2N7002E

27,33,38

PR22
1M

VRON

PQ49
2N7002E
1

PQ4
2N7002E

PQ8
2N7002E

2
PR116
1M
PQ51
DTC144EUA

MAINON

27,38

P353

P352

P351

PQ21
2N7002E
1

PQ20
DTC144EUA

2.5VSUS

PR115
1M
B

3VSUS

PR4
22B

PR23
22B

PR24
22B

PR25
1M

SUSON_G

+10V

PR6
22B

PR2
1M

S5_ON_G

36

S5_OND

S5_OND

P3510

SUSD

36

P359

P358

1.5V_S5

PR8
1M

SUSD
P357

VIN

+10V

5VSUS

VIN

SUSON 2

PQ22
2N7002E

PQ24
2N7002E

27,37

S5_ON

S5_ON

PR7
1M

PQ7
DTC144EUA

2
PC2
2200P/50V
PQ6
2N7002E

PQ5
2N7002E
1

PQ23
2N7002E

PQ3
2N7002E
1

PC37
2200P/50V

PR114
1M
PQ50
DTC144EUA

SUSON

27,37

Title
<Title>
Size
Document Number
Custom<Doc>
Date:
5

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Wednesday, April 07, 2004

Rev
<RevCode>
Sheet
1

35

of

38

VIN_1999
PL12
PR17

PR110

1999_RST#

P363

PC63

PC62
3VPCU

PQ40

PQ18

35

1.5A

S5_OND 3

S5_OND

S0-S5

AO6402

10U/25V

.1U/50V

PC66
1
2
5
6

PC115

390K

1
PR82
100K

.1U/50V

PC64
10U/25V

PC88

FBMJ3216HS800

.1U/50V

4.7

2200P/50V

VIN
2

3V_S5

3VPCU

1999_DH3
PL3

ILIM5

LDO5

SKIP-

VCC

TON

DH5

BST5

LX5

1999_DL5

35,37

PQ38

18
PC113

1U/10V/X7R

6
3

3,14,15,20,35

PC76
.1U/10V

MAIND

MAIND

S0-S3

1A

SI4800DY

17

5VPCU

1999_DH5

16

PL4

PC85

PC15

PQ36

PR18
B

2
.1U/50V
PD4

51

SI4810DY

3
2
1

CHP202U

5V_AL
1

10,14,15,17,18,21,27,28,32,35,38
+

5VPCU

ALWAYS

5VPCU

6.7A
PQ44
AO4812
B

+5V

REF2V_1999

PC17

330U/6.3V/ESR-25

*330U/6.3V/ESR-25

1999_BST5

.1U/50V

5.8UH/6A/CDRH104R

PC87

2.2U/25V

P362

9,27,32,33,34,37,38

PC78

1999_LX5

15

MAX1999

SUSD

+5V

SUSD

35

5VSUS

PC112
4.7U/10V

PC93

S0-S1

PR65

1
2

1
PC108 .1U/50V
2

19

3VSUS

PC28

DL5

PC65

35

PRO-

20

PC27

14

V+

PC26

10U/25V

13

OUT5

FB5

21 5VPCU

10U/25V

11
12

PR77

REF

1999_BST3

22 3VPCU

.1U/50V

ILIM5

10

OUT3

PC77
.1U/10V

2200P/50V

100K
1 P361

GND

FB3

SUSD

3VSUS

2.5A

23

+
9
PR76
2

SHDN-

VIN_1999
1999_DL3

24

SUSD

1U/10V
1

+3V

7
PC86
2

DL3

S0-S1

AO4812

REF2V_1999

LDO3

ILIM3

26
25

PQ41

REF2V_1999

ON5

27

ALWAYS

5A

DH3

ON3

28

ILIM3

LX3

3
2
1

PGOOD

5
6
7
8

5V_AL

BST3

5
6
7
8

.1U/50V

1
2

27,37 HWPG

1
4.7U/10V

N.C

8,9,10

9,23,27,34

3VPCU

+
*220U/4V/ESR-15

3V_S5

PC23

330U/6.3V/ESR-25

PC24

.1U/50V

PU6

100K

PC109

2.2U/25V

5.8UH/6A/CDRH104R
PC114
2

PC21

3VPCU

+3V
PR81

PC20

1999_LX3

3V_AL

3V_S5
PC68
.1U/10V

SI4834

5VSUS

.1U/10V

10,32,35

PC90

PR66
60.4K/F

.1U/10V

3.5A

60.4K/F

35,37

ILIM3

MAIND

S0-S3

MAIND

3.1A
ILIM5

Only for power

ALWAYS
PD2
PR63

82.5K/F

47.5K/F

5VPCU

PC110
1999_DL3

PR64

P364

.01U/50V

+10V

1
1
1

PC10

PC8
A

+10V

35

.1U/50V

2.2U/25V

CHN217
A

Title
<Title>
Size
Document Number
Custom<Doc>
Date:
5

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Wednesday, April 07, 2004

Rev
<RevCode>
Sheet
1

36

of

38

PC100

.1U/50V

VIN_1845_1.5V
PC73

2
3
14
7

1
2
3

1845FB2
0 1845_PWG

27,35 S5_ON
27,35 SUSON

PR89

0 P371

11

PR87

0 P372

12

22

OUT2

CS1

FB2

OUT1
FB1

PGOOD
ON1

MAX1845

TON

ON2
REF

1845ILIM1

ILIM2

SKIP

ILIM1
UVP

Rd

13

OVP

1845ILIM2

1845DH1

1.5V_S5

GND

S0-S5

3
2
1
.1U/50V
27

1845LX1

24

1845DL1

PL5

PC79

PC16

PC18
1.5V_S5

2.5UH/7.5A/CDRH104R

28
1
2

PC83

PQ37
4

1845FB1

PD13

5
1845REF2V

IRF7832TR

10

10,35,38
C

DL1

1845BST1

26

EC31QS04

PR91

LX1

CS2

25

PC106

PR109

5
6
7
8

SI4810DY

DH2

4.7U/10V

15

8.5A

PC97

21

8
7
6
5
4

PC67
10U/25V

220U/2.5V/ESR-18

18
16

PQ39

DH1

10U/25V

220U/2.5V/ESR-18

1845DH2

PC82
.1U/50V

Vout=(1+Rc/Rd)*1

470U/4V/ESR-15/NEO

Rc

*220U/4V/ESR-15

PC80

BST1

LX2

10U/25V

.1U/50V

PC99
+

2.2U/25V

DL2

PC74

2.2U/25V

PC98
PR79

17

PC75

5
6
7
8

1845LX2

10-0402
VDD

FBMJ3216HS800

*5.23K/F/0603
35,36

3
2
1

20
2.5UH/7.5A/CDRH104R

BST2

VCC

19

V+

1
2
3

1845BST2

2.5VSUS

IRF7821
4

8
7
6
5

PU7

PL14
6,7,12,30,35,38

5VPCU

PR93
1845VCC

.1U/50V

PQ42
10U/25V

.1U/50V

PL13
VIN

1U/10V/X7R
VIN_1845_1.5V

PC92
2.5VSUS

PC69

2200P/50V

.1U/50V

PQ43
SI4800DY

2200P/50V

5.8A
S0-S3

PC71

PD15
CHP202U

MAIND

PQ45
SI4800DY

Rc

6
PC95
.22/10V/0603
23

Vout=(1+Rc/Rd)*1

+1.5V

3
2
1

PC102

5
6
7
8

PC70

VIN_1845_1.5V
PC72

1845VCC

PR78
0

Rd

PR101
0

27,36 HWPG

+1.5V
PC94
.1U/10V

PR106

1845VCC
*10K/F
+1.5V
B

4,5,6,7,9,10,13,35
B

1.5A

Fix 2.5V Output


1845REF2V

S0-S1
PR83
60.4K/F

PR94
60.4K/F
1845ILIM2

PR74
47.5K/F

1845ILIM1
PR96
14K/F

Title
<Title>
Size
Document Number
Custom <Doc>
Date:
5

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Wednesday, April 07, 2004

Rev
<RevCode>
Sheet
1

37

of

38

+5V

1845REF2V
1845REF2V

1.5V_S5

1845REF2V
1.5V_S5

PR10
PR104
20K/F

23.2K/F

4
SI4800DY
100

5VPCU

3
2
1

P383

VCCP

P384
PC13

47P/50V

PC7
PR105

2
3,4,5,7,8,10,35

PR97

4
SI4800DY

PU2B
LMV358/TSSOP8

+1.2V

P388
PC11

47P/50V

PC116

PR13

+1.2V

10K/F

1.05V
2.5A

27,35

MAINON

PQ47
2N7002E
1

DTC144EUA

PQ46
P387

100K

PQ12
1

P386

220U/4V/ESR-40

10K/F

220U/4V/ESR-40

PQ10
2N7002E

27,33,35 VRON

VCCP
1

PR12

*2200P

P381

PU2A
LMV358/TSSOP8

32.4K/F

2200P

100K

3
2
1

PR16

PQ9

5,7,35

PR15

PR14

PC105

PC12

5VPCU

P382

5
6
7
8

5
6
7
8

PC14
.1U/50V
8

20K/F

S0-S1

2A

PQ48
1

DTC144EUA

PQ11
2N7002E

SMDDR_VREF

1.25V (0.2A)
2

S0-S3

PC118

6,12 SMDDR_VREF
1

220U/4V/ESR-40

1.25V (2.4A)
S0-S1

SMDDR_VTERM
PR112
27,35

MAINON

MAINON

1
2
3
4

P3811
100K
PC120

PC119

8
7
6
5

GND
VTT
SD
PVIN
VSENSE AVIN
VREF VDDQ

.1U/16V

.1U/16V

PU8

SMDDR_VTERM
2.5VSUS

11,35

PC117

LP2996

2.5VSUS 6,7,12,30,35,37
10U/6.3V

Footprint/PSOP8-8P
A

Title
<Title>
Size
Document Number
Custom<Doc>
Date:
5

PDF created with FinePrint pdfFactory trial version www.pdffactory.com

Wednesday, April 07, 2004

Rev
<RevCode>
Sheet
1

38

of

38

You might also like