Professional Documents
Culture Documents
mediante una secuencia de paso a paso hacia la derecha y hacia la izquierda con
una frecuencia aproximadamente de 1s.
Para esta prctica se tuvo que ocupar un integrado uln2803 el cual ayuda a
controlar el motor a pasos. Este integrado tiene que ser alimentado con un rango
de voltaje de 6-9 V, de lo contrario el motor a pasos podra quemarse.
Cabe mencionar que el programa en VHDL nos ayudara a poder manipular los
pasos de dicho motor segn su estado anterior y la direccin a la que se debe de
mover, para las salidas se tuvo que utilizar los pines de la spartan y estos llevarlo a
la protoboard para de esta manera poder manipularlos con el integrado.
En el programa VHDL se tienen como entradas:
entity Motor_a_pasos is
Port ( StepDrive : out std_logic_vector(3 downto 0);
Direction : in std_logic;
StepEnable : in std_logic;
CLK : in std_logic);
end Motor_a_pasos;
La variable direction como su nombre lo indica es para indicar la direccin a la que
va a girar el motor, el StepEnable nicamente es un switch que activa o no el
movimiento del motor , el reloj que es esencial para llevar un conteo de pasos y
finalmente las salidas de los 4 pines.
Para esto se requieren las siguientes variables temporales:
architecture Behavioral of Motor_a_pasos is
signal state : std_logic_vector(1 downto 0);
signal StepCounter : std_logic_vector(31 downto 0);
constant
StepLockOut
:
std_logic_vector(31
"00000010011000100101101000000000";
begin
downto
0)
:=
"1100";
"0110";
"0011";
"1001";