Professional Documents
Culture Documents
10/24/07
2:49 PM
Page Cv1
electronic design
The Authority
on Emerging
Technologies for
Design Solutions
Stopping 65-nm leakage power Multifunction power ICs Printed electronics Embedded in ED
11.05.07
www.electronicdesign.com
p|
18
TECHVIEW
Teslas Tests
Confirm
Roadsters
245-Mile
Range
p|
28
Leapfrog
40
Technology Report
p|
p|
49
Engineering Essentials
61
Design View
p|
67
p|
Tune in to ENGINEERINGTV.COM
November 5, 2007 Vol. 55, No. 25
p|
33
Cv2
10/22/07
3:58 PM
Page Cv2
NEED
SM
MORE
CARTOO
NS?
Visit omegamation.com
to order OMEGAMATIONTM
New Horizons in Automation
Its Loaded with
Rube Goldberg
cartoons and over
250 pages of
automation
HOT OF
products!
F
THE PR
ESS!
Starts at
Starts at
Starts at
599
*PATENTED
Starts at
68
60
77
E47 Series
E57 Premium
Series
OMSL Series
G3 Series
Web-Enabled Graphic
Operator Interface Terminals
Compact
Prewired
Limit Switches
MADE
MADE
IN
IN
Starts at
USA
Starts at
295
41.75
SCE Series
Enclosures
Starts at
Starts at
40.50
33
Pkg of 50
C0050E2A
iTCX
Thermocouple
Virtual Chart Recorder
Starts at
MADE
MADE
IN
IN
DRF Series
Starts at
USA
SSRINT660 Series
Starts at
351
MVX9000
Series
Starts at
360
XBUT4 RD XBUT4 GY
XBUT4 WH XBUT4 BL
XBUT4 BLK
124
1195
MADE
MADE
IN
IN
Sensorless
Vector
Adjustable
Frequency
AC Drives
MADE
MADE
IN
IN
USA
Rotary ActuatorsPneumatic,
Flange Mountable
DRF DirectConnectTM Series
USA
ADAM-5000
MADE
MADE
IN
IN
TX83A
Series
Starts at
$
125
USA
PATENTED
Starts at
$
Thermocouple-to-Wireless
Connector/Converter
The Smart Connector
iDR
Series
MADE
MADE
IN
IN
USA
2-Wire, Process-Loop
Indicator in NEMA 4X Housing
Starts at
$
550
MADE
MADE
IN
IN
USA
LCKD Series
Subminiature Industrial Compression
Load Cells Very Low Profile
Shop Online at
1-888-55 -66342
1-888-55- OMEGA
USA
240
*UWTC Series
EXCLUSIVE & PATENTED
Starts at
$
325
TM
omegamation.com
sm
pg01
10/22/07
3:59 PM
Page 1
READER SERVICE 86
pg 2
10/23/07
7:13 PM
Page 2
2200
000 V D
Driver
i e ICs
IC
C
Part
Package
IRS2001PBF
R 2 1 B
DIP8
D
IP88
IRS2001SPBF
R 2 1
SOIC8
S
C B
Bulkk
IRS2001STRPBF
R 2 1
B
IRS2003PBF
R 2 3 B
IRS2003SPBF
R 2 3
IRS2003STRPBF
RS2 3S
B
SOIC8
S
C TTape
pe
& Reel
e
DIP88
D
SOIC8
S
OIC8
C B
Bulkk
SOIC8
S
OIC8
C TTapee
& Reel
e
IRS2004PBF
R 2 4 B
DIP8
D
IP88
IRS2004SPBF
R 2 4
SOIC8
S
OIC8
C B
Bulk
lkk
SOIC8
S
OIC8
C TTapee
&R
Reell
IRS2004STRPBF
R 2 4
B
UVLO
Compliance
Typical Current
Input Logic
Additional
Features
UVLO,
U
VLO,
O V
VCC
C
RoHS
o &P
PBFF 2290
90 mA
A / 600
0 m
mA
HIN,
N LIN
I
Independent
n e n e
high & low
w
side
d drivee
UVLO,
U
VLO
O V
VCC
C
RoHS
R
oHS & P
PBFF 2290
90 mA
A / 600
0 m
mA
A
HIN,
H
IN LIN/N
N
Deadtime
D
e dtit e
UVLO,
U
O V
VCC
C
RoHS
o &P
PBFF 2290 mA
A / 600
0 m
mA
IN, SD/N
/
SD Input
p &
deadtime
t e
DirectFET
D
irectFET
r c F M
MOSFETs
O
OSFETs
S E s
Part
Package Polarity
RDS(on)
ID @ TC
VBRDSS RDS(on)
4.5 V Max. 10 V Max. = 25 C
(V)
(m)
(m)
(A)
Qg
Typ
Qgd
Power Dissipation
Rth(JC)
Typ
@ TC = 25 C (W)
IRF6635
R 6 DirectFET
i c E
30
24
2.4
11.88
1800
47 0
47.0
17.00
17
11.44
899
IRF6613
R 6 DirectFET
i c E
40
41
4.1
33.44
1500
42 0
42.0
12.66
12
11.44
899
IRF6648
R 6 DirectFET
i c E
60
7.00
866
3
36.0
14.00
1.44
899
IRF6646
R 6 DirectFET
i c E
80
9.55
688
3
36.0
12.00
1.44
899
IRs
R rugged
g d 200
gg
2 0 V driver
r e ICs
I and
n benchmark
ecm k
DirectFET
c E M
MOSFETs
OSFETs
S T deliver
d
r more
o e protection
r e o and
ad
higher
e power
p w density
d n ty inn a compact
c m a footprint
footprint.
f t i
IRS200x
R 2 0 Features
aue
Hi
High-side
h- idd ccircuitry
i c itt powered
p w r d bbyy
bbootstrap
o s a ppower
w r supply
u l
Undervoltage
n e o a lockout
c o protection
o c o
33.33 V,
V 5 VV, and
a d 155 V input
p t logic
g compatible
p
e
Cross
r s conduction
o d t n prevention
p v t n logic
og c
(for
o hhalf
half-bridge
bridge
g ddrivers)
v s
Shutdown
h d w input
i
available
a a b on IRS2004(S)PBF
S 0 4
F
500 V/ns
/ dV/dt
V/ t immunity
m u ty oon oating
a ng Vs
V pin
p
DirectFET
e F T Features
F a r s
11.4
4 CC/W
W junction
u c too case
a e thermal
em
resistance
s t c (R
( th(J-C)
) enables
n b s highly
g y
(
effective
fff tit e ttop-side
o side cooling
o li
Less
s tthan 11 CC/W
W Rtth(junction-pcb)
in halfl
h(j n ti n b))
thee footprint
o p n of
o aD
D-pak
pak
p k
Over
v 80%
% lower
w ddie-free
e ppackage
c g
resistance
s t c (DFPR)
( F ) than D-pak
p k
0.7
0 7 mm pprol
le compared
m a d ttoo 22.39
39
3 mm
mm ffor D
D-pak
- k
DirectFET
Di
i tFET
F iis a registered
i t ed trademark
tr d m k off International
I t n tio l RRecti
ttierr CCorporation
p ati
for
o more
o e information
o ma o call
a 1.800.981.8699
8 09
6 9 or
o visit
v us
u at http://www.irf.com
THE POWER MANAGEMENT LEADER
READER SERVICE 98
10022_200VHVIC_EDsup.indd 1
pg03
10/23/07
12:56 PM
Page 3
IN1
1
IN2
2
IN3
3
IN4
4
IN5
5
IN6
6
IN7
7
IN8
8
AVDD
Eight
Linear
Phase
FIR
Digital
Filters
DVDD
IOVDD
SPI
and
FrameSync
Interface
DRDY/FSYNC
SCLK
DOUT
DIN
FORMAT
CLK
Control
Logic
SYNC
PWDN
MODE
ADS1278
AGND
Applications
Vibration/modal analysis
Multi-channel data acquisition
Medical monitoring
Acoustics/dynamic strain gauges
Data
Con
vert
ADS1
er
278
HTQFP-64
(10 x 10mm)
Features
AC performance
62kHz bandwidth with at passband
THD: 108dB
DC accuracy
Offset drift : 0.8V/C
Gain drift : 1.3ppm/C
Selectable operating modes
High speed: 128kSPS, 106dB SNR
High resolution: 52kSPS, 111dB SNR
Low power: 52kSPS, 31mW/ch
Low speed: 10kSPS, 7mW/ch
DGND
The 4-channel ADS1274 and 8-channel ADS1278 $3 ADCs from Texas Instruments combine outstanding DC accuracy
and AC performance with cost-effective integration to provide a 24-bit, simultaneous sampling measurement system
for demanding signal acquisition applications. Additionally, 16-bit versions (ADS1174 and ADS1178) are available in
pin-compatible packages, providing designers with a complete family of simultaneous sampling solutions.
Input
Channels
SNR
(dB)
INL
(%)
Price (1k)*
ADS1278
24-bit
111dB
0.0003
$23.95
ADS1274
24-bit
111dB
0.0003
$13.95
ADS1178
16-bit
97dB
0.0008
$15.95
ADS1174
16-bit
4
97dB
* Suggested resale price in U.S. dollars in quantities of 1,000.
0.0008
$9.95
Device
High-Performance Analog >> Your Way, Technology for Innovators and the red/black banner are trademarks of Texas Instruments. 1943A0 2007 TI
pg04
10/22/07
3:59 PM
Page 4
10
Mouser
Newark
Allied
Jameco
Atmel Semiconductor
Avago Technologies
Cypress Semiconductor
Diodes, Inc.
Fairchild Semiconductor
Freescale Semiconductor
Intersil
Lattice Semiconductor
Lite-On Semiconductor
NEC Corporation
Sharp Microelectronics
ST Microelectronics
Texas Instruments
Altera
Analog Devices
Avago Technologies
Cypress Semiconductor
Fairchild Semiconductor
Freescale Semiconductor
Integrated Devices
Intel Corporation
Intersil
Lattice Semiconductor
Maxim
National Semiconductor
ST Microelectronics
Texas Instruments
Analog Devices
Atmel Semiconductor
Avago Technologies
Freescale Semiconductor
Infineon Technologies
Integrated Devices
Intel Corporation
Intersil
Lattice Semiconductor
Maxim
National Semiconductor
NXP (formerly Philips)
ST Microelectronics
Texas Instruments
Altera
Analog Devices
Atmel Semiconductor
Avago Technologies
Cypress Semiconductor
Diodes, Inc.
Fairchild Semiconductor
Freescale Semiconductor
Infineon Technologies
Integrated Devices
Intel Corporation
Intersil
Lattice Semiconductor
Linear Technology
Lite-On Semiconductor
Maxim
Micron Technology
Microsemi
National Semiconductor
NEC Corporation
NXP (formerly Philips)
Renesas Technology
Sharp Microelectronics
ST Microelectronics
Texas Instruments
Toshiba
15
20
Free shipping
on these and
79 other brands.
Call for details.
25
www.Jameco.com
Or call 800-831-4242 anytime
Jameco Electronics.
*According to their web sites on July 23, 2007. Trademarks are the property of their respective owners.
p05,07
10/23/07
5:25 PM
Page 5
Reducing
capacitance
Don't-care optimization
Path balancing
Factorization
Technology decomposition/mapping
Bus encoding
Re-timing
Scaling supply
voltage
The Authority on
Emerging Technologies
for Design Solutions
Clock gating
Pre-computation
Transistor/interconnect sizing
T
it
www.electronicdesign.com
d i
T
F
C O V E R S T O R Y / E N G I N E E R I N G F E A T U R E David Maliniak
28: L E A P F R O G :
F I R S T L O O K Louis E. Frenzel
61: D E S I G N
First slave
address: N
Regs
LDO1
LDO2 I/O
LDO5
VREF
C
W 20
EDITORIAL MISSION:
To provide the most current, accurate, and in-depth technical coverage of the key emerging technologies that engineers need to design tomorrows products today.
ELECTRONIC DESIGN (ISSN 0013-4872) is published twice monthly except three issues in March, June, September and October by Penton Media Inc., 9800 Metcalf Ave., Overland Park, KS 66212-2216. Paid rates for a one-year
subscription are as follows: $110 U.S., $180 Canada, $205 International. Periodicals postage paid at Shawnee Mission, KS, and additional mailing offices. Editorial and advertising addresses: ELECTRONIC DESIGN, 45 Eisenhower
Dr., Paramus, NJ 07652. Telephone (201) 843-6511. Facsimile (201) 845-2484. Printed in U.S.A. Title registered in U.S. Patent Office. Copyright 2007 by Penton Media Inc. All rights reserved. The contents of this publication may
not be reproduced in whole or in part without the consent of the copyright owner. POSTMASTER: Send change of address to: Penton Media Inc., PO Box 2095, Skokie, IL 60076-7995. For paid subscription requests, please contact:
Penton Media Inc., PO Box 2135, Skokie, IL 60076-7835. Canadian GST# R126431964, Canadian Sales Agreement No. 400226880.
pg06
9/14/07
3:20 PM
Page 6
ELECTRONIC COMPONENTS
p05,07
10/23/07
5:26 PM
Page 7
Vol. 55 No. 25
18:
T H E I N D U S T R Y Richard Gawel
Teslas Tests Confirm
Roadsters 245-Mile Range
20:
25:
E D A David Maliniak
DFT Tool Eliminates Need
For Gate-Level Scan
26:
D I G I T A L Daniel Harris
Wireless Technologies Work
Together To Cheat Death
22:
C O M M U N I C A T I O N S Louis E. Frenzel
Ethernet Transceiver First To Include
IEEE-1588 Precision Time Protocol
I D E A S
11.05.07
F O R
D E S I G N
64:
67:
67:
C
15:
16:
E D I T O R I A L Joe Desposito
Engineering Bridges Isnt Just Civil Anymore
P E A S E P O R R I D G E Bob Pease
Whats All This Stability Stuff, Anyhow?
E M B E D D E D
I N
SiliconSystems Inc.
D E S I G N
F E A T U R E S
63: C O M P O N E N T V I E W
Circuit Protection
S P O N S O R E D
P O I N T O F V I E W Gary Drossel,
E L E C T R O N I C
P R O D U C T
17:
72: A D I N D E X
E D I T O R I A L
JESSE H. NEAL
EDITORIAL
AWARD WINNER
Permission is granted to users registered with the Copyright Clearance Center Inc. (CCC) to photocopy any article, with the exception of those for which separate copyright ownership is indicated on the first page of the article, provided
that a base fee of $2 per copy of the article plus $1.00 per page is paid directly to the CCC, 222 Rosewood Drive, Danvers, MA 01923 (Code No. 0013-4872/94 $2.00 + $1.00). Copying done for other than personal or internal reference use without the express permission of Penton Media, Inc. is prohibited. Requests for special permission or bulk orders should be addressed to the editor. To purchase copies on microfilm, please contact National Archive Publishing
Company (NAPC) at 732-302-6500 or 800-420-NAPC (6272) x6578 for further information.
p08
10/23/07
5:24 PM
Page 8
whats happening @
electronicdesign.com
KEEPING THE EE COMMUNITY CONNECTED
ROBOT GRIPPERS
15%
Video games
High-definition TVs
Cell phones
Other
10%
3%
28%
48%
11.05.07 ELECTRONIC DESIGN
pg09
10/22/07
4:00 PM
Page 9
(opt.)
(opt.)
p10
10/23/07
5:29 PM
Page 10
11.05.07
Keep it
Simple.
EDITORIAL TEAM
GROUP PUBLISHER:
BILL BAUMANN
201.845.2403
EDITOR-IN-CHIEF:
JOE DESPOSITO
201.845.2418
bbaumann@penton.com
mdavid@penton.com
jdesposito@penton.com
MANAGING EDITOR:
RICHARD GAWEL
201.845.2421 rgawel@penton.com
ART DIRECTOR:
DIMITRIOS BASTAS
201.845.2457 dbastas@penton.com
TECHNOLOGY EDITORS
ANALOG /POWER: DON TUITE 650.367.6268 dtuite@penton.com
COMMUNICATIONS /TEST: LOUIS E. FRENZEL 512.892.4329 lfrenzel@penton.com
DIGITAL TECHNOLOGY: DANIEL HARRIS 408.298.4534 dharris@penton.com
ELECTRONIC DESIGN AUTOMATION: DAVID MALINIAK 201.845.2434 dmaliniak@penton.com
EMBEDDED /SYSTEMS /SOFTWARE: WILLIAM WONG 201.845.2462 bwong@penton.com
POWER /COMPONENTS /INTERCONNECTS
/PACKAGING & OPTOELECTRONICS: MAT DIRJISH 718.793.5501 mdirjish@penton.com
NI CompactDAQ
USB Data Acquisition
CONTRIBUTING EDITORS
COLUMNIST: ROBERT A. PEASE rap@galaxy.nsc.com
CONTRIBUTING EDITOR: RON SCHNEIDERMAN 732.748.0589 RonScribe@aol.com
TECHNICAL EDITOR: SAM DAVIS 818.348.3982 samdavis2@earthlink.net
CONTRIBUTING EDITOR: DORIS KILBANE 330.483.0300 dek10@verizon.net
TECHNICAL EDITOR: ROGER ALLAN 732.603.8478 rsallan@optonline.net
CONTRIBUTING EDITOR: JOHN EDWARDS 480.854.0011 jedwards@john-edwards.com
New NI LabVIEW
data-logging software
included
Compact 25 by 9 by 9 cm
form factor
EDITORIAL PRODUCTION
ART DEPARTMENT
MANUFACTURING GROUP
PRODUCTION MANAGER: LOUIS VACCA 201.845.2456 lvacca@penton.com
DIGITAL PRODUCTION: HENRY LOPEZ
LIST RENTALS
WALTER KARL INC. 845.732.7027 rosalie.garcia@walterkarl.infousa.com
EDITORIAL OFFICES
HEADQUARTERS
45 EISENHOWER DR., SUITE 550, PARAMUS, NJ 07652
T | 201.843.6511 F | 201.845.2484
10
10/23/07
12:57 PM
Page 11
3.00
2.50
Output Power (W)
Features
Maximum output power of 2.7 W
Up to 1.5-W constant output
power capability over entire
Li-Ion battery range
Integrated Class-D and boost
converter eliminate unwanted
beat frequencies
High efciency (85%) for longer
battery life
Wide supply voltage (1.8-5.5 V)
for direct battery connect
2.00
TPA2013D1 Constant Power Mode (RLoad = 8)
1.50
1.00
Standard Class-D Amplifier (RLoad = 8)
0.50
0.00
2.3 V
3.6 V
4.8 V
Lithium-Ion Battery
er
ow
io P iers
Aud mplif
A
D1
3
201
TPA
2.3 mm
pg11
2.3 mm
The TPA2013D1 from Texas Instruments is a 2.7-W, lter-free Class-D amplier with integrated boost converter. It can generate
both high and constant output power from a wide-input supply voltage and features high efciency for longer battery life.
The TPA2013D1 is the smallest single-chip, integrated Class-D solution, making it ideal for the demands of portable applications.
Device
Output
Power (W)
Power
Supply (V)
(min) (max)
Half Power
THD+N
at 1kHz (%)
PSRR
(dB)
Load
Impedance
() (min)
Package(s)
Price
(1k)*
2.7
1.8
5.5
0.2
95
QFN, WCSP
1.45
TPA2012D2
2.1
2.5
5.5
0.2
75
QFN, WCSP
0.95
TPA203xD1
2.75
2.5
5.5
0.2
75
WCSP
0.60
TPA2010D1
2.5
2.5
5.5
0.2
75
WCSP
0.55
TPA2006D1
1.45
2.5
5.5
0.2
75
QFN
0.49
TPA2005D1
1.4
2.5
5.5
0.2
75
QFN, BGA
0.49
TPA2013D1
p12
10/23/07
5:32 PM
Page 12
11.05.07
SALES TEAM
ELECTRONIC DESIGN GROUP
GROUP PUBLISHER:
BILL BAUMANN
T | 201.845.2403 F | 201.845.2484
bbaumann@penton.com
Minisens
FHS Current
transducer
ADVERTISING REPRESENTATIVES
NORTHWEST/NORTHERN CALIFORNIA/WESTERN CANADA
JAMIE ALLEN T | 415.608.1959 F | 201.845.2484 jallen@penton.com
NORTHERN CALIFORNIA
DAVID W. CHEW T | 650.726.2128 F | 650.618.1846 dchew@penton.com
SOUTH
BILL YARBOROUGH T | 713.636.3809 F | 713.380.5318 byarborough@penton.com
MIDWEST/SOUTHERN CALIFORNIA
PAUL MILNAMOW T | 847.376.6542 F | 847.299.3018 pmilnamow@penton.com
NORTHEAST/EASTERN CANADA
RIC WASLEY T | 508.877.6571 F | 508.879.5215 rwasley@penton.com
Non-contact, no
insertion losses
Isolation provider
Attractive price
Flexible design to
measure 2-70 ARMS
+5V power supply
Access to voltage
reference
Ratiometric or fixed
gain and offset
PLEASE FAX INSERTION ORDERS TO: JANET CONNORS T | 201.845.2413 F | 201.845.2484 jconnors@penton.com
LIST RENTALS: WALTER KARL INC. T | 845.732.7027 rosalie.garcia@walterkarl.infousa.com
REPRINTS & PDFS: PENTON REPRINTS T | 888.858.8851 www.pentonreprints.com
CIRCULATION: CUSTOMER SERVICE T | 866.505.7173 F | 847.763.9673 electronicdesign@halldata.com
INTERNATIONAL SALES
EUROPE: ALASTAIR SWIFT T | 44(0) 1727 765542 F | 44(0) 1727 752408
JAPAN: HIROKAZU MORITA T | +81 3 3261 4591 F | +81 3 3261 6126
KOREA: YOUNG SANG JO T | 82 27 397 840 F | 82 27 323 662
TAIWAN: CHARLES LIU T | 886 2-2727 7799 F | 886 2 2728-3686
www.lem.com
At the heart of power electronics
CORPORATE HEADQUARTERS
249 WEST 17TH STREET
NEW YORK, NY 10011
212.204.4200
12
pg13
10/23/07
12:59 PM
Page 13
Applications
Industrial control
Closed-loop servo control
PC peripherals
Internal
Output Buffer
DAC8560
Interface
4-20mA
Transmitter
4-20mA
Output
16-Bit
DAC
Features
2.5V internal reference; 2ppm/C
stability
INL: 4LSB, 16-bit monotonic
Ultra-low glitch energy: 0.15nV-s
Power operation: 510A at 2.7V
Single supply: +2.7V to +5.5V
Package: MSOP-8
The new DAC8560 is a high-performance, easy-to-use DAC featuring a 2.5V, 2ppm/C internal reference with 0.02% initial
accuracy. It offers breakthrough performance by keeping output transients under 1mV and <100ns during code transitions.
For the best in performance, price and package, sample the newest valueDAC from TI.
Device
Description
DAC8560
Res.
(Bits)
#
Ch.
Interface
Settling
Time (s)
VREF
INL
()
Package
Price
(1k*)
16
SPI
Int
MSOP-8
$3.50
DAC8550
16
SPI
Ext
MSOP-8
$2.65
DAC8551
16
SPI
Ext
MSOP-8
$2.65
DAC8552
16
SPI
Ext
MSOP-8
$5.45
DAC8554
16
SPI
Ext
TSSOP-16
$10.40
DAC8555
16
SPI
Ext
TSSOP-16
$10.40
DAC8564
16
SPI
Int
TSSOP-16
$11.25
DAC8565
16
SPI
Int
TSSOP-16
$11.25
pg14
10/22/07
4:00 PM
Page 14
The Newest
Semiconductors
www.mouser.com
Over 875,000 Products Online
(800) 346-6873
READER SERVICE 114
Mouser_ElectDesign_11-05.indd 1
10/3/07 8:55:19 AM
p15
10/23/07
4:32 PM
Page 15
Editorial
ED ONLINE 17392
Engineering Bridges
Isnt Just Civil Anymore
uring my days as an engineering student at Manhattan College, my calculus
teacher used to say, No partial credit! Get wrong answer, bridge fall down!
This was the first thing that flashed through my mind back in August when I heard
about the I-35W bridge collapse in Minnesota. My very next thought was that
STILL NO ANSWERS On Oct. 15, a story on the Minnesota Public Radio Web site (http://minnesota.publicradio.org/)
revealed that the cause of the collapse is still unknown and
probably wont be determined for many months. But organizations like the National Transportation Safety Board (NTSB) and
the Minnesota Department of Transportation (MnDOT) are working hard at finding an answer.
An answer after the fact is certainly needed, no matter how
long a wait. But Im more concerned about the bridges that are
still standing. I have read about inspectors who visually inspect
bridges or use ultrasound techniques. Is this sufficient? Civil
engineers have been building modern bridges for many years.
But do they take advantage of the wealth of available electronic
sensors and data-acquisition and computing equipment?
When asked at NIWeek about the bridge collapse, National
Instruments CEO James Truchard pointed to his own companys
products as a way to make bridges safer. In fact, case studies on
NIs Web site show how some civil engineers are integrating
electronics into their bridge-building work.
15
p16
10/23/07
4:24 PM
Page 16
PeasePorridge
ED ONLINE 17306
guy recently asked me how I would look for a voltage reference thats
stable versus temp cycling. I told him I would take several of the best voltage references I had and use a dual-slope DVM of at least six digits to
compare them to the units in question. He then asked if comparing some
p17
10/23/07
4:42 PM
Page 17
PointOfView
ED ONLINE 17314
mbedded system designers need more from a storage system than higher capacities. Todays applications require enhanced performance, reliability, and security, all of which can effectively be met with advanced solid-state storage. This
technology offers many tangible benefits, including multiyear product cycles, no
product wearout, the ability to accurately forecast usable storage system life, and security options beyond encryption. But
OEMs continue to design in substandard storage based on five
prevalent myths.
MYTH 1: ITS TOO EXPENSIVE Not necessarily. The
megabyte capacity of traditional storage products far exceeds
user requirements in many applications. Yet to maintain average selling prices, hard-drive manufacturers offer increasingly
higher-capacity hard drives, forcing users to buy 40 Gbytes or
more of storage when their application requirements may be
for as little as a few gigabytes.
A recent study concluded that most enterprise system OEM
applications require less than 4 Gbytes. An edge router is a typical application that stores both an operating system and data
log files using less than 4 Gbytes. Since there is cost parity
between hard drives and solid-state at this capacity, solid-state
storage is no longer too expensive.
Advances in the last 15 years have made solid-state storage
more affordable today than ever. Working with a typical $250
storage budget, companies can purchase the same capacity in
either solid-state or hard drive. For the same cost as a hard
drive, they can gain the additional benefits that come with solid-state. So, the cost per useable gigabyte now favors solidstate storage over hard drives in many applications.
MYTH 2: SUPERIOR HARD-DRIVE PERFORMANCE
Field failure rates for hard drives are up to 15 times greater
than datasheet specifications, according to a Carnegie Mellon
University report dated February 2007. And according to a February 2007 Google study, once a hard drive has its first scan
error, whether it be for reallocation, offline reallocation, or probational counts, it is 39 times more likely to fail within 60 days.
When hard drives are used in more demanding applications
that extend duty cycles or in applications with vibration, temperature variation, or other environmental challenges, field
failure rates are much higher.
Hard drives will always be better in applications requiring
massive amounts of storage capacity, since solid-state is a
long way away from offering a cost-effective terabyte of storage. But for many applications, solid-state storage offers better
durability and a significantly lower total cost of ownership.
17
p18
10/23/07
4:22 PM
Page 18
TechView
Teslas Tests
Confirm Roadsters
245-Mile Range
18
pg19
10/23/07
7:16 PM
Page 19
Intelligent Drivers
And Actuators
Bus ICs
Introducing The
All-New MLX90614
Wireless ICs
Seeing Temperature
Hall ICS
Plug
g and Play
y
Silicon MEMS
CMOS Imaging
IR Temperature
www.melexis.com
READER SERVICE 111
Automotive ICs
(603) 223-2362
p20
10/23/07
4:27 PM
Page 20
20
pg21
10/22/07
4:01 PM
Page 21
You DESIGN It
We BUILD It
Use the Most Comprehensive Power Design Tools Available
Design Configurators
Environmental grade
Baseplate style
Pin options
or....
vicorpower.com/powerbench
READER SERVICE 135
10/23/07
3:53 PM
Page 22
TechView:Communications
LOUIS E. FRENZEL | Communications/Test Editor
lfrenzel@penton.com
Ethernet Transceiver
First To Include IEEE-1588
Precision Time Protocol
22
RJ45
Magnetics
MAC
p22,24
Quad Equalizer
Lets You Go Faster
And Longer
With High-Speed
Serial Channels
When implementing systems using gigabit
serial data transmissions in cables or in
backplane transmission lines, youre very
limited in the lengths you can achieve
because of the severe attenuation and distortion. An established way to compensate
is to use equalization at the receiver.
The equalizer anticipates the distortion and
adds corrective measures that enable you to
implement higher-speed interfaces over
longer signal paths. Now, you can buy such
equalizers for some standard serial interfaces
that run as fast as 10 Gbits/s. For example,
National Semiconductors DS64EV400 programmable quad equalizer compensates for
medium losses and reduces deterministic jitter for four data channels.
Part of Nationals PowerWise product portfolio, the chip consumes 94 mW per channel. With these equalizers, you can boost
signal strength as much as 20 dB on up to
10 m of CAT5 cable or up to 40 in. of FR-4
pc-board backplane up to 6.4 Gbits/s and
up to 30 in. for FR-4 at rates to 10 Gbits/s.
Equalizer output has a low 0.175 UI (unit
interval) of residual jitter.
The DS64EV400 is designed for use with
FPGAs and ASICs in building systems using
any of the high-speed buses like Fibre Channel and Ethernet/XAUI. Each equalizer channel has eight equalization levels that all can
be set simultaneously by three control pins
or individually programmed through a serial
management bus (SMB) link.
The chip permits both ac and dc coupling
to the data paths and can accommodate
long run-length data patterns like PRBS-31
or balanced codes like 8B/10B. The
DS64EV400 also uses differential currentmode logic (CML) I/O and is protected
against electrostatic discharge (ESD).
The DS64EV400 is made with a proprietary
silicon-germanium (SiGe) biCMOS process
and comes in a 7- by 7-mm, 48-pin leadless
leadframe package (LLP). Supply voltage
may be 2.5 or 3.3 V. The DS32EV400 is
available for data rates up to 3.2 Gbits/s.
Pricing is $16 for the DS64EV400 and $13
for the DS32EV400 in 1000-unit lots.
National Semiconductor
www.national.com
ED ONLINE 1736
11.05.07 ELECTRONIC DESIGN
pg23
10/23/07
12:48 PM
Page 23
New Flexis
QE 128 Demo Kit
Takes you from 8-bit
to 32-bit processing
seamlessly
www.newark.com
p22,24
10/23/07
3:54 PM
Page 24
TechView: Communications
Serial-To-Wi-Fi Module Enables Wireless M2M Without Programming
Connecting a device to the Internet for remote monitoring or control
security, as well as a full suite of Internet protocols and applications
in machine-to-machine (M2M) applications isnt easy. Yet Wi-Fi is quickly
that serve as a firewall.
becoming the most asked for requirement in M2M applications, such as
With this chip, all Internet connectivity, cryptography, and security is
point of sale, medical devices, and security. While the hardware is well
offloaded from the application processor. This frees up host-processing
known, the project is more of a software development effort. Now you
capability and simplifies the design process. As a co-processor, the
can add Internet connectivity via any Wi-Fi 802.11 wireless local-area
CO2128 has a remotely updatable firmware capability, making new
network (WLAN) access point or hot spot with a drop-in module that
security or connectivity protocols easy to add without redesign, increasrequires no software effort.
ing memory, or boosting processor speed to meet future wireless
Device networking company Connect One and radio modem supplier
demands. The iChipSec CO2128 has an integrated hardware-based
Wi2Wi have collaborated to offer the Secure Socket iWiFi module. This
SSL encryption engine to ensure end-to-end secure data transfers even
module provides a fast and effective way to Wi-Fi-enable non-PC
over the Internet. It also acts as a firewall on a chip protecting the
devices that use embedded real-time operating systems. It features an
application from network attacks.
input via a standard RS-232 nine-pin D connector, a complete
The module core operates at 1.2 V with I/Os running from 3.3 V. Typi10/100BaseT Ethernet controller, the
cal dissipation is 200 mW. Several sleep
Marvell 88W8686 802.11b/g wireless
modes further reduce power consumption.
chip set, and Connect Ones iChipSec
The Secure Socket iWiFi is available now
CO2128 IP controller (see the figure).
for less than $40 in 5000-unit lots. The IIThe module provides for connectivity
EVB-361MS evaluation board is available
with dial-up modems as well as cellular
for development and testing.
modems using GSM, GPRS, CDMA,
Connect One Ltd.
cdma2000, EDGE, or satellite. The
Connect One and Wi2Wi have jointly developed the Secure Socket
www.connectone.com
CO2128 controller includes the latest iWiFi module, which gives designers of M2M and other equipment a
Wi2Wi Inc.
encryption and hardware internalized fast and easy way to wirelessly link to the Internet.
www.wi2wi.com ED ONLINE 17361
Small
Industrys smallest footprint
Smart
Multiple interfaces: Single Wire, Serial, EN
Integrated safeguards
Versatile
Wide product portfolio including
dedicated Flash or Flash+Backlight/RGB
West Coast (408) 345-1790 East Coast (919) 676-5292
www.austriamicrosystems.com
READER SERVICE 81
24
10/23/07
5:19 PM
Page 25
TechView:EDA
Mike Shubert
dmaliniak@penton.com
Design-For-Test Tool
Eliminates Need For
Gate-Level Scan
Core RTL
HiDFT-Scan
RTL
simulation
SCANed core
RTL design
RTL power
analysis
tp
att
er
ns
Logic
synthesis
Te
s
p25
ATPG
DFTed core
gates
READER SERVICE 85
p26
10/23/07
4:40 PM
Page 26
TechView:Digital
DANIEL HARRIS | Digital Technology Editor
dharris@penton.com
pg27
10/22/07
4:01 PM
Page 27
p28,30
10/23/07
5:21 PM
Page 28
LeapFrog
ED ONLINE 17368
ultiple input/multiple output (MIMO) uses its multiple transmitters, receivers, and antennas to achieve
greater link distance and reliability as well as higher
data rates. So it shouldnt be much of a surprise,
then, to tell you that MIMO is now an option in most of the latest
wireless technologies.
Already, its being used in 802.11g/n Wi-Fi wireless localarea networks (WLANs). Its also being picked up in some of
the new WiMAX products. And theres no doubt that in the near
future, well see it applied to Ultra-Wideband (UWB) and fourthgeneration cell phones using Long Term Evolution (LTE) and
Ultra Mobile Broadband (UMB).
This hot technology isnt new, per se, but adoption has been
slow because its complex and difficult to test. Its complexity
comes from the basic computationally intense MIMO reception
process, as well as from the fact that MIMO usually is part of an
orthogonal frequency-division multiplexing (OFDM) process.
OFDM is the modulation/multiplexing darling of wireless
these days, since its been adopted by the standards that also
embrace MIMO, like LTE and UMB. While several MIMO test
products are already out there, Keithleys MIMO RF Test System
makes testing much faster and easier at a reasonable price.
If you arent familiar with Keithleys name being tied to RF
test, its time to get acquainted. The company has slowly built
an excellent line of RF test products, including the model 2920
vector signal generator (VSG) and the model 2820 vector signal analyzer (VSA). Add the model 2895 MIMO synchronization
unit and powerful MIMO signal analysis
software, and you get a complete and very
capable MIMO test system.
A BRIEF LOOK Most wireless applications still use single-input single-output
(SISO), where one transmitter (Tx) sends a
signal to a single receiver (Rx). This
arrangement works fine, but at UHF and
microwave frequencies, the signal is subject to the usual noise and interference as
well as multipath fading. Reflections from
buildings, cars, trees, people, and other
obstacles cause multiple but delayed signals to arrive at the receiver, producing
signal cancellation. Also, moving objects
create Doppler shifts that produce fading.
28
Rx
Tx
Data
MAC
Tx
DSP
Multipath
ata
Rx
2x2 MIMO
1. A 2x2 MIMO system uses two transmitters and two receivers. Serial data is divided into two streams.
Each is encoded and then used to modulate the transmitter, usually with OFDM over the same band.
Each receiver picks up both signals as well as any multipath signals. The DSPs in the receivers sort out
and combine the signals into their original form.
11.05.07 ELECTRONIC DESIGN
pg29
10/22/07
4:02 PM
Page 29
AD7982
AD7980
16-bit, 1 MSPS, 7.5 mW, 630 ppm INL max
Same pinout as AD7982
5 V or 2.5 V input with ground sense
For more information about Analog Devices PulSAR technology, please visit
www.analog.com/pulsar-small or call 1-800-AnalogD.
Resolution
Part
Number
(Bits)
Sample
Rate
AD7982
18
1 MSPS
99 dB, 4 ppm
750 mW
23.00
AD7690
18
400 kSPS
4.4 mW
19.50
AD7691
18
250 kSPS
4.4 mW
14.50
AD7980
16
1 MSPS
750 mW
19.50
AD7693
16
500 kSPS
3.6 mW
18.00
1.35 mW
6.50
85 dB, 20 ppm
1.25 mW
4.75
Max Integral
SNR, noise rms
Linearity (LSB/ppm) (dB/ppm of FSR)
AD7685
16
250 kSPS
AD7942
14
250 kSPS
www.analog.com/pulsar-small
Power @
Price
100 kSPS ($U.S.) @ 1k
p28,30
10/23/07
5:22 PM
Page 30
LeapFrog
ing link reliability. This enables greater
transmit range as well as the ability to
retain a high data rate in the presence of
noise and multipath effects.
The situation improves if you use even
more transmitters and receivers. This
increases system cost, but with todays
small, low-cost transceivers, multiple
transceivers are practical and affordable.
Many modern WLANs use a 3x2 arrangement. But the complexity grows as the
number of transceivers increases. A 4x4
MIMO will produce up to 16 signals to
decode in the receiver. Thanks to powerful DSP processors, FPGAs, or ASICs, the
process becomes realistic.
Adding to the complexity is use of the
OFDM in most MIMO systems. In this
case, high-speed data is transmitted by
dividing the serial bit stream into multiple,
parallel slower bit streams. Then those
parallel signals are modulated on multiple
carriers equally spaced throughout a relatively broad bandwidth.
For instance, the data in 802.11a/g WiFi systems is divided among 52 separate
carriers. In WiMAX systems, data can be
divided among carriers numbering up to
1024 or 2048. The carriers are spaced by
a frequency increment that makes them
in phase quadrature with each other. This
orthogonality prevents them from inter-
2. In this 2x2 Keithley MIMO test system, the upper units are the VSAs, while the lower
units are the VSGs. Each is a 3U high half-rack width. The 1U high full-rack wide
Synchronization Units are in the center.
30
pg31
10/22/07
4:02 PM
Page 31
AD5064
High Performance for Open-Loop Systems
The first low voltage quad with 1 LSB INL @ 16 bits.
Unmatched accuracy and pin functionality, combined.
AD5754
Flexible Solution for Closed-Loop Systems
The AD5754 provides a software selectable output range of 5 V,
10 V, 5 V, and 10 V for cost-efficient system configuration.
Part No.
Description
Price
$7.50
AD5065
$11.25
AD5064
$15.95
AD5764
$35.70
www.analog.com/16-bitDACs
$6.95
$10.05
$10.45
32
10/23/07
7:03 PM
Page 32
$VUUJOH&EHF&OHJOFFSJOHJO"DUJPO
*/530%6$*/(
4QPOTPSFECZ
#SPVHIUUPZPVCZ
XXX&OHJOFFSJOH57DPN
p33,34,36,38,39
10/23/07
7:00 PM
Page 33
EngineeringFeature
ED ONLINE 17402
ROOT CAUSES There are two primary sources of leakage in MOS transistors (Fig. 1). One is the subthreshold leakage, which is leakage from drain to source (or power to
ground). Subthreshold leakage is rising with each process
ELECTRONIC DESIGN GO TO WWW.ELECTRONICDESIGN.COM
node and shows no sign of abating. The mechanics of subthreshold leakage are based on the fact that no transistor is a
perfect switch.
In digital logic we all think of them as perfect switches,
but they never really turn off completely, says Jerry Frenkil,
CTO and vice president of research and development at
Sequence Design.
The issue can be seen in terms of the three main regions of
operation for a transistor. Theres the cutoff region, where current is effectively zero. In the saturation region, the transistor
is completely on and can pump a lot of current. In the linear
region, the device essentially functions as a linear amplifier.
Between the linear and cutoff regions, theres a weak
inversion current flowing between source and drain. The
transistor begins to invert, but its in a sensitive region where
a small change in gate voltage results in a large change in
current, says Frenkil.
The degree of change in the current is directly related to
how low the threshold voltage is. The drain current on a
transistor is a function of, among other things, the voltage on
the source, drain, and gate. You cant make that term in the
equation go completely to zero, so theres always a little bit
of current flowing, he adds.
The other main component of the overall leakage issue is
gate-oxide leakage (Fig. 1, again). Gate leakage (as its commonly known) is an unhappy byproduct of progress. Transistor gates are composed of polysilicon sitting on silicon dioxide, which has the advantage of being very easy to fabricate.
But as semiconductor processes have scaled downward, gate
lengths are obviously shorter. The downward scaling affects all
dimensions, so that silicon dioxide gate layer has become thin33
p33,34,36,38,39
10/23/07
7:00 PM
Page 34
EngineeringFeature
Gate
ner as well to increase gate capacitance and thereby drive current. Consequently, gate leakage manifests itself as electron
tunneling through the gate oxide.
Differentiating between these two primary sources of leakage power is critical. While gate leakage is an issue that can,
and in all likelihood will, be solved with process and materials improvements, subthreshold leakage is entirely a designrelated problem in terms of any possible fixes.
In the long run, designers have to worry about subthreshold leakage but not gate leakage, says Frenkil. At 65 nm,
theres no convenient process solution for gate leakage. But
at the smaller nodes, there will be.
ATTACKING THE PROBLEM So the design community
and EDA vendors have turned their attention largely to the
control of subthreshold leakage. Several low-power design
methodologies are in use, and they all have their tradeoffs in
terms of relative benefits and impacts (see the table).
One of the most common techniques being applied of late
is multi-VT cell swapping. This technique involves use of
libraries with two or more voltage thresholds. The idea is to
provide synthesis options for simultaneous optimization of
timing, area, and power. A library with a lower VT will leak
more, but it will be faster than the high-VT library. Designers
can opt to use slower, but less power-hungry, cells on noncritical paths.
Multi-VT complicated things the least, says Sequences
Frenkil. Use of multi-VT may mean a modestly longer timing closure period. However, Frenkil adds, the leakage gains
Source
n+
Drain
n+
ISUB
IGATE
P-well
to be had from multi-VT are not huge. It usually cuts leakage in half, he says.
Reverse body biasing of transistors can also help with subthreshold leakage by essentially turning the transistor more
off. Gate leakage is directly proportional to the gate-to-substrate voltage, VGS. Increasing VGS reduces leakage, but it
also lowers performance.
Opinions differ on the merits of reverse biasing. According
to Frenkil, reverse body biasing is losing favor at advanced
nodes. It has less effect on leakage with scaling, Frenkil
notes. But Apaches Dian Yang believes
Methods
Techniques
Overheads
that back biasing can be combined with
variable-threshold CMOS (VTCMOS)
Voltage/frequency scaling
technology to dynamically alter VGS as
Instruction-level optimization
Reducing activity
Negligible
necessary for leakage control in critical
Control-data-flow transformation
Dynamic power management
paths. For non-critical paths, a higher
Approximate signal processing
VGS can come into play full time for
Memory optimization
leakage reduction.
Hardware/software partitioning
Apaches RedHawk-ALP tool for
Parallelism/pipelining
physical power integrity supports a
Reducing
Area
Don't-care optimization
capacitance
number of techniques for leakage conPath balancing
trol, including VTCMOS back biasing
Factorization
and the insertion of power gating for
Technology decomposition/mapping
memory IP.
Bus encoding
Speaking of power gating, its a techRe-timing
Scaling supply
nique
that will come into play more at
Speed
Clock gating
voltage
65
and
45 nm. Power gating (or power
Pre-computation
shutoff, as some term it) entails the inserTransistor/interconnect sizing
tion of switches that shut off power to
Transistor re-ordering
inactive functional blocks. Theres good
Threshold voltage scaling/hopping
Transistor off-off stacking
news and not-so-good news associated
Scaling
Back biasing
with power gating, however.
Noise
threshold voltage
Cell-swapping (dual threshold volta ge)
The good news is that it can proMTCMOS power gating
foundly reduce leakage power from one
to three orders of magnitude. For
Note:
System level
Architecture level
Logic level
Circuit/device level
those seeking ultra-low leakage, theyll
need one flavor or another of power
2. Power is a holistic discipline that should be taken into account from the earliest stages of the design
gating, says Frenkil. The not-so-good
cycle. A flow that extends from the architectural level through implementation is the best hope for sucnews is that power gating comes with a
cessful low-power implementation. (courtesy of Sequence Design)
34
10/22/07
4:05 PM
Page 35
EN
100
BST
MAX8643/
MAX8646
OUTPUT
1.8V, 3A/6A
LX
VDD
90
EFFICIENCY (%)
pg35
OUT
PGND
80
VOUT = 2.5V
CTL1
VID SELECT
70
VOUT = 1.8V
FB
CTL2
60
50
FREQ
REFIN FOR
TRACKING
REFIN
COMP
PROGRAMMABLE
FREQUENCY
1
OUTPUT CURRENT (A)
10
SOFT-START
SS
GND
PWRGD
POWER GOOD
Applications
ASIC/DSP/CPU Core Voltages
DDR Termination
Memory Power Supply
Telecom/Datacom Power Supply
Industrial Power Supply
Point-of-Load Power Supply
www.maxim-ic.com
FREE Non-Portable Power Supplies Design GuideSent Within 24 Hours!
CALL TOLL FREE 1-800-998-8800 (7:00 a.m.5:00 p.m. PT) for a Design Guide or Free Sample
DIRECT
1-888-MAXIM-IC
1-800-777-2776
1-800-332-8638
Distributed by Maxim Direct, Arrow, Avnet Electronics Marketing, Digi-Key, and Newark.
The Maxim logo is a registered trademark of Maxim Integrated Products, Inc.
2007 Maxim Integrated Products, Inc. All rights reserved.
p33,34,36,38,39
10/23/07
7:02 PM
Page 36
EngineeringFeature
stage, including analysis of the effects of
voltage drops on delays.
Gate
thre
shold
tunn
eling
Sequences flow, which includes Powleak
350
105
age
er Theatre, CoolTime, and CoolPower,
takes a holistic approach to power from
300
90
RTL to GDSII (Fig. 2). Its prudent for
Bulk
designers to consider the entire design
250
75
flow when considering leakage, including the architectural level.
UTB
Cap
200
60
acito
r ch
Of course, all of the EDA industrys
arge
Bulk
three large RTL-to-GDSII tool vendors
150
45
DG
have some form of an integrated flow
that attempts to address low-power
100
30
design. Magma Design Systems throws
Ultra-thin body
two tools in particular at the problem.
50
15
Talus Power operates on the optimizaDual gate
Bulk
tion aspect, spanning RTL to GDSII,
0
0
while Quartz Rail performs both power
2004
2006
2010
2012
2014
2016
2018
2020
2008
analysis as well as static and dynamic
voltage-drop analysis. The latter ana3. This diagram plots the improvements in leakage power that are expected in future CMOS technologies
lyzes the impact of IR drop on delay
as compared to todays bulk-CMOS technology. The chart plots currents for gate leakage, subthreshold
and also performs thermal analysis.
leakage, and dynamic power consumption for bulk CMOS, thin- or ultra-thin-body CMOS, and dual-gate or
These tools work hand in hand,
so-called FinFET technology. (courtesy of ITRS, ChipVision Design Systems, and OFFIS)
explains Arvind Narayanan, a Magma
director specializing in low power. If you do multi-VT
host of complications to the design flow. In addition to having
to figure out where to place power switches, you have to figure optimization, the optimization engine has visibility into
out how large or small to make them.
power, timing, and area. As with all integrated implemenThe sizing of the switches is critical, says Frenkil. The
tation flows, the ability to perform concurrent optimization
larger the switches, the less they cost in terms of performance.
has the best likelihood of delivering improved quality of
But larger switches consume more area and degrade leakage
results without multiple iterations.
reduction. Smaller switches save on area, performance suffers
more, but theres more leakage reduction.
ARCHITECTURE MATTERS It would seem counterintuPower shutoff switches also can wreak havoc for chip flooritive to think that much could be accomplished at the archiplanning, says Frenkil. If you are power-gating blocks on the
tectural stage of the design cycle with regard to leakage manchip, their power rails have to be separated from non-poweragement. ChipVision Design Systems is one EDA vendor that
gated domains. If its more than just one or two, its a real
has targeted the architectural level for optimization. Earlier
headache for floorplanning, he says.
this year, it announced electronic-system-level (ESL) technolPower-shutoff switches also can cause issues with rush cur- ogy that lets RTL designers work interactively with systemrents and wakeup times. Upon closing of a power switch to a
level descriptions to generate power-optimized RTL code.
block, the rush current can be large enough to be damaging if
ChipVision also is part of a European initiative to control
not managed properly.
leakage power under the aegis of the OFFIS research and
Finally, power-shutoff switches bring a number of issues
development consortium. The initiative, called Controlling
related to functional verification. Are the
control signals for all switches correct?
POWER TRADEOFFS FOR VARIOUS
Have floating outputs been rectified?
Will there be issues with state retention
LOW-POWER METHODOLOGIES
for blocks that are turned off?
Methodology impact
40
400
120
[nm]
(nA/ m)
m)
IDYN
ISUB
IGATE
Sub
Power-reduction
technique
Leakage
power
Area optimization
1.1X
10%
None
n/a
None
Low
None
Low
Multi-VT optimization
6X
0%
Little
Little
None
Low
None
Low
Clock gating
0X
20%
Little
Little
None
Low
Low
Medium
2X
40%-50% Some
Little
High
Medium
Medium
High
Some
Some
High
High
High
High
40%-70% Some
Some
High
High
High
High
Power shutoff
(PSO)
Dynamic/adaptive
voltage-frequency
scaling (DVFS)
10-50X
2-3X
~0%
36
10/22/07
4:06 PM
Page 37
INDUSTRYS SMALLEST
PORTABLE VIDEO FILTER AMP
Packaged in 1mm x 1.5mm DFN2.8x Smaller than the Closest Competition
0
LOWPASS
FILTER
6dB
IDEAL FOR
VIDEO-ENABLED CELL PHONES
DIGITAL STILL CAMERAS
DIGITAL VIDEO CAMERAS
PORTABLE VIDEO/MEDIA PLAYERS
PORTABLE DVD PLAYERS
1m
12dB
GAIN AVAILABLE
D
6- FN
SHDN
VIDEO
DAC
x 1.5
SHUTDOWN CURRENT
vs. QUIESCENT CURRENT
14
CLOSEST
COMPETITOR C
12
CLOSEST
COMPETITOR C
10
SIZE (mm2)
pg37
8
6
4
CLOSEST
COMPETITOR A
CLOSEST
COMPETITOR B
10k
CLOSEST
COMPETITOR B
CLOSEST
COMPETITOR A
1k
100
MAX9502
10
MAX9502
1
0
12
15
18
21
24
27
30
10
Saves Space
Saves Power
www.maxim-ic.com
FREE Video Design GuideSent Within 24 Hours!
CALL TOLL FREE 1-800-998-8800 (7:00 a.m.5:00 p.m. PT) for a Design Guide or Free Sample
DIRECT
1-888-MAXIM-IC
1-800-777-2776
1-800-332-8638
Distributed by Maxim Direct, Arrow, Avnet Electronics Marketing, Digi-Key, and Newark.
The Maxim logo is a registered trademark of Maxim Integrated Products, Inc.
2007 Maxim Integrated Products, Inc. All rights reserved.
p33,34,36,38,39
10/23/07
7:03 PM
Page 38
EngineeringFeature
Chi p .c pf
RTL
Chi p .sd c
0.8 V
Level shifter
Isolation
Level shifter r
1.2- V shutof f
SR
SR
B
Isolation
4. As this example illustrates, its critical to perform early microarchitectural exploration of leakage-power management
techniques at advanced
nodes. Doing so can help
decide which power techniques to use for optimization of the tradeoffs
between power, area,
and timing. (courtesy of
PCM
OV-7604-C7
Low-Power
Clock Oscillator
www.microcrystal.com
38
p33,34,36,38,39
10/23/07
7:04 PM
Page 39
EngineeringFeature
Custom SoC business unit at NEC Electronics America, NECs research and
development laboratory is already
working with FinFET.
But when will we move to that technology is a moving target, says Yamada. Five years ago, we thought it
would be at 32 nm. Now, perhaps itll
come into play at 28 nm or 24 nm. And
before then, there may be further
breakthroughs that will allow us to
hold off further.
What can you do to control sub-threshold leakage when the chip is fully awake
and active? See Control Leakage In
Active Mode at www.electronicdesign.
com, Drill Deeper 17400. Also, find out
how recent advances in materials can
help solve the gate-leakage problem in
Materials Play A Key Role In Stopping
Leakage, Drill Deeper 17401.
39
p40,41,43,45,47
10/23/07
4:06 PM
Page 40
TechnologyReport
ED ONLINE 17372
NEXT-GENERATION
MULTIFUNCTION
POWER
ICs
HELP SHRINK
MOBILE SYSTEMS
ith mobile equipment manufacturers demanding ever smaller
and lighter handheld systems,
the trend is to create smaller ICs
that offer greater functionality.
However, meeting those functionality, size, and weight
requirements becomes a more
difficult proposition in power-oriented applications.
For instance, switching regulators must be very efficient, particularly when multiple switchers are integrated into an IC. Battery-charger circuits must be efficient. Also, chip layout must
ensure that there are no hot spots and electromagnetic interference (EMI) is controlled. On top of that, many handhelds
operate from a single lithiumion/polymer cell. Despite these difUSB/wall
To other loads
USB-compliant
4.25 to 5.5 V
ficult design issues, many IC manstep-down
regulator
ufacturers have responded with
devices that meet the system
Optional
CC/CV
0V
requirements.
battery
charger
Take Linear Technologys
+
Li-ion
LTC3555, the first in a family of
T
Charge
LTC3555
multifunction power-manageRTC/low-power
3. V/25 mA
Always-on LDO
logic
ment solutions for Li-ion/poly0.8 to 3.6 V/400 mA
mer battery applications. It inteMemory
1
Triple
high-efficiency
0.8 to 3.6 V/400 mA
grates a switching PowerPath
2
I/O
step-down
5
Enable
manager, a standalone battery
0.
8
to
6
.
3
1
/
V
A
switching
3
Core
controls
regulators
charger, an ideal diode, I2C conRST
Microprocessor
2
trol, three high-efficiency syn2
2
I C port
I C
chronous buck regulators, and
an always-on low-dropout regu1. The LTC3555 is a complete multifunction power IC with a switching power manager, Li-ion/polymer battery
lator (LDO) (Fig. 1).
charger, three buck regulators, and an LDO in a thermally enhanced, low-profile (0.75 mm) 28-lead QFN package.
40
p40,41,43,45,47
10/23/07
4:06 PM
Page 41
Regs
LDO4
VO4
DSP
AVS domain
Embeded memory
2. Able to power an SoC, the LP5552 contains two digitally controlled switching regulators and five
programmable LDOs.
ELECTRONIC DESIGN GO TO WWW.ELECTRONICDESIGN.COM
CHARGE MANAGEMENT
Texas Instruments TPS65820 is a
fully integrated solution for handheld
devices, integrating charge management, multiple regulated power sup41
pg42
10/22/07
4:06 PM
Page 42
Affordable
PCB
SI
Custom IC
Analog
Digital
Libraries
Environmental Compliance
Training
Engineering Data Management
2007 EMA Design Automation, Inc. All rights reserved in the U.S. and
other countries. Allegro, Cadence, OrCAD, and PSpice are registered
trademarks of Cadence Design Systems, Inc. All other marks are the
property of their respective owners.
READER SERVICE 88
p40,41,43,45,47
10/23/07
4:07 PM
Page 43
TechnologyReport
Intelligent
plies, system management, and display
functions in a small, thermally enhanced
7- by 7-mm package. The high level of
integration enables typical board area
space savings of 70% compared to
equivalent discrete solutions, while
implementing a high-performance and
flexible solution.
If required, an external host may control the TPS65820 via an I2C interface,
with access to all integrated subsystems.
The I2C allows for the setting of output
voltages, current thresholds, and operation modes. Internal registers possess a
complete set of status information,
enabling easy diagnostics and host-controlled handling of fault conditions. The
TPS65820 can operate in standalone
mode, with no external host control, if
the internal power-up defaults are compatible with the system requirements.
The TPS65820 contains a complete
charge-management solution for single
Li-ion/Li-pol cells with thermal foldback, dynamic power management, and
pack temperature sensing, supporting up
to 1.5-A max charge current. Programmable charge parameters provide for an
ac adapter and USB port operation
The device integrates nine LDOs: six
adjustable-output LDOs (1.25 to 3.3 V);
two fixed-voltage LDOs (3.3 V); one
fixed-voltage, always-on LDO (3.3 V);
and one real-time-clock (RTC) backup
supply with low leakage (3.1 V). Also,
there are two 600-mA output current,
0.6- to 3.4-V programmable dc-dc buck
converters with enable, standby mode
operation, and an automatic low-power
mode setting.
Two open-drain, pulse-width-modulation (PWM) outputs allow for programmable frequency and duty cycle control.
They can be used to control keyboard
backlight, vibrator, or other external
peripheral functions. An RGB LED driver with programmable flashing period
has individual R/G/B brightness control.
A constant-current white LED driver,
with programmable current level,
brightness control, and overvoltage protection, can drive up to six LEDs in
series configuration.
Among its features are dual-input
power-path functionality with input
current limiting and overvoltage protection. An integrated power-on-reset
function with programmable masking
monitors all supply outputs. It comes
with software and hardware reset functions, too. An eight-channel integrated
analog-to-digital converter (ADC) samples system parameters with single conversion, peak detection, or averaging
operating modes.
In operation, the host can set system
parameters and access system status
using an I2C interface. Theres an interrupt function with programmable
masking that signals system status
modification to the host. Three generalpurpose I/O (GPIO) ports are programmable as drivers, integrated analog-todigital triggers, or buck converters with
standby-mode control.
DUAL BUCK CONVERTERS Many
applications only require a pair of dcdc converters and LDOs. Maxims
MAX8667 and MAX8668 four-channel
2.6 to 5.5 V
EN2
REF
0.01 F
600 mA
EN4
300 mA
OUT3
2.2 H
PGND1
4.7 F
4.7 F
1.2 A
LX2
OUT2
PGND2
PERFORMANCEMOTIONDEVICES
300 mA
2.2 H
OUT1
2.2 F
MC73110
Brushless
Motor Control IC
EN3
OUT4
GND
MAX8667
LX1
Enlarged View
4.7 F
IN12 IN34
EN1
2.2 F
www.pmdcorp.com
MOTORDRIVES
AMPLIFIERS
CONTROLLERS
10 F
Motion
pg44
10/22/07
4:06 PM
Page 44
www.nxp.com/ad/uwb
p40,41,43,45,47
10/23/07
4:07 PM
Page 45
TechnologyReport
Intelligent
Enable input
Reset input
Reset output
(power god)
System
control
and
monitoring
Reset
monitor
(prog.)
0.5 V to V
IN
at 5/10 A
0.5 V to V
Four
step-down
(buck)
channels
( rog.)
p
at 5/10 A
0.5 V to V
( rog.)
p
at 5/10 A
Memory, I/O
IN
(prog.)
0.5 V to V
IN
at 5/10 A
CPU core
IN
DSP/codec
Analog/RF
Motion
4. Communication with
the SMB113A and
SMB117 is accomplished
via the industry-standard
I2C bus. All user-programmed settings are
stored in nonvolatile EEPROM, of which 96 bytes
may be used as generalpurpose memory.
PROGRAMMABLE POWER
MANAGER The SMB113A/B and
SMB117 power-management ICs from
Summit Microelectronics include all of
the essential blocks for implementing a
complete four-channel power subsystem
consisting of four synchronous stepdown buck controllers (Fig. 4). Digital
programmability lets designers customize
the devices to suit many multichannel
power-supply applications. Complete
with a GUI, all programmable settings,
including output voltages and I/O voltage
monitoring, are customizable.
These ICs integrate four PWM controllers and a wide range of sophisticated power-management functions that
eliminate the need for many external
components, which ultimately reduces
system cost and space. They offer four
identical channels of PWM dc-dc stepdown (buck) converters. PWM channels are digitally programmable for
output characteristics and monitoring,
including voltage output levels to
1.5% accuracy.
The SMB113A switches at 800 kHz,
while the SMB117 switches at 400 kHz
and the SMB113B operates at 1 MHz.
Switching dead-time is adjustable and
can be programmed at the factory for
optimized performance. Furthermore,
the ICs high drive capability allows a
delivery of 10 A or higher, using a
wide variety of industry-standard
MOSFETs.
Complementing the precise power
regulation are advanced power control
features, which include static and
dynamic output voltage scaling and
margining, independent channel
sequencing/enable, and output slew rate
control. The devices additionally provide complete power system diagnostics, including input and output monitoring for undervoltage/overvoltage
(UV/OV) conditions. A built-in reset
Prodigy
Motion
Cards
TM
PERFORMANCEMOTIONDEVICES
www.pmdcorp.com
MEDICALAUTOMATION
ROBOTICS
SEMICONDUCTOR
READER SERVICE 123
I2C/SMBus
SMB113A/B
SMB117
Prodigy and Magellan are trademarks of Performance Motion Devices, Inc. All other trade names, brand names and company names are the property of their respective owners.
2.7 to 6.0 V
or Li-ion
pg46
10/23/07
6:02 PM
Page 46
p40,41,43,45,47
10/23/07
4:09 PM
Page 47
TechnologyReport
Intelligent
ION
TM
DigitalDrive
DC Brush, Brushless DC, and
Microstepping Motion Control
Complete single-axis motion module
Available for DC brush, brushless DC,
and step motors
Advanced S-curve motion profiles
CANbus or serial communications
Field oriented control
High efficiency MOSFET amplifier
12 - 56 volt, 500 watts output
RoHS
Compliant
PERFORMANCEMOTIONDEVICES
www.pmdcorp.com
Austriamicrosystems
Linear Technology
Maxim
National Semiconductor
Summit Microelectronics
Texas Instruments
Motion
www.austriamicrosystems.com
www.linear.com
www.maxim-ic.com
www.national.com
www.summitmicro.com
MEDICALAUTOMATION
ROBOTICS
SEMICONDUCTOR
GENERALAUTOMATION
www.ti.com
READER SERVICE 124
POWER-MANAGEMENT UNIT
The AS3603 from Austriamicrosystems
is a highly integrated, CMOS powermanagement device. Its designed
specifically for portable devices such as
mobile phones, PDAs, CD players, digital cameras, and other devices powered
by one-cell lithium-based or three- to
four-cell nickel-based batteries. The IC
incorporates LDOs, dc-dc converters, a
complete battery charger, and an audio
power amplifier on one die.
Ten linear LDOs feature 30-VRMS
noise from 100 Hz to 100 kHz. Line and
load regulation is <1 mV static and
<10 mV transient. Power-supply rejection ratio (PSRR) is >70 dB at 1 kHz.
Two digital low-power LDOs output
0.75 to 2.5 V, 200 mA; three RF lownoise LDOs output 1.85 to 3.4 V,
150 mA; two RF low-noise LDOs output
1.85 to 3.4 V, 150 mA; one SIM lowpower LDO produces 3.0 V, 20 mA; one
periphery low-noise LDO yields 2.5 to
3.2 V, 150 mA; and one low-power LDO
produces 2.5 V, 10 mA.
The integrated, programmable stepdown dc-dc converter doesnt require
an external Schottky diode yet provides
up to 95% efficiency throughout the
whole operating range. It provides 1.0
to 3.0 V, up to 500 mA.
The step-down converter can be used
as a standalone device or as a pre-regulator for LDOs to increase overall
device efficiency. A 15-V, 45-mA stepup converter supplies power for white
LEDs together with programmable current sources to control LED brightness.
A low-distortion audio power
amplifier (1 W at 8 ) supports handsfree mobile-phone operation and HiFi
ringtones. It provides 0.5 W at 4 V for
stereo and 1 W at 8 when bridged.
A digital volume control produces
3-dB steps.
The device also features a chemistryindependent battery charger that
includes fuel gauge circuitry, automatic
trickle charging, programmable constant current, constant voltage, and
pulse charging. It offers automatic
trickle charging, programmable constant current charging, programmable
constant voltage charging, programmable pulse charging, safety functions
(low battery shutdown), operation
without battery, and no-battery detection. The charger operates with a wide
battery supply range of 3.0 to 5.5 V
The AS3603 is controlled via a serial
interface and integrates all necessary
system-specific functions, such as reset,
watchdog, and power-on detection. Its
regulator output voltages are programmable by software. Also, eight preset
startup timings can be selected by an
external resistor.
Other features on the device include
four general-purpose switches (1 and 2
), on-chip bandgap tuning for high
accuracy (1%), integrated programmable watchdog (7.5 to 1900 ms), programmable reset (10 to 110 ms), typical
shutdown current of 7 A (2.5 V
always on), and overcurrent and thermal protection. The AS3603 employs a
0.35-m CMOS in a 48-pin, 6- by 6-mm
QFN package (0.4-mm pitch) or a 48-pin,
7- by 7-mm QFN package (0.5-mm
pitch). Power dissipation is 2.1 W at
TAMBIENT = 70C.
ION is a trademark of Performance Motion Devices, Inc. All other trade names, brand names and company names are the property of their respective owners.
pg48
10/22/07
4:06 PM
Page 48
Power Management:
Battery Management
Bridge Drivers
Digital Power
Display Power ICs
Integrated FET Regulators
Hot Plug Controllers
Power MOSFET Drivers
Power Supply Support
Supervisors
Switching Regulators
p49,50,52,54
10/23/07
4:35 PM
Page 49
EngineeringESSENTIALS
Printed-Electronics
Technology Flexes Its Muscle
KLAUS G. SCHROETER | CEO, Nanoident Technologies AG
Forget about traditional, stiff silicon. Printed electronics can save costs
while opening the door to new applications.
The growing field of printed electronics combines
liquid functional materials with state-of-the-art printing
equipment to create semiconductor components and
electronic circuits. The resulting devices are functionally
similar to their traditional silicon-based counterparts.
However, theyre also less expensive and have a number
of unique features that open the door to a wide range of
new electronic applications, from tiny smart labels to
full-body-sized medical imaging equipment.
a.
Stamp
Resist
Substrate
b.
c.
d.
1. Nanoimprint lithography
enables the higher resolution needed for electronics
components such as highspeed transistors.
p49,50,52,54
10/23/07
4:35 PM
Page 50
EngineeringESSENTIALS
Printed chip-making process
Printed semiconductor fabrication
Materials management, ink formulation, automation
Substrate
preparation
Curing
Deposition
2. Because printing
techniques are used
to deposit functional materials, the
semiconductor production process is
relatively simple
compared to silicon
chip fabrication.
Encapsulation
Device test
Dicing
Design to prototype
to full production, BUD is
your best partner for quality
enclosures. BUD quality, pricing
and the commitment to partnering
with you throughout your products
lifecycle is what we offer.
Call or go online for a
FREE Enclosure
Design Tips Handbook.
TEL:
FAX:
440-946-3200
440-951-4015
saleseast@budind.com
www.budind.com/3
READER SERVICE 83
Test and
burn-in
PRODUCTION PROCESS
While there are some basic similarities to traditional silicon semiconductor fabrication, printed semiconductor
production is much faster, simpler,
and greener. What can take thousands of people weeks or months in a
traditional multibillion-dollar silicon
11.05.07 ELECTRONIC DESIGN
pg51
10/22/07
4:07 PM
Page 51
20k Integrated
Pull-Up Resistor saves
additional board space
VDD
Go to www.intersil.com for
samples, datasheets and support
RST/MR
VSET1
VSET2
P/C
POR
VOLTAGE
SETTING
VSET3
VDD
VREF
ISL88016
ISL88017
READER SERVICE 99
Push Button
GND
Intersils proprietary
TwinPinTM combines
reset output with
Manual Reset Input
for more functionality
Pin-Selectable VTRIP
ISL88016
1.60V to 2.85V in
50mV Steps
ISL88017
2.15V to 4.65V in
100mV Steps
p49,50,52,54
10/23/07
4:36 PM
Page 52
EngineeringESSENTIALS
READER SERVICE 87
NEW APPLICATIONS The new technical features available with printed electronicsthinness, light weight, flexibility,
READER SERVICE 113
52
10/22/07
4:07 PM
Page 53
Video Magic.
9MHz reconstruction
filter removes
aliasing noise from
video signals. Perfect
for composite and
S-video signals.
90
80
70
60
50
40
30
20
10 4.5mA
0
19mA
Competitor Competitor
ISL59115/17
F
M
75mA
5
4.5
4
3.5
3
2.5
2
1.5
1
0.5
0
5V
5V
3.3V
pg53
Competitor Competitor
F
M
ISL59115/17
Summer amplifier
(ISL59114/ISL59116)
creates a composite
video signal.
500
450
400
350
300
250
200
150
100
50 14.85mW
0
375mV
95mV
Competitor Competitor
ISL59115/17
F
M
Integrated gain of 2
buffer gives great
output current drive
and compensates for
double-terminated
video loads.
p49,50,52,54
10/23/07
4:37 PM
Page 54
EngineeringESSENTIALS
Thermal Cutoffs
One-shot, single action, thermal fuse
4. With printing technologies, manufacturers can efficiently and cost-effectively develop large-area detector arrays for medical and industrial applications.
3 3 0 - 4 97 - 414 8
54
ED ONLINE 17369
11.05.07 ELECTRONIC DESIGN
10/22/07
4:07 PM
Page 55
pg55
Embedded Power
Precision Cooling
Connectivity
Services
DC Power Systems
Outside Plant
Site Monitoring
Embedded Computing
READER SERVICE 89
pg56
10/22/07
4:08 PM
Page 56
Innovator:
Kevin Bross,
Intel Modular Systems Architect
Intel and the Intel logo are trademarks of Intel Corporation in the U.S. and other countries. Copyright
READER SERVICE 96
p57
10/23/07
4:45 PM
Page 57
Embedded
ED ONLINE 13195
Embedded News
Freeing
Communications
EiED Online
by William Wong
Technology Editor
Your source for technical insight and hands-on reviews
at www.electronicdesign.com
ED ONLINE 17286
Boston ESC
ED ONLINE 17192
57
p58
10/23/07
4:44 PM
Page 58
Embedded
1-2-3-4: What Do We Need More Cores For?
Multicore is here to stay, but how many
cores do we need? While more typically
is better, AMD is looking to fill a gap
between dual- and quad-core chips with
the tri-core Phenom for desktops. The
Phenom likely will start out as a quadcore chip with one core disabled, but it
will be priced between two-core and fourcore chips. It will find a home as long as
there remains enough of a price gap
between its siblings.
Still, power users and servers will push
the quad cores to the limit. The latest
from Intel, the Quad-Core Xeon 7300
series processors, start with a 50-W,
1.86-GHz version for high-density, lowpower solutions and range up to 130-W,
2.93-GHz chips for high-performance
solutions. Pricing starts at $856.
The latest Xeons employ a
1066-Mtransaction/s dedicated highspeed interconnect (DHSI). Intels
QuickPath Interconnect system architecture will be used in chips expected
next year. The quad-core Xeons come
with 8 Mbytes of L2 cache, half of
25 Mbits/s
MOST25
MOST150
Sync
Control
Async
100BaseT Ethernet
150 Mbits/s
OS81110 INIC
Interface: MOST150
Bandwidth: 150 Mbits/s, 50 Mbits/s for MOST traffic
Features: multiple channels, synchronous and isochronous transport, dynamic allocation of fixed
bandwidth channels, no collisions or protocol overhead
Ethernet channel: compatible with 100BaseT
58
Embedded News
Tiny SBC Fits In Tight Places
VIA Technologies 10- by 7.2-cm VT6047
Pico-ITX single-board computer (SBC) is
designed for compact applications. It can
use an x86-based,
fanless VIA
Eden
or a C7
processor. It
needs only a
30-W power supply, but it provides
standard I/O like Ethernet, serial ports, USB
ports, 7.1-channel audio, and DVI interfaces.
The board has a SATA and an ATA storage
interface. It supports up to 1 Gbyte of DDR2.
A TV encoder and HDTV board are optional.
VIA Technologies
www.via.com.tw
ED ONLINE 17349
10/22/07
pg59
4:08 PM
Page 59
408-982-0660
www.emulation.com
READER SERVICE 90
p60
10/23/07
4:49 PM
Page 60
Embedded
Compilers Target Quad-Core CPUs
Version 7.1 of the PGI compilers and
tools from the Portland Group, a subsidiary of STMicroelectronics, features
a host of improvements including code
targeting quad-core AMD Opteron and
Intel Xeon processors. The compilers
can take advantage of the Opterons
128-bit wide floating-point units and
avoid merge dependencies. The alignment of hot loops lets the compilers
take advantage of the expanded 32byte code fetch window. And, Version
7.1 provides improved instruction
scheduling. Target-specific code generation can reduce code size by 5% to
90%. The use of PGI Unified Binary executables lets developers target the lat-
Power Through
EXTREME CONDITIONS
When your input power line is on the line, depend on the new
VPTPCM-12 to power your systems smoothly and consistently.
Available Off-The-Shelf!
See more details on the Web or contact us
for your FREE CATALOG.
Adlinks PCI-8174
incorporates a
DSP with a
motion-control
ASIC to handle
stepper and servo
motion-control
functions where
time-critical
motion sequences The PCI-8174 can handle
are common. The linear interpolation using
board can operate up to all four axes and
in a standalone
circular interpolation
mode, and multiusing any two axes even
ple cards can be
in standalone mode.
linked together.
Software security protection prevents
illegal copying of custom software.The
PCI-8174 costs $1190.
Adlink
www.adlinktech.com
ED ONLINE 17345
Web: www.vpt-inc.com
Phone: 425.353.3010
E-mail: vptsales@vpt-inc.com
60
p61
10/23/07
4:34 PM
Page 61
DesignView
VREF
Low-frequency channel
(dc to 100 kHz)
PWM
High-frequency channel
(100 kHz to 150 MHz)
Oscillator
channel count
Multi-input channel ADC
Isolators
and small signal
3
AIN0
8:1
bandwidth.
Digital
PGA
A
2
interface
ADC
System
These isolation
sensors
multicontroller
plexer
3
amplifiers were
Oscillator Control
AIN7
7
precision ampli(not necessarily
fiers incorporating
one package)
a novel duty-cycle
modulation1. When multiple inputs exist, they can be multiplexed into a single-channel
demodulation
conditioning path, amplified by a programmable gain amplifier (PGA), and contechnique to digiverted into a digital data stream utilizing delta-sigma modulation. The digital
tally transmit the
data are then transmitted across the digital interface to a system controller,
input signal across which further processes the received data in the digital domain.
a differential
surands) of multiple analog inputs (Fig.
capacitive barrier. With digital modula1). Most delta-sigma ADCs feature serial
tion, the barrier characteristics dont
interfaces to reduce package size and
affect signal integrity, resulting in excelboard space. The complexity of serial
lent reliability and good high-frequency
interfaces varies in the number of slowtransient-immunity across the barrier.
But despite their high reliability and pre- speed control signals required, such as
chip-select, power-down, gain and speed
cision, isolation amplifiers were limited in
settings, and multiplexer addressing.
signal bandwidth to 50 kHz. Their older
Because signal capture and conditiontechnology requiring a minimum supply of
ing occur within the ADC, the best-suited
64 V doesnt support todays low-voltage
location to isolate the sensor circuit from
applications of 3 V and below. In addition,
the signal-processing circuitry is at the
their manufacturing processwhich
digital interface using digital isolators.
includes the separate fabrication of the
Due to interface complexity, the isolators
input and output sections, laser trimming
must be able to transmit high-speed ADC
for exceptional circuitry matching, and
conversion results, as well as low-speed
mounting both sections with isolating
control data.
capacitors in betweenmade these
Figure 2 shows an isolator based on a
devices rather expensive.
capacitive isolation barrier technique. It
Now, many data-acquisition systems
has a high-frequency channel (with a
in industrial automation use multi-input
bandwidth from 100 kHz up to 150 MHz)
channel analog-to-digital converters
and a low-frequency channel (100 kHz
(ADCs) to capture the input data (meadown to dc), which makes possible highand low-speed data transmission.
Overall, this article proposes the prinLow-pass
ciples of operation of digital isolators
filter
and discusses their applications in multichannel data-acquisition systems. It also
preaches the following: Understand your
0
system requirements before deciding
Out
what type of isolator to use and where to
1 S
place it in the system.
Decision
logic (DCL)
VREF
In
THOMAS
KUGELSTADT
Senior Systems Engineer
Texas Instruments
ti_thomaskugelstadt@
list.ti.com
61
pg62
10/23/07
12:55 PM
Page 62
#01
PERFORMANCE
IMAGE ADVERTISEMENT
HIGH PERFORMANCE ACTIVITY WHICH LEADS TO AN EXTRAORDINARY RESULT. MISSION SUPPORTED BY ABOVE AVERAGE
DEDICATION, AMBITION AND MENTAL STRENGTH EXCEEDING
THE GOAL.
// Ready to start?
19-Subracks
Desktop-Cases
DIN-Rail-Cases
Backplanes
p63
10/23/07
4:52 PM
Page 63
ComponentView
Thermal Protectors
Feature Dual-Spring
Mechanisms
family of three thermal protectors from Selco Products offers high-reliability overheat protection, automatic reset, a dual-spring-mechanism design,
and sharp snap-action sound when activated. The dual-spring design
includes strong shock and vibration resistance to ensure extremely stable
contact performance.
The JP7 targets ac equipment in harsh industrial conditions. It comes in a 17.0- by
7.3- by 3.9-mm package and an operating temperature set range from 75C to
150C. The EP2 devices feature the same mechanical design as the JP7 but employ
a different casing material designed specifically for protecting low-voltage dc equipment. The temperature set point range is
from 75C to 130C.
The BP thermal protectors are for
rechargeable battery packs. They automatically turn off the voltage if the batteries
overheat. The BP series comes in four configurations that handle a wide variety of
battery types, including nickel cadmium,
lithium-ion, and nickel metal hydride.
All of the configurations have a differential temperature of 46C or higher. Their
operating set range is from 70C to 100C. Standard list price for the thermal protectors is $2.60 each in quantities of 1000. Delivery is in four to six weeks.
Selco Products www.selcoproducts.com
ED ONLINE 17343
FANLESS
PLATFORM
x86 Compatible
Energy-Efficient
Custom Integration
EFFICIENT
MAINBOARDS
SOLID STATE
ENDURANCE
Wear Leveling
Industrial-Grade
2.5 ATA/SATA Flash
LEADERS IN MINIITX
www.logicsupply.com
READER SERVICE 106
63
p64,67,68
10/23/07
5:49 PM
Page 64
IdeasForDesign
INNOVATIVE DESIGNS FROM READERS
1G
IC1
IC3
DB 0
IC4
D0
Q
1
D2
Q
3
D4
Q
5
2G
Q8
1G
Q0
D1
Q
2
D3
2G
W
IC2
7424
2G
DB1
Q
D5
Q0
CNTRL 0
D7
Q
8
IC5
74 32
Q4
Q7
D8
CLK
1G
Q6
ST B
74 74
FF
74 74
Q3
CNTRL12 0
Q6
CNTRL24
1. This block diagram illustrates a way to generate three PC-programmable sinusoidal waveforms spaced
180 apart.
HV+
8
C2
D1
6 5
INT20 2
R2
M2
Phase 2
3 4
Phase 1
VDD
8
C1
CONTROL 0
6 5
INT20 2
Phase 3
3-phase
ac motor
1 2 3 4
R1
M1
HV
V
2. Shown in this schematic is one of the three high-voltage MOS drivers that feed sinusoidal waveforms
to the three-phase ac motor.
11.05.07 ELECTRONIC DESIGN
V+
LOAD
RIN+
RIN
INS
1
INF
2
+IN
8
V+
7
0.1F
VREG
6
V
3
VOUT
OUT
4
ROUT
LTC6102
V
5
DN423 F01
R
VOUT = ILOAD R SENSE OUT
RIN
VOS
R SENSE
Figure 2 shows a printed structure for the 5A circuit example discussed previously. In this layout, the L/W factor
is set to 1 (for RSENSE = 500) and the size is dictated
mainly by the accuracy of printed circuit etching.
Using copper for the sense resistance means that the
scaling of the circuit is nearly proportional to absolute
temperature, about +0.4%/C at room temperature. In
applications where the current is being monitored for
overload protection, the tempco may be convenient, in
that a xed protection threshold will automatically correspond to lower current at higher temperature. For stable
measurements, a software calibration and temperature
correction approach can be used, or the tempco can be
compensated by using a copper-based resistor for RIN ,
such as a small surface mount inductor with known resistance properties (>10 readily available, e.g. Vishay
IMC series).
CURRENT CARRYING TRACE
L
FROM
SUPPLY
RSENSE*
RIN
TO LOAD
10A MAX
W
RIN+
CREG
OUTPUT
ROUT
V
LTC6102
* 2.5mm 2.5mm
1oz COPPER
500
DN423 F02
www.linear.com
p65
10/23/07
7:56 PM
Page 65
8. Complete Mailing Address of Headquarters or General Business Office of Publisher (Not Printer): Penton Media, Inc.249 West 17th St, Fourth Floor, New York, NY
10011-5390
9. Full Names and Complete Mailing Addresses of Publisher, Editor, and Managing Editor - Publisher: Bill Baumann, Penton Media Inc, 45 Eisenhower Dr 5th Flr., Paramus, NJ
07652; Editor: Joe Desposito, Penton Media Inc, 45 Eisenhower Dr 5th Flr., Paramus, NJ 07652; Managing Editor: Richard Gawel, Penton Media Inc, 45 Eisenhower Dr 5th
Flr., Paramus, NJ 07652
ount
M
e
c
a
f
Sur Plug In)
(and rmers and
Transnfo
ors
I duct mediately
g im
Catalo
com
os full
onics.
r
t
See Pic
elec
w w w. p
ico
.19"ht.
10. Owner - Full name: Penton Media Inc., 249 W 17th St, Fourth Floor, New York, NY 10011 - 5390; Penton Business Media Holdings, Inc. (owns 100% of the stock of
Penton Media Inc.), 249 W 17th St, Fourth Floor, New York, NY 10011 - 5390
11. Known Bondholders, Mortgagees, and Other Security Holders Owning or Holding 1 Percent or More of Total Amount of Bonds, Mortgages or Other Securities:
None
Audio Transformers
b. Legitimate Paid and/ or Requested Distribution (By Mail and Outside the Mail)
(1) Individual Paid/Requested Mail Subscriptions Stated On PS Form 3541. (Include direct written request from recipient,
telemarketing and Internet requests from recipient, paid subscriptions including nominal rate subscriptions, advertiser's
proof copies, and exchange copies)
(2) Copies Requested by Employers for Distribution to Employees by Name or Position Stated on PS Form 3541
(3) Sales Through Dealers and Carriers, Street Vendors, Counter Sales, and Other Paid or Requested Distribution Outside
USPS
(4) Requested Copies Distributed by Other Mail Classes Through the USPS (e.g. First-Class Mail)
c. Total Paid and/or Requested Distribution (Sum of 15b (1), (2), (3), and (4))
d. Nonrequested Distribution (By Mail and Outside the Mail)
(1) Nonrequested Copies Stated on PS Form 3541 (include Sample copies, Requests Over 3 years old, Requests induced by
a Premium, Bulk Sales and Requests including Association Requests, Names obtained from Business Directories, Lists, and
other sources)
140,884
140,607
133,829
133,554
3,316
2,925
137,145
136,479
1,975
1,884
(2) Nonrequested Copies Distributed Through the USPS by Other Classes of Mail (e.g. First-Class Mail, Nonrequester Copies
mailed in excess of 10% Limit mail at Standard Mail or Package Services Rates)
(3) Nonrequested Copies Distributed Outside the Mail (Include Pickup Stands, Trade Shows, Showrooms and Other Sources)
1,764
2,244
3,739
4,128
140,884
140,607
140,884
140,607
i.
97.35%
97.06%
Publication of Statement of Ownership for a Requester Publication is required and will be printed in the
November 5, 2007
16.
issue of this publication.
Date
9/20/07
I certify that all information furnished on this form is true and complete. I understand that anyone who furnishes false or misleading information on this form or who omits
material or information requested on the form may be subject to criminal sanctions (including fines and imprisonment) and/or civil sanctions (including civil penalties).
Pulse Transformers
10 Nanoseconds to 100 Microseconds. ET
Rating to 150 Volt Microsecond, Manufactured
and tested to MIL-PRF-21038.
DC-DC Converter
Transformers
Input voltages of 5V, 12V, 24V And 48V.
Standard Output Voltages to 300V (Special
voltages can be supplied). Can be used as self
saturating or linear switching applications. All
units manufactured and tested to MIL-PRF-27.
400Hz/800Hz
Power Transformers
0.4 Watts to 150 Watts. Secondary Voltages 5V
to 300V. Units manufactured to MIL-PRF-27
Grade 5, Class S (Class V, 1550C available).
ek
e we
to on ies
k
c
to
tit
ery-S
quan
Deliv sample
See
for
EEM
or send direct
for FREE PICO Catalog
Call toll free 800-431-1064
in NY call 914-738-1400
Fax 914-738-8225
PICO
Electronics,Inc.
E Mail: info@picoelectronics.com
www.picoelectronics.com
READER SERVICE 126
65
pg66
10/22/07
4:08 PM
Page 66
Features
www.linear.com/3080
1-800-4-LINEAR
3mm x 3mm
DFN
3mm x 5mm
MSOP8-E
Low Noise:
40VRMS Wideband (100kHz)
Stable 10A Current Source Reference
Single Resistor Programs VOUT
VOUT Down to 0V
28mm x 10.25mm
TO-220
6.5mm x 7mm
SOT-223
p64,67,68
10/23/07
5:50 PM
Page 67
IdeasForDesign
+5 V
0.22
F
22
F
RTERM
2.4k
VCC
OUT
IC1
MAX6576 TS0
GND
TS1
MAX9140
IC2
Output
+
Twisted-pair
cable
10
10k
220k
F
0V
RTERM = Z0 of twisted-pair cable
1. An IC that integrates a temperature sensor with signal electronics and a simple receiver circuit using
a comparator enable the remote acquisition of temperature data over a twisted-pair cable.
sors. IC1, a MAX6576, is an absolute
temperature-to-period converter that
integrates a sensor with the necessary
signal electronics. It connects to the
receiver (a simple comparator) using a
twisted-pair cable that simultaneously
carries power to the sensor and signals
from the sensor.
At the receiving end, you can recover
the temperature data from the comparator-output pulses with a simple timer/
counter routine executed by a microcontroller. Or, in analog form, you can use a
constant-slope, linear saw-tooth generator synchronized with the received pulses, followed by a peak sample/hold
(S/H) converter.
With its TS0 and TS1 terminals connected, the MAX6576 exhibits a digitizing conversion constant of 10 s/K (Fig. 1). So at
room temperature (300K), the output
pulse period should be 3000 s (3 ms),
which corresponds to a repetition rate of
about 333 Hz. Figure 2 shows this to be
the case, even with a 1000-m (approximately 3300-ft) cable. Similar results were
obtained using a 60-cm (2-ft) cable. Figure
3 shows the receivers input pulses using
the 1000-m twisted-pair cable. The timing
results were similar to those measured
using the 60-cm cable.
Measurements of the receivers pulseto-pulse output jitter (where total measurement error is the ratio of jitter to the
signal period) indicate that the jitter influence is negligible, even with the long
cable. This transmission scheme can also
be used with temperature-to-frequency
converters and other sensors.
ALFREDO SAAB, applications engineering manager, studied in Buenos Aires, Argentina. Previously, he worked as an American Scientific Associate at CERN, Geneva, Switzerland.
2. The receiver output pulses for a 1000-m twisted-pair cable exhibit the expected 3-ms period.
ED ONLINE 17370
67
p64,67,68
10/23/07
6:20 PM
Page 68
IdeasForDesign
erters
v
n
o
C
DC-DC
up
to
c
d
V
0
0
5,0
Tuning A Quadrature
Encoder By Ear
MICHAEL COVINGTON | University of Georgia, Athens
mc@uga.edu
V+
V+
1k
+
1/ 2
LM393
Photocell
ure
t
a
i
n
i
M
a
r
Ult
5"
V+
.
.5"x.5"x
om
lectronics.c
www.picoe
send direct
for FREE PICO Catalog
Call toll free 800-431-1064
in NY call 914-738-1400
Fax 914-738-8225
PICO
Electronics,Inc.
68
V+
+
1k
+
1/ 2
LM39 3
V
5.00 V
Slotted
disc
Photocell
Walkmanstyle
headphones
By using a pair of headphones at the output of this older lab instrument, the author was able to adjust
the quadrature encoders potentiometers into the middle of the working range.
The human ear is very good at
detecting noise or missing cycles in a
waveform, and since we have two ears,
we can monitor two signals at once.
I recently repaired an older lab instrument containing a quadrature encoder
made with an incandescent lamp, a slotted disc, and two photocells (left side in
the figure). It turned out that the lamp
brightness, and hence the supply voltage, was quite critical, and the potentiometers had to be adjusted to give reliable performance.
A digital oscilloscope was hard to use
as an output indicator because its display tended to freeze every time there
was a sudden changeexactly what we
want a digital scope to do under normal
circumstances. An analog scope was not
available, so I decided to use headphones as the output indicator (right
side in the figure). The procedure was to
dim the room lights, twirl the shaft, and
listen for smooth whines in both ears. It
took me only a couple of minutes to
bring each potentiometer into the middle of the working range.
MICHAEL A. COVINGTON is
a senior research scientist in
the Microelectronics Laboratory in the Artificial Intelligence Center at the University of Georgia.
ED ONLINE 17371
10/22/07
4:09 PM
Page 69
Features
2LSB INL, 16-Bits No Missing Codes
3.0
www.linear.com/2450
VCC = VREF = 3V
2.5
1-800-4-LINEAR
2.0
1.5
1.0
INL (LSB)
pg69
0.5
0
0.5
1.0
1.5
www.linear.com/indsolutions
2.0
2.5
3.0
0
0.5
1.0
1.5
2.0
2.5
3.0
p70-71_DC1105.qxd
10/23/07
5:58 PM
Page 70
ELECTRONIC DESIGN
Direct Connection
Front Panels?
Download the free Front Panel Designer
to design your front panels in minutes ...
Product Alert
Spray Down Protection For
Less Than the Cost of Drip
Bud Industries announced its SN Series
NEMA-rated sheet-metal boxes the newest
addition to Buds complete line of environmentally sealed enclosures. Because of
economies in manufacturing, now engineers
www.frontpanelexpress.com
Express PCB
www.FineCircuits.com
EXCITING OFFER
2 layer starting at $9 ea
4 layer starting at $19 ea
2 layer Flex starting at $49 ea
QUICK TURN SPECIAL
2 layer 1 day 5 pc $179/lot
READER SERVICE 84
Phone: 630-213-8700
Fax:
630-213-0776
Email: sales@finecircuits.com
Fine Circuits Inc. / CIRCLE 92
ing field applications, including meter monitoring, RFID, and electronic toll collection,
the BR 1/2AA provides reliable power at
operating temperatures from - 40C to
+100C. Features include 1000 mAH capacity, constant discharge voltage, and no passivation layer. Available in 2 and 3 pin configurations.
For more information, contact oembatteries@us.panasonic.com
Panasonic
www.panasonic.com/batteries
READER SERVICE 121
Tern Inc. / CIRCLE 130
70
p70-71_DC1105.qxd
10/23/07
5:59 PM
Page 71
ELECTRONIC DESIGN
Direct Connection
71
p72
10/23/07
6:59 PM
Page 72
IndexOfAdvertisers
Ad
Number
Page
Ad
Page
$VUUJOH&EHF&OHJOFFSJOHJO"DUJPO
*/530%6$*/(
4QPOTPSFECZ
#SPVHIUUPZPVCZ
XXX&OHJOFFSJOH57DPN
72
Number
Ad
Number
Page
pgCv3
10/22/07
4:01 PM
Page Cv3
READER SERVICE 94
10/23/07
1:22 PM
Page Cv4
The OPA369 precision amplier from Texas Instruments is the industrys lowest power zero-crossover op amp. Featuring
a unique single input stage architecture, the device achieves rail-to-rail performance without input crossover. With 1A
quiescent current, SC70 package and operation down to 1.8V, the OPA369 enables higher performance and simplies
designs in portable, battery-powered applications.
OPA369 vs Competition
200
VS = +1.8V
Offset Voltage (V)
Cv4
100
OPA369
0
100
Competitors
200
0
0.3
0.6
0.9
1.2
1.5
1.8