You are on page 1of 2

VLSI DESIGN AND IMPLEMENTATION OF

WATER PUMP CONTROLLER USING


VHDL

In this project of “VLSI design and implementation of Water Pump


Controller using VHDL” we design a complex digital circuit using the
language VHDL (Very High Speed Integrated Circuit Hardware
Description Language).
As it is not possible to design such a complex digital circuit
(consisting of more than 30,000 logic gates) manually to implement
the above application, we use VLSI Technology for the solution. With
this technology we can construct a very big digital circuit requiring
more than one lakh logic gates in a single chip.
This is carried out (Designed) by Programming. Thus it is simple and
easy to modify the existing design (add more features) by changing
the instructions alone in the program and not the hardware.
This final program is edited, compiled, synthesized and using the
proper device we simulate and see the result (working of the IC that
we have designed) on the screen.
In general we switch ON a motor, fill the tank and then we switch
OFF the motor. It is common. Here neither the over flowing is
prevented nor the dry run (motor working without pumping water) is
prevented.
This causes several losses, for example water itself due to overflow,
power, motor gets heated due to dry run and coil burns away etc.
All the above losses are prevented with the help of single VLSI chip
which consists of a complex controller circuit that we design by
programming in VHDL

You might also like