You are on page 1of 133

Gio trnh in t iu khin logic

TRN KIM PHC


1
Mc lc
Ni dung trang
Mc lc.......................................................................................................................1
Gii thiu chung ........................................................................................................4
Chng 1- C s l thuyt ........................................................................................5
1.1- Khi nim v logic hai trng thi .....................................................................5
1.2- Cc hm v cc tnh cht c bn ca i s logic............................................5
1.2.1- Hm logic c bn......................................................................................5
1.2.2- Cc tnh cht v mt s h thc c bn ca i s logic...........................8
1.3- Cc phng php biu din hm logic.............................................................9
1.3.1- Phng php biu din thnh bng...........................................................9
1.3.2- Phng php hnh hc.............................................................................10
1.3.3- Phng php biu thc i s (phng php gii tch)...........................11
1.3.4- Phng php biu din hm logic bng bng Karnaugh (Cc n) .........12
1.4- Cc phng php ti thiu ho hm logic......................................................13
1.4.1- Phng php ti thiu ho hm logic bng bin i i s ....................13
1.4.2- Phng php ti thiu ho hm logic theo thut ton.............................13
1.5- Cu hi v bi tp...........................................................................................18
Chng 2 - H iu khin t hp ...........................................................................19
2.1- Khi nim v M hnh ton hc iu khin logic t hp...............................19
2.2- Cch phn tch h iu khin logic t hp v ng dng ................................19
2.3- Tng hp mch t hp ...................................................................................21
2.4- Cu hi v bi tp...........................................................................................25
Chng 3- Mch logic trnh t...............................................................................26
3.1- Khi nim chung............................................................................................26
3.1.1- Gii thiu v mt s nh ngha..............................................................26
3.1.2- Mt s phn t nh trong logic trnh t ..................................................28
3.2. Cc phng php m t mch logic trnh t...................................................30
3.2.1- Phng php bng chuyn trng thi ......................................................30
3.2.2- Phng php hnh trng thi ..............................................................32
3.2.3- Phng php lu ................................................................................33
3.3- Tng hp mch trnh t..................................................................................36
Gio trnh in t iu khin logic
TRN KIM PHC
2
3.3.1- Tng hp theo phng php bng trng thi...........................................36
3.3.2- Tng hp theo phng php hnh Mealy hoc Moore .......................38
3.4- V d v mch trnh t ...................................................................................38
3.5. Grafcet cng c m t mch trnh t trong cn........................................39
3.5.1- Hot ng theo logic trnh t ca thit b cng nghip..........................39
3.5.2- nh ngha grafcet. .................................................................................40
3.5.3- Mt s k hiu dng trong grafcet..........................................................41
3.5.4- Qui tc vt qua chuyn tip ..................................................................42
3.6- Cu hi v bi tp...........................................................................................44
Chng 4 - H K logic s dng PLC ..................................................................45
4.1- Tng qut v PLC .........................................................................................45
4.1.1- Khi nim................................................................................................45
4.1.2- Cu to ca PLC......................................................................................45
4.1.3- S cu trc PLC..................................................................................47
4.1.4- Nguyn l lm vic .................................................................................48
4.1.5- u nhc im .......................................................................................48
4.1.6- ng dng: ................................................................................................49
4.1.7- Trnh t thit k h iu khin logic ng dng PLC...............................49
4.2- Thit b iu khin logic kh trnh S7 - 200...................................................50
4.2.1- Cu hnh cng .........................................................................................50
4.2.2- M t cc n bo v cng tc................................................................52
4.2.3- Cu trc b nh.......................................................................................53
4.2.4- M rng cng vo ra (Module m rng) ................................................54
4.2.5-Thc hin chng trnh............................................................................55
4.2.6- Cu trc chng trnh..............................................................................56
4.3 Ngn ng lp trnh ca S7-200.......................................................................57
4.3.1- Gii thiu chung......................................................................................57
4.3.2- Bng tm tt mt s lnh c bn ca S7-200..........................................59
4.3.3- C php h lnh ca S7-200....................................................................63
4.3.4- Son tho chng trnh ...........................................................................74
4.3.5- Mt s v d ng dng S7-200...............................................................80
Chng 5 ..................................................................................................................86
Gio trnh in t iu khin logic
TRN KIM PHC
3
Thit b iu khin logic kh trnh S7-300............................................................86
5.1- Cc module ca PLC S7-300 .........................................................................86
5.1.1- Module CPU ...........................................................................................87
5.1.2- Module m rng......................................................................................87
5.2- Kiu d liu v phn chia b nh...................................................................88
5.2.1- Kiu d liu:............................................................................................88
5.2.2- Cu trc b nh ca CPU........................................................................90
5.3- Vng qut chng trnh. ................................................................................91
5.3.1- Cu trc chng trnh..............................................................................91
5.4- Nhng khi OB c bit.................................................................................93
5.5- Ngn ng lp trnh ca S7-300 ......................................................................94
5.5.1- Cu trc lnh v trng thi kt qu .........................................................95
5.5.2- Cc lnh c bn.......................................................................................98
5.5.3- Cc lnh iu khin chng trnh .........................................................104
5.5.4 B thi gian (Timer)...............................................................................107
5.5.5- B m (Counter)..................................................................................112
5.5.6- K thut s dng con tr.......................................................................115
5.6- K thut lp trnh .........................................................................................117
5.6.1- Gii thiu chung....................................................................................117
5.6.2- Lp trnh tuyn tnh...............................................................................122
5.6.3- Lp trnh c cu trc .............................................................................123
5.6.4- S dng cc khi OB.............................................................................126
Gio trnh in t iu khin logic
TRN KIM PHC
4
Gii thiu chung

Mn hc iu khin logic c a vo ni dung o to
i hc v sau i hc ca ngnh T ng ho Trng i hc K
thut Cng nghip t nhiu nm nay. Sau mt thi gian ging dy, ni
dung ca mn hc c b xung v hon chnh, cp nht nhiu kin
thc mi nhm cung cp ngi hc nhng kin thc c bn v hin i
v phng php tip cn h thng iu khin logic v vic ng dng b
iu khin logic kh trnh (PLC) trong cng nghip.
Nhm mc ch phc v cho chng trnh o to ca ngnh T
ng ho, bi ging iu khin logic c b xung thm mt s
kin thc mi nhm tng cng tnh h thng ca iu khin logic t c
s l thuyt n ng dng thc t.
Gio trnh in t iu khin logic
TRN KIM PHC
5

Chng 1- C s l thuyt
1.1- Khi nim v logic hai trng thi
Trong cuc sng hng ngy, cc s vt hin tng thng biu hin hai mt
i lp thng qua hai trng thi i lp r rt ca n m con ngi d dng nhn bit
c s vt v hin tng bng cch phn bit hai trng thi . V d nh khi ni
v gi c v cht lng hng ho ta thng c khi nim t v r hay tt v xu
Trong k thut, c bit trong k thut in v iu khin, ta thng c khi
nim v hai trng thi: ng v ct, kn hay h, lm vic hay khng lm vic, c
in hay mt in,
Trong ton hc, lng ho hai trng thi i lp ca s vt hay hin tng
ngi ta dng hai gi tr: 0 v 1. Gi tr 0 hm c trng cho mt trng thi ca s
vt hoc hin tng th gi tr 1 hm c trng cho trng thi i lp ca s vt
hoc hin tng . Ta gi l cc gi tr 0 v 1 logic.
Cc nh bc hc xy dng cc c s ton hc tnh ton cc hm v bin
ch ly vi hai gi tr 0 v 1 ny, hm v bin c gi l hm v bin logic, c
s ton hc tnh ton cc hm v bin gi l i s logic. i s logic cng c
tn l i s Boole v ly theo tn nh ton hc Boole, ngi c cng u trong vic
xy dng nn cng c i s logic.
1.2- Cc hm v cc tnh cht c bn ca i s logic
1.2.1- Hm logic c bn
Mt hm y = f(x
1
, x
2
, , x
n
) vi cc bin x
1
, x
2
, ,x
n
ch nhn hai gi tr: 0
hoc 1 v hm y cng ch nhn hai gi tr: 0 hoc 1, th x
1
, x
2
, , x
n
c gi l cc
bin logic v y l hm logic.
- Hm logic mt bin: y = f(x)
V bin x s nhn mt trong hai gi tr 0 hoc 1, nn hm y c 4 kh nng hay
thng gi l 4 hm y
0
, y
1
, y
2
, y
3
. Cc kh nng v cc k hiu mch rle v in t
ca hm mt bin cho trong bng 1.1. Trong hai hm y
0
v y
3
c gi tr lun
khng i nn ta t quan tm, thng ch xt n y
1
v y
2
.


Gio trnh in t iu khin logic
TRN KIM PHC
6

Bng 1.1- Hm logic mt bin y = f(x)
Bng chn l K hiu s Ghi ch
Tn hm
x 0 1
Thut ton logic
Mch rle Khi in t
Hm
khng
y
0
0 0
y
0
= 0; y
0
= x x
Hm lun
bng khng
Hm o y
1
1 0
y
1
= x




Hm lp y
2
0 1 y
2
= x




Hm
n v
y
3
1 1
y
3
= x+x



Hm lun
bng 1

Hm logic hai bin y = f(x
1
, x
2
)
Vi hai bin logic x
1
, x
2
m mi bin c th nhn gi tr 0 hoc 1 ta c 16 t
hp logic to thnh 16 hm c biu din bng 1.2
Bng 1.2: Hm logic hai bin y = f(x1, x2)
Bng chn l K hiu s
x
1
1 1 0 0
Tn hm
x
2
1 0 1 0
Thut ton
logic Mch rle Khi in t
Ghi ch
Hm
khng
y
0
0 0 0 0
y
0
=x
1
x
1
+x
2
x
2

Hm lun c
gi tr bng 0
Hm
Piec
y
1
0 0 0 1
y
1
= 1 x . 2 x
y
1
=
2 1
x x +


Hm
cm x
1

y
2
0 0 1 0
y
2
= 1 x x
2



Hm o
x
1

y
3
0 0 1 1
y
3
= 1 x



Ch ph
thuc vo x
1
Hm
cm x
2

y
4
0 1 0 0
y
4
= x
1
2 x




x
y
1
x
y
2
x
y
1
x
x
y
2
x
y
x x
y
x x
y
x
1
y
x x
x
y
4
x
x
1
y
3
x
y
y
x
y
x
x
Gio trnh in t iu khin logic
TRN KIM PHC
7

Hm o
x
2

y
5
0 1 0 1
y
0
= x
2
Ch ph thuc
vo x
2

Hm
hoc loi
tr

y
6


0

1

1

0
y
6
=
x
1
2 x + 1 x x
2




Cng module
Hm
Cheffer
y
7
0 1 1 1
y
7
= 1 x +x
2
=
=
1 2
x x


Hm V y
8
1 0 0 0 y
8
= x
1
.x
2





Hm
cng du
y
9
1 0 0 1
y
9
=x
1
x
2
+ 1 x 2 x





Hm lp
theo x
2
y
10
1 0 1 0 y
10
= x
2

Ch ph thuc
x
2
Hm ko
theo x
2
y
11

1

0

1

1

y
11
= 1 x +x
2





Hm lp
theo x
1
y
12
1 0 1 0 y
12
= x
1

Ch ph thuc
x
1
Hm ko
theo x
1
y
13
1 1 0 1
y
13
= x
1
+ 2 x




Hm
hoc
y
14
1 1 1 0 y
14
= x
1
+x
2





Hm n
v
y
15

1 1 1 1




Hm lun
bng 1
Ta c nhn xt: Cc hm i xng qua trc nm gia y
7
v y
8
, ngha l y
0
=
15
y ,
y
1
=
14
y ,
- Hm logic n bin y = f(x
1
, x
2
, , x
n
)
y
x
2
x
2
y
5
y
x x
x x
x
1
x
2
y
6
y
x
x
x
1
x
2
y
7
y
x x
x
y
8
x
y
x x
x x
x
1
x
2
y
9
y
10
x
2
x
2
y
10
x
1
x
2
y
11
y
12
x
1
x
1
y
12
x
y
13
x
x
1
x
2
y
1
y
14
x
x
x
1
x
2
y
14
y
15

x x
x x
x
1
x
1
x
2
x
2
y
15
y
11
x
x
Gio trnh in t iu khin logic
TRN KIM PHC
8
Vi hm logic n bin, mi bin nhn mt trong hai gi tr 0 hoc 1 nn ta c 2
n

t hp bin, mi t hp bin li nhn hai gi tr 0 hoc 1, do vy s hm logic l
n
2
2 .
Vi s bin bng n = 1 ta c 4 kh nng to hm, n = 2 c 16 cn vi n = 3 s c
256 kh nng to hm, nh vy khi s bin nhiu th s hm c kh nng to thnh
rt ln. Tuy nhin tt c cc kh nng ny u c biu hin qua cc kh nng tng
logic, tch logic v nghch o logic ca cc bin.
Trong tt c cc hm c to thnh, ta c bit ch n loi hm tng
chun v hm tch chun. Hm tng chun l hm cha tng cc tch m mi tch c
tt c cc bin ca hm. Hm tch chun l hm cha tch cc tng m mi tng
u c tt c cc bin ca hm.
1.2.2- Cc tnh cht v mt s h thc c bn ca i s logic
Cc tnh cht ca i s logic c th hin 4 lut c bn l: lut hon v,
lut kt hp, lut phn phi v lut nghch o (nh l De Morgan).
Cc lut v mt s h thc c bn l:
1. nh lut giao hon i vi cng v nhn logic
a b b a + = +
a.b b.a =
2. nh lut kt hp i vi cng v nhn logic
a ( b c) (a b) c + + + = + +
a.(.b.c) (a.b).c =
3. nh lut phn phi: a(b c) ab ac + = +
a + b. c = (a + b). (a + c)
4. nh lut nghch o (De - Morgan)
a b a.b + =
a.b a b = +
5. nh lut ph nh hai ln: a a =
6. nh lut hp th: a(a b) a + =
a(a b)(a c)...(a z) a + + + =
7. Lut dnh a.b a.b a + =
Gio trnh in t iu khin logic
TRN KIM PHC
9
(a b)(a b) a + + =
8. Qui tc tnh i vi cc hng s 0 v 1
0 1 1 = 0 =
a.1 a a.0 = 0 =
a 0 a a+1=1 + =
9. Qui tc tnh i vi bin v ph nh ca n
a.a 0 a+a = 1 =
10. Lut ng nht
a a a a.a = a + =
11. Kt hp lut phn phi v php bin i ng nht
a a.b a b + = +
Ta c th kim tra nh lut phn phi (3) bng bng sau (bng 1.3):
Bng 1.3: Kim nghim lut phn phi

a b c a.(b + c) a.b + a.c a + b.c (a + b).(a + c)
0 0 0 0 0 0 0
0 0 1 0 0 0 0
0 1 0 0 0 0 0
0 1 1 0 0 1 1
1 0 0 0 0 1 1
1 0 1 1 1 1 1
1 1 0 1 1 1 1
1 1 1 1 1 1 1

1.3- Cc phng php biu din hm logic
1.3.1- Phng php biu din thnh bng
Vi phng php ny, cc gi tr ca hm logic ph thuc vo cc bin c
biu din thnh mt bng. Nu hm c n bin th bng c n+1 ct (n ct cho bin v
mt ct cho hm) v 2
n
hng tng ng vi 2
n
t hp ca bin. Bng ny thng gi
l bng chn l.
Gio trnh in t iu khin logic
TRN KIM PHC
10
V d: Cho mt hm 3 bin vi gi tr hm cho c biu din thnh bng
nh bng 1.4:
Bng 1.4
Gi tr thp phn (nh
phn) ca t hp bin
x
1
x
2
x
3
y
0 (000) 0 0 0 1
1 (001) 0 0 1 0
2 (010) 0 1 0 x
3 (011) 0 1 1 x
4 (100) 1 0 0 0
5 (101) 1 0 1 1
6 (110) 1 1 0 x
7 (111) 1 1 1 1
Ghi ch: Nhng ch nh du x l nhng t hp bin m gi tr hm khng xc
nh (c th l 0 hoc 1)
u im ca phng php ny l d nhn, t nhm ln. Nhc im l cng
knh, c bit l khi s bin ln.
1.3.2- Phng php hnh hc
Trong phng php biu din ny, min xc nh ca hm c biu din
trong khng gian n chiu. Mi t hp bin c biu din bng mt im trong
khng gian . Hm n bin tng ng vi khng gian n chiu c 2
n
im trong
khng gian , ng vi mi im s c mt gi tr ca hm. Hai im nm trn cng
mt trc ch khc nhau bi s thay i gi tr ca mt bin. Hnh 1.1 l cch biu
din hm logic 1, 2 v 3 bin.








Hnh1.1- Biu din hnh hc hm logic
a - Hm 1 bin; b - Hm 2 bin; c - Hm 3 bin
0 1 x
10
11
x
1
00
01
x
2
x
2

010
110
x
1

000
100
101
011
001
111
x
3
a
b
c
Gio trnh in t iu khin logic
TRN KIM PHC
11

Nhc im ca phng php ny l khi s bin ln s rt phc tp.
1.3.3- Phng php biu thc i s (phng php gii tch)
Ngi ta chng minh c rng, mt hm logic n bin bt k bao gi cng
c th biu din thnh cc hm tng chun y v tch chun y .
Cch vit hm di dng tng chun y :
- Ch quan tm n t hp bin m hm c gi tr bng 1. S ln hm
bng 1 s chnh l s tch ca cc t hp bin, mi tch c gi l mt
mintec, k hiu l m
i
.
- Trong mi tch, cc bin c gi tr bng 1 c gi nguyn, cn cc
bin c gi tr bng 0 th c ly gi tr nghch o.
- Hm tng chun y l tng cc tch .
Cch vit hm di dng tch chun y :
- Ch quan tm n t hp bin m hm c gi tr bng 0. S ln hm
bng 0 s chnh l s tng ca cc t hp bin, mi tng c gi l mt
Maxtec, k hiu l M
i
.
- Trong mi tng, cc bin c gi tr bng 0 c gi nguyn, cn cc
bin c gi tr bng 1 th c ly gi tr nghch o.
- Hm tch chun y l tch cc tng .
V d: Xt hm c biu din bng 1.4
Dng tng chun y : T bng 1.4, ta thy c 3 t hp bin hm c gi
tr bng 1 l cc t hp 0, 5, 7. Hm c dng:

o 5 7 1 2 3 1 2 3 1 2 3
y m m m x .x .x x x x x x x = + + = + +
Dng tch chun y : T bng 1.4, ta thy c 2 t hp bin hm c gi tr
bng 0 l cc t hp 1, v 4. Hm c dng:
3 1
1 4 1 2 2 3
y M M (x x x ).(x x x ) = = + + + +
Phng php ny c u im l ngn gn, d s dng cc lut ca i s logic
rt gn hm. Ngi ta c th biu din gn cc hm trn:
Dng tng chun y :
y = 0,5,7 vi N = 2, 3, 6
Gio trnh in t iu khin logic
TRN KIM PHC
12
Dng tch chun y :
y = 1,4 vi N = 2, 3, 6
trong : N = 2, 3, 6 l cc th t t hp bin m hm khng xc nh.
1.3.4- Phng php biu din hm logic bng bng Karnaugh (Cc n)
Nguyn tc xy dng bng Karnaugh l:
- biu din mt hm logic n bin, cn thnh lp mt bng c 2
n
; mi
tng ng vi mt t hp bin. nh s th t cc trong bng tng ng vi gi tr
ca t hp bin.
- Cc cnh nhau hoc i xng nhau ch cho php khc nhau v gi tr ca
mt bin.
- Trong cc ghi gi tr ca hm tng ng vi gi tr ca t hp bin .
V d: Hnh 1.2 l bng Karnaugh ca hm 2 bin



















x
3
x
4
x
1
x
2
00 01 11 10
00
4 3 2 1
x x x x + + +
4
3 2 1
x x x x + + + 4 3
2 1
x x x x + + + 4 3
2 1
x x x x + + +
01
4 3
2
1
x x x x + + + 4
3
2
1
x x x x + + + 4 3 2
1
x x x x + + +
4
3 2
1
x x x x + + +
11
4 3
2 1 x x x x + + + 4
3
2 1 x x x x + + + 4 3 2 1 x x x x + + +
4
3 2 1 x x x x + + +
10
4 3 2
1 x x x x + + + 4
3 2
1 x x x x + + + 4 3
2
1 x x x x + + +
4
3
2
1 x x x x + + +
Hnh 1.2- Bng Karnaugh cho hm 2 bin; V d: y=1,2 v N=3

2 1 x x
x
1
x
2 0

1

1

0

x
1
2 x
1 x x
2
x
1
x
2
0

1

2

3

x
1
x
2 0
1

1

0

x

0

1

2

3

0

1

1

Hnh 1.3- Bng Karnaugh cho hm 3 bin; V d: y =1,3,5 vi N=2,4,7

3 2 1 x x x
x
1
x
2
x
3
00

01

1

0

x
1 3 2 x x

1 x 2 x x
3
3
2
1
x x x
0
1

4
5

10

3

7

2

6

1 x x
2
x
3
11

3
2
1 x x x
x
1
x
2
x
3
x
1
x
2 3 x

x
1
1

0

x

0

1

4
5

0

1

1

3

2

7

6

00

01

10

11

x

x

1

0

x
2
x
3
Gio trnh in t iu khin logic
TRN KIM PHC
13
x
3
x
4
x
1
x
2
00 01 11 10
00 0 x x
01 0
11 0 x
10 x

Hnh 1.4- Bng Karnaugh ca hm 4 bin. V d y = 1,7,13 v N=2,3,11,15
1.4- Cc phng php ti thiu ho hm logic
Khi phn tch v tng hp mch logic, ta phi quan tm n vn ti thiu
ho hm logic vic thc hin mch mt cch kinh t nhng vn m bo c
cc chc nng cc yu cu. Thc cht ca vn ti thiu ho hm logic l tm dng
biu din i s n gin nht ca hm v thng c hai nhm phng php:
- Phng php bin i i s
- Phng php dng thut ton
1.4.1- Phng php ti thiu ho hm logic bng bin i i s
Vic rt gn hm thng da vo cc lut v cc h thc c bn ca i s
logic
V d: Ti thiu ho hm sau:
y a.b a.b a.b (a.b a.b) (a.b a.b) b(a a) a(b b) a b = + + = + + + = + + + = +
Do tnh trc quan ca phng php nn nhiu khi kt qu a ra vn khng
bit r l ti thiu hay cha, nh vy y khng phi l phng php cht ch
cho php t ng ho qu trnh ti thiu ho hm logic.
1.4.2- Phng php ti thiu ho hm logic theo thut ton
Thng dng nht l cc phng php: bng Karnaugh v Quine Mc.
Cluskey
1) Ti thiu ho hm logic bng phng php Quine Mc. Cluskey
a. Mt s khi nim v nh ngha
+ nh: nh l mt tch cha y cc bin ca hm xut pht, nu hm
c n bin th nh l tch ca n bin.
nh 1 l nh m hm c gi tr bng 1;
nh 0 l nh m hm c gi tr bng 0;
Gio trnh in t iu khin logic
TRN KIM PHC
14
nh khng xc nh l nh m ti hm c th ly mt trong hai gi
tr bng 0 hoc 1.
V d: Cho hm y = f(x
1
, x
2
, x
3
) c L = 2,3,7 v N = 1,6 (L l cc th t t
hp bin m hm c gi tr bng 1). Cc nh ny c th nh du theo s h thp
phn hay c th theo s nh phn nh bng 1.5.
Bng 1.5
Tch
3
2 1 x . x . x
3 2 1
x . x . x
3 2
1 x . x . x
3 2 1
x . x . x 3 2 1
x . x . x
S nh phn 001 010 011 110 111
S thp phn 1(x) 2 3 6(x) 7
+ Tch cc tiu: Tch cc tiu l tch c s bin l cc tiu hm c gi tr
bng 1 hoc c gi tr khng xc nh.
+ Tch quan trng: Tch quan trng l tch cc tiu m gi tr hm ch duy
nht bng 1 tch ny.
b. Ti thiu ho hm logic bng phng php Quine Mc. Cluskey
Cc bc tin hnh:
Qu trnh ti thiu ho hm logic bng phng
php Quine Mc. Cluskey c tin hnh theo cc
bc nh trn hnh 1.5.
V d minh ho: Cho hm y = f(x
1
, x
2
, x
3
, x
4
)
vi cc nh bng 1 l L = 2, 3, 7, 12, 14, 15; v cc
nh hm khng xc nh l N = 6, 13 (bng 1.6).
Hy ti thiu ho hm bng phng php Quine
Mc. Cluskey
Cch lm:
Bc 1: Tm cc tch cc tiu
Cc cng vic tin hnh nh sau:
+) Lp bng biu din cc gi tr hm bng 1
v cc gi tr khng xc nh ng vi m nh phn
ca cc bin (bng 1.6a).
+) Sp xp cc t hp bin theo m nh phn
Cho hm vi tp L v N
1. Tm cc tch cc tiu
2. Tm cc lin kt phi
ti thiu cc nh
3. Vit ra hm cc tiu
Kt thc
Bt u
Hnh 1.5- Cc bc ti thiu
ho hm logic theo phng
php Quine
Gio trnh in t iu khin logic
TRN KIM PHC
15
theo th t s cc ch s 1 tng dn t 0, 1, 2, 3, . Nh vy y ta c 4 t hp:
t hp 1 (gm cc s cha 1 ch s 1), t hp 2 (gm cc s cha 2 ch s 1), t
hp 3 (gm cc s cha 3 ch s 1), t hp 4 (gm cc s cha 4 ch s 1) (bng
1.6b).
+) So snh mi t hp th i vi mt t hp th i+1, nu hai t hp ch khc
nhau mt ct th kt hp hai t hp thnh mt t hp mi, ng thi thay ct s
khc nhau ca 2 t hp c bng mt gch ngang (-) v nh du V vo hai t hp c
(bng 1.6c). V c s ton hc, y thu gn cc t hp ta s dng tnh cht:
a.b + a. b = a
+) Tip tc cng vic: T bng 1.6c ta chn ra cc t hp ch khc nhau 1
ch s 1 v c cng gch ngang (-) trong mt ct, ngha l c cng bin va c
gin c bng 1.6c, nh vy ta c bng 1.8d.
Cc t hp tm c bng 1.6d l t hp cui cng, khng cn kh nng kt
hp na, y chnh l cc tch cc tiu ca hm f cho v c vit:
0 - 1 - (ph cc nh 2, 3, 6, 7) :
1
x x
3

- 1 1 - (ph cc nh 6, 7, 14, 15) : x
2
x
3

1 1 - - (ph cc nh 12, 13, 14, 15): x
1
x
2

Bng 1- 6
Bng a Bng b Bng c Bng d
S
thp
phn
S nh
phn
(x
1
x
2
x
3
x
4
)
S
ch
s 1
S
thp
phn
S nh
phn
(x
1
x
2
x
3
x
4
)

Lin kt
S nh
phn
(x
1
x
2
x
3
x
4
)

Lin kt
S nh
phn
(x
1
x
2
x
3
x
4
)

2


0010

1

2

0010V

2,3

001-V
2,3,6,7
2,6,3,7

0-1-

3


0011

3

0011V

2,6

0-10V
6,7,14,15
6,14,7,15

-11-
6 0110 6 0110V 3,7 0-11V
12 1100


2

12 1100V 6,7 011-V
12,13,14,15
12,14,13,15

11--
7 0111 7 0111V 6,14 -110V
13 1101 13 1101V 12,13 110-V
14 1110

3
14 1110V 12,14 11-0V
15 1111 15 1111V 7,15 -111V
13,15 11-1V

4
14,15 111-V
Gio trnh in t iu khin logic
TRN KIM PHC
16
Bc 2: Tm cc tch quan trng
Vic tm cc tch quan trng cng c tin hnh theo trnh t nhiu bc nh.
Gi thit c i bc nh, vi i = 0, 1, 2, 3, , k
Gi L
i
l tp cc nh 1 ang xt bc th i, lc ny khng quan tm n cc
nh c gi tr khng xc nh na.
Z
i
l tp cc tch cc tiu bc nh th i.
E
i
l tp cc tch quan trng bc nh th i.
Trnh t cng vic c tin hnh nh sau:
+) Vi i = 0
L
0
= L = (2, 3, 7, 12, 14, 15)
Z
0
= Z = (
1
x x
3
, x
2
x
3
, x
1
x
2
)
Xc nh cc tch quan trng E
0
t cc tp L
0
v Z
0
nh sau:
Lp mt bng trong mi hng ng vi mt tch cc tiu thuc Z
0
, mi ct
ng vi mt nh thuc L
0
. nh du x vo cc trong bng ng vi tch cc tiu
bng 1.
Xt tng ct, ct no ch c mt du x th tch cc tiu ng vi n l tch
quan trng nh bng 1.7.
Bng 1.7. E
0
= (
1
x x
3
, x
1
x
2
)
L
0

Z
0

2 3 7 12 14 15
1
x x
3
(x) (x) x
x
2
x
3
x x x
x
1
x
2
(x) x x
+) Vi i = 1
L
1
: Tm L
1
t L
0
bng cch loi khi L
0
cc nh 1 ca E
0
.
Z
1
: Tm Z
1
t Z
0
bng cch loi khi Z
0
cc tch trong E
0
v cc tch nm
trong hng c chn t E
0
( l cc tch khng cn thit).
Lp bng tng t nh trn, t bng cng bng cch tng t trn s tm
c tch quan trng E
1
.
Cng vic c tip tc cho n khi ht cc tch cc tiu
Gio trnh in t iu khin logic
TRN KIM PHC
17
L
i+1
= L
i
- E
i

Z
i+1
= Z
i
- E
i

Lp bng L
i+1
v Z
i+1
tm E
i+1
. Lp li cng vic cho n khi L
k
= 0.
Trong v d trn th L
1
= 0, do vy ta c hm c ti thiu ho l:
f =
1
x x
3
+ x
1
x
2

2/ Phng php dng bng Karnaugh
Phng php ny c tin hnh theo cc bc sau:
Bc 1: Biu din hm cho thnh bng Karnaugh.
Bc 2: Xc nh cc tch cc tiu hoc tng cc tiu.
Bc 3: Tm cc lin kt ph ti thiu cc 1 (nu biu din ti thiu theo hm
tng) hoc cc 0 (nu biu din theo hm tch), sau vit hm kt qu theo
tng hoc tch.
V d 1: Hy ti thiu hm logic sau y theo hm tng:
y = f(x
1
, x
2
, x
3
, x
4
) = 1, 5, 6, 7, 11, 13; v N = 12, 15;
Gii:
Bc 1: Lp bng Karnaugh. V hm c 4 bin nn ta c th lp bng
Karnaugh thnh 4 hng v 4 ct nh hnh 1.6.

x
3
x
4
x
1
x
2
00 01 11 10

00
0 1
1
3 2

01
4 5
1
7
1
6
1

11
12
x
13
1
15
x
14

10
8 9 11
1
10

Hnh 1.6: Bng Karnaugh ca hm y = f(x
1
, x
2
, x
3
, x
4
)
Quan st bng Karnaugh v ch xt cc lin kt ti thiu ph ht cc c kt
qu hm bng 1 (lc ny khng xt cc c k hiu x), nh vy ta c kt qu
ti thiu ca hm l:
1 3 1
4 2 4 1 3 4 2 3
y A C D E x x x x x x x x x x x = + + + = + + +
D
E
B
A
C
Gio trnh in t iu khin logic
TRN KIM PHC
18
1.5- Cu hi v bi tp
1. Ti thiu ho cc hm sau y bng phng php i s:
+ f (a,b,c) = (0,2,3,4,6)
+ f (a,b,c) = (0,1,4,5,6)
2. Ti thiu ho cc hm sau y bng phng php Quine Mc. Cluskey:
+ f(x
3
x
2
x
1
) vi L (nh 1)= 2,3,7 v N (nh khng xc nh) = 1,6.
+ f(x
3
x
2
x
1
x
0
) = 0,2,3,4,5,7,8,8,10,11,12,13,15.
3. Ti thiu ho hm sau y bng bng Karnaugh:
+ f(x
3
x
2
x
1
x
0
) = 0,1,2,5,7,10,14,15.












Gio trnh in t iu khin logic
TRN KIM PHC
19
Chng 2 - H iu khin t hp
2.1- Khi nim v M hnh ton hc iu khin logic t hp
Mch logic t hp l mch m trng thi u ra ca mch ch ph thuc vo t
hp cc trng thi u vo ch khng ph thuc vo trnh t tc ng ca cc u
vo. Theo quan im iu khin th mch t hp l mch h, h khng c phn hi,
ngha l trng thi ng m ca cc phn t trong mch hon ton khng b nh
hng ca trng thi tn hiu u ra.
V mt ton hc, gi thit mt mch t hp c n u vo vi cc x
i
(i = 1-n) v
m u ra vi cc y
j
(j = 1-m), ta k hiu:
X = { x
1
, x
2
, , x
n
} l tp cc tn hiu vo.
Y = { y
1
, y
2
, , y
m
} l tp cc tn hiu
ra.
th mch t hp c biu din bi m
phng trnh i s Boole nh sau:
y
j
= f
j
(x
1
, x
2
, , x
n
) vi j = 1-m.
C th biu din m hnh ton hc ca mch
t hp theo s khi hnh 2.1
2.2- Cch phn tch h iu khin logic t hp v ng dng
Bi ton phn tch c nhim v l t mch t hp c, m t hot ng ca
mch, vit cc hm logic ca cc u ra theo cc bin u vo v nu cn c th xt
ti vic ti thiu ho mch.
Gi thit c mch logic t hp nh hnh 2.2, ta tin hnh phn tch mch ny.







Y
1
a
c
b
c
b
a
Y
2
Y
1
b

c

a

c

a

b

Y
2
Hnh 2.2: Mch t hp c 3 bin vo v 2 u ra
a. K hiu theo mch r le; b. K hiu theo mch s
a b

MCH
T HP
x
1
Hnh 2.1: M hnh ton hc ca
mch t hp
x
2
x
n
y
1
y
2
y
n
Gio trnh in t iu khin logic
TRN KIM PHC
20
Vic phn tch mch c tin hnh theo cc bc sau:
-Thng k s bin vo v ra, trn c s lp bng m t trng thi ca h
thng.
Mch hnh 2.2 c 3 bin vo l a, b, c v 2 u ra l Y
1
, Y
2
, bng trng thi
ca h c biu din nh sau (bng 2.1).
Bng 2.1

a b c Y
1
Y
2
0 0 0 0 0
0 0 1 0 0
0 1 0 0 0
0 1 1 0 1
1 0 0 0 0
1 0 1 1 0
1 1 0 1 1
1 1 1 1 1
- Vit cc hm logic m t quan h gia tn hiu ra theo
tn hiu vo. S dng cc php ton logic c bn ta c th
vit c cc quan h ny. Vi v d hnh 2.2, hoc m t
bi bng 2.1, ta c:
Y
1
= (b + c).a
Y
2
= (a + c).b
- Xt kh nng ti gin mch: Gi thit thc hin mch
in nh hnh 2.2, ta c cu trc nh hnh 2.3a hoc hnh
2.3b







Vi cu trc nh hnh 2.3a ta c:
Y
1
= P.Q, Y
2
= P.R
Vi cu trc nh hnh 2.3b ta c:
Y
1
= U + V.W, Y
2
= V + U.W
Vi cu trc nh hnh 2.3a, mi khi P, Q, R u l t hp ca 3 bin a, b,
c, ta c bng Karnaugh ca P, Q, R v Y
1
, Y
2
nh hnh 2.4. y, cc gi tr ca Y
1

v Y
2
c chp li t kt qu ca bng 2.1.
Cc gi tr ca P, Q, R c th chia thnh hai nhm: mt nhm gi tr bt buc
v mt nhm c th nhn gi tr tu . V rng mch P s ni tip vi mch Q, nn
t c gi tr u ra Y
1
= 1 th P, Q bt buc phi bng 1 vi tt c cc t hp
a, b, c; ngc li khi Y
1
= 0 th ch cn P hoc Q bng 0 l . Khi t hp abc = 100
Hnh 2.3
P
Q
R
Y
Y
U
W
Y
V Y
(a) (b)
Gio trnh in t iu khin logic
TRN KIM PHC
21
ng vi Y
1
= 0, ta c th chn P = 0, cn Q c th bng 0 hoc 1. Vi cc trong
bng Karnaugh c gi tr Y
2
= 1 v Y
1
= 0 vi iu kin P = 1 th bt buc Q phi
bng 0. T suy ra: c 4 trong 8 ca bng Karnaugh ca gi tr Q c gi tr bt
buc v c 4 c gi tr tu . Vi t hp abc = 001, chn P = 1 th cng cng
Q v R phi bng 0. T lp lun ny ta in c cc gi tr trong bng Karnaugh
hnh 2.4. Vi cch ti thiu hm bng bng Karnaugh nh gii thiu phn
trc, ta c: P = ab + c, Q = a, R = b.
Vi cc biu thc P, Q, R va tm
c, ta v c s mch ti gin nh
hnh 2.5, s hnh 2.2 ta bt c mt
u vo. Trong thc t, vi mch r le th
vic gim mt u vo (mt tip im)
rt c ngha, cn vi mch s th iu
ny hiu qu khng ng k.
Vic phn tch theo cu trc hnh 2.3b cng xy ra tng t.
2.3- Tng hp mch t hp
Vic tng hp mch t hp thc cht l thit k mch t hp. Nhim v chnh
y l thit k c mch t hp tho mn yu cu k thut nhng mch phi ti
gin. Bi ton tng hp l bi ton phc tp, v ngoi cc yu cu v chc nng
logic, vic tng hp mch cn ph thuc vo vic s dng cc phn t, chng hn
nh phn t l loi r le-cng tc t, l cc phn t bn dn hay vi mch chun,
c
ab

0

1
00 0 1
01 0 1
11 1 1
10 0 1

c
ab

0

1
00

0
01

0
11 1 1
10

1

c
ab

0

1
00 0 0
01 0 0
11 1 1
10 0 1

c
ab

0

1
00

0
01

1
11 1 1
10

0

c
ab

0

1
00 0 0
01 0 1
11 1 1
10 0 0

P
Q
R
=
=
Y
1
Y
2
Hnh 2.4-
Hnh 2.5
Y
1
c
a b
b
a
Y
2
Gio trnh in t iu khin logic
TRN KIM PHC
22
Vi mi loi phn t th ngoi nguyn l chung v mch logic cn i hi phi b
sung nhng nguyn tc ring lc tng hp h thng.
Nguyn tc chung khi tng hp mch logic t hp l:
+ T cc yu cu cng ngh ta a ra c cc hm logic tho mn cc yu
cu cho.
+ Thc hin ti thiu ho cc hm logic thit lp c, tm ra cc hm ti
gin.
+ Thc hin mch logic t hp bng vic s dng cc rle, cng tc t (tng
hp mch r le), hoc bng cc phn t logic AND, OR, NAND, NOR chun ho
u vo v u ra.
V d 1: Hy thit k mch logic t hp khi cho hm logic 4 bin (4 u vo):
Y = f(a,b,c,d) = 2,4,5,7,8,13; v N = 0,1,6,9,10,15.
Gii:
1/ Ti thiu ho hm cho, y ta s dng phng php Quine Mc.Cluskey.
Tin trnh thc hin c m t theo bng 2.2.
Bng 2.2
S nh phn
S thp phn
a b c d
Lin kt
ln1
Lin kt ln 2 Kt qu
0

0 0 0 0 0,1
0,2
0,4
0,8
1
2
4
8
0
0
0
1
0
0
1
0
0
1
0
0
1
0
0
0
0, 1, 4, 5
0, 1, 8, 9
0, 2, 4, 6
0, 2, 8, 10
A
B
C
D
0 - 0 -
- 0 0 -
0 - - 0
- 0 - 0

5
6
9
10
0
0
1
1
1
1
0
0
0
1
0
1
1
0
1
0
1,5
1,9
2,6
2,10
4,5
4,6
8,9
8,10
4, 5, 6, 7

1, 5, 9, 13
E

F
0 1 - -

- - 0 1
7

13
0

1
1

1
1

0
1

1
5,7
5,13
6,7
9,13
5, 7, 13, 15 G - 1 - 1
15 1 1 1 1 7,15
13,15

Gio trnh in t iu khin logic
TRN KIM PHC
23
2/ Tm cc tch cc tiu v tch quan trng: Da vo bng 2.2 ta tm c 7 tch cc
tiu:
A = c . a ; B = c . b ; C = d . a ; D = d . b ; E = b . a ; F = d . c ; G = b.d.
T cc tch cc tiu ta lp bng 2.3 tm cc tch quan trng
Bng 2.3-Bng cc tch cc tiu
2 4 5 7 8 13
A x x
B x
C x x
D x x
E x x x
F x x
G x x x

Vi trng hp ny ta thy, khng c tch no l tch quan trng, ta c th
chn mt s tch sao cho chng va bao cc nh 1 (c nh xut hin mt s t
hp). y c th chn G, B v C, hoc l G, D v A, hoc l G, D v C, hoc l G,
D v E, hoc l D, E v F.Tt c cc kh nang ny u dng 6 tn hiu vo, v rng
mi thnh phn u c 2 tn hiu (ly t 4 u vo a, b, c, d). gi s ta chn t hp
G, B v C th hm Y s l:
Y = b.d + c b. + d a. (a)
S mch r le ng vi trng hp chn G, C v B nh hnh 2.6a.
c th t c hm n gin ta c th xt vi tp b ca tp L, tc l:
= Y f (a,b,c,d) = L(3,11,12,14) + N(0,1,6,9,10,15)
( y ta thc hin tm hm ti gin ca Y, bng phng php tng t nh lc tm
Y, nhng cc nh 1 by gi c chn l tp nh 0 ca hm Y cho).
Cng p dng phng php Quine Mc.Cluskey. Kt qu c hm ti gin:
d . b . a d . b Y + =
S dng cc lut ca i s logic ta tm c Y:
Y Y b.d a.b.d (b d).(a b d) = = + = + + + (b)
Gio trnh in t iu khin logic
TRN KIM PHC
24
Vi hm ti gin ny ta c 5 tn hiu vo, s mch r le c biu din nh
hnh 2.6b.
S cc phn t logic cho 2 trng hp (a) v (b) c cho trn hnh 2.7.

























V d 2: (hnh 2.8)
Mt t bo mt ti liu, c 4 cha
kho vi 4 ngi gi: trng phng (a), ph trng phng (b) v 2 nhn vin (c &
d). Cch m nh sau: a ch c th m khi c mt b hoc c. Cn b, c v d ch c th
m khi c t nht 2 ngi khc. Tm phng trnh logic ca kho (u ra F) theo cc
cha kho (abcd).
abcd d abc d c ab d c ab cd b a d c b a bcd a F + + + + + + =
Vi: c b a cd b a d c b a = + ; c ab d c ab d c ab = + ; abc abcd d abc = + , ta c:
c ab ) bd a a ( c c ab ac bcd a abc c ab c b a bcd a F + + = + + = + + + =
bcd ) b c ( a bcd ) c c ( a c ab bcd ac F + + = + + = + + =
bcd ) b c ( a F + + =
Hnh 2.6
Y
c
b d
b
a d
Y
b
b a
d
d
(a) (b)
Hnh 2.7
c

b

d

Y

a

(a)

Y
b

d

a

(b)

d c ab
d c ab
d abc
cd b a
d c b a

bcd a
u vo Cc
t
hp
a b c d
F
0 0 0 0 0 0
1 0 0 0 1 0
2 0 0 1 0 0
3 0 0 1 1 0
4 0 1 0 0 0
5 0 1 0 1 0
6 0 1 1 0 0
7 0 1 1 1 1
8 1 0 0 0 0
9 1 0 0 1 0
10 1 0 1 0 1
11 1 0 1 1 1
12 1 1 0 0 1
13 1 1 0 1 1
14 1 1 1 0 1
15 1 1 1 1 1
abcd
Hnh 2.8
Gio trnh in t iu khin logic
TRN KIM PHC
25
2.4- Cu hi v bi tp
1. Phn tch mch rle cho hnh 2.21.

2. Cho hm logic 3 bin nh bng hnh 2.22, hy thit k mch logic 2 tng
dng mch AND v NOR.




3. Thit k mch t hp 4 u vo: a,b,c,d v 3 u ra Y
1
, Y
2
, Y
3
.
Y
1
(a,b,c,d) = L(10,12,14,15) v N = 7,8.
Y
2
(a,b,c,d) = L (0,7,12) v N = 2,14,15
Y
3
(a,b,c,d) = L(2,8,14) v N = 0,10,12
(Th hin mch dng phn t rle v phn t s).





Hnh 2.9
a b c
a b c
a b c
a b c
S
Hnh 2.10
bc
a
00 01 11 10
0 1 1
1 1 1

Gio trnh in t iu khin logic
TRN KIM PHC
26
Chng 3- Mch logic trnh t
3.1- Khi nim chung
3.1.1- Gii thiu v mt s nh ngha
Mch trnh t hay mch dy (sequential circuits) l mch m trong trng
thi ca u ra (tn hiu ra) khng nhng ph thuc tn hiu vo m cn ph thuc
c vo trnh t tc ng ca tn hiu vo, ngha l c nh cc trng thi. Nh vy, v
mt thit b th mch trnh t khng ch c cc phn t ng m m cn c c cc
phn t nh.
S cu trc c bn ca mch trnh t nh hnh 3.1. im c bit y l
mch c phn hi th hin qua cc bin ni b (Y
1
, Y
2
v y
1
, y
2
).
Hot ng ca mch trnh t c th
hin s thay i ca bin ni b Y.
Trong qu trnh lm vic, do s thay
i c cc tn hiu vo X (x
1
, x
2
,)
s n n thay i cc tn hiu ra Z
(Z
1
, Z
2
, ) v c tn hiu ni b
Y(Y
1
, Y
2
,). S thay i ca Y(Y
1
,
Y
2
,) s dn n s thay i bin y
(y
1
, y
2
, ) sau thi gian (
1
,

2
,).
S thay ca cc bin y (y
1
, y
2
,) li
c th dn n s thay i ca cc tn hiu ra Z, k c Y, ri s thay i ca Y li
dn n s thay i ca y, Qu trnh nu c din ra lin tc nh vy s lm cho
mt n nh, ngha l mch khng lm vic c. Yu cu t ra l phi lm cho h
n nh, ngha l khi mch trnh t c s thay i ca tn hiu vo s chuyn t mt
trng thi n nh ny n mt trng thi n nh khc v tri qua mt s giai on
trung gian khng n nh. Khi nim n nh v khng n nh ny khng ch lin
quan n ton mch m cn lin quan n tng phn t.
Vi mch hnh 3.1 th:
- Mch s n nh khi: Y
1
= y
1
v Y
2
=y
2
;
- Mch khng n nh khi: Y
1
=
1
y v Y
2
=
2
y
Nu mt r le c cun dy B v tip im b (thng m) b (thng ng) th:
Trng thi n nh l: B = 0, b = 0, b = 1; B = 1, b = 1, b = 0;

1

2
x
1
x
2
y
1
Z
1
Z
2
Y
1
Y
2
Mch
t hp

Hnh 3.1: Cu trc c bn ca mch trnh
t
Gio trnh in t iu khin logic
TRN KIM PHC
27
Trng thi khng n nh l: B = 0, b = 1, b = 0; B = 1, b = 0, b = 1;
Nh vy vi mch r le ta c: Trng thi kch thch hin thi ca cun dy s
xc nh trng thi tip theo ca vic ng cc tip im, hoc ni cch khc l
trng thi ca tip im s xc nh trng thi hin thi ca vic kch thch cun
dy.
V mt ton hc, mch trnh t l mt tmt A vi cc b d liu sau:
A = (X, Z, S, F
1
, F
2
)
Trong : X: l tp cc trng thi vo
Z: l tp cc trng thi ra
S: l tp k trng thi trong
F
1
: l hm chuyn trng thi (xc nh trnh t bin i
trng thi trong, hay l nh x S X S).
F
2
: l hm ra (xc nh trng thi ra ph thuc vo trng thi
vo v trng thi trong, hay l nh x SXZ).
Mch t hp chnh l trng hp ring ca mch trnh t khi s trng thi
trong bng 1.
T m hnh ton ca tmt ta c mt s khi nim sau:
-tmt hu hn: C tp hu hn cc trng thi u vo X {x
1
, x
2
, , x
n
} v tp
hu hn cc trng thi u ra Z={z
1
, z
2
, , z
m
}, tp hu hn cc trng thi trong S
={s
1
, s
2
, , s
r
}.
- tmt xc nh: C cc hm F
1
v F
2
l cc hm n tr.
- tmt khng xc nh: C cc hm F
1
v F
2
l cc hm khng n tr.
- tmt xc sut: Nu c cc hm F
1
v F
2
l cc hm vi xc sut cho trc.
- tmt m (Fuzzy otomat): Nu c cc hm F
1
v F
2
l cc hm bin m.
- tmt Mealy v tmt Moore: Vi tmt Mealy th S=f
1
(X,S), Z=f
2
(X,S); vi
tmt Moore th S=f
1
(X,S), Z=f
2
(S).
- tmt ng b: Lc ny c s iu khin ca tn hiu ng b a t ngoi vo
(xung nhp C). S chuyn i trng thi trong t S
i
n S
j
ch xy ra khi c xung
Gio trnh in t iu khin logic
TRN KIM PHC
28
nhp tc ng. Trong mch trnh t yu cu khong thi gian gia 2 xung nhp phi
ln mch lun trng thi n nh trong khong thi gian .
- tmt khng ng b: Lc ny khng c tn hiu ng b. Vi h ny qu trnh
chuyn t trng thi n nh S
i
n trng thi n nh S
j
c th lt qua mt s trng
thi khng n nh.
3.1.2- Mt s phn t nh trong logic trnh t
Nh ni trn, tnh c th ca mch trnh t l c phn t nh, do vy
trong mc ny s gii thiu tm tt mt s phn t nh.
3.1.2.1- R le thi gian





R le thi gian l phn t ng ct 2 trng thi, nhng gia 2 trng thi n
nh 0 v 1 s tn ti kh lu mt trng thi trung gian khng n nh. V d mt
r le thi gian c cun dy C vi tip im ng chm c (hnh 3.2a). Vi r le ny,
sau khi cun dy c in mt thi nht nh th tip im c mi ng li, ngha l
mch trng thi khng n nh (khi cun dy c in m tip im cha ng),
lc ta c:
C = 1, c = 0, c = 1.
Vi r le thi gian c tip im m chm (hnh 3.2b), ta c:
C = 0, c = 1, c = 0.
Vic phn tch mch in c s dng r le thi gian phi tu thuc vo mch
c th, trong trng hp mch n gin (hnh 3.2c) c th din t trnh t lm vic
ca mch bng gin thi gian (hnh 3.3)




C
c
C
c
C
k
Z
1
Z
1
Z
2
z
2
c

z
2
Hnh 3.2: K hiu v s dng r le thi gian
a c b
Hnh 3.3
k
1
Z
1
Z
2
C

3
2
4
Gio trnh in t iu khin logic
TRN KIM PHC
29
3.1.2.2- Cc mch lt
Cc mch lt FF (Flip-Flop) l cc phn t c kh nng nh mt trong hai
trng thi: 0 hoc 1. xy dng cc mch s trnh t, ngoi cc phn t AND, OR,
NAND, NOR, th cn cn phi c cc phn t nh l cc mch lt. C nhiu loi
mch lt khc nhau, sau y ta s xt mt s loi mch lt thng gp.
a. Mch lt RS
Mch lt RS c hai u vo iu khin l S v R, c hai u ra l Q v Q,
ngoi ra mch lt loi ny cn c th c mt s tn hiu khc: C l u vo xung
nhp, P l chn tn hiu t trc, CL l u vo tn hiu xo. S b tr chn y
v bng chn l ca mch lt RS cho hnh 3.4.








T bng chn l rt gn (hnh 3.4c) ta thy rng:
Khi R S = 0 0, mch gi nguyn trng thi c (Q=Q).
Khi R S = 0 1, mch lun chuyn n trng thi 1 (Q=1).
Khi R S = 1 0, mch lun chuyn n trng thi 0 (Q=0).
Khi R S = 1 1, tn hiu ra khng xc nh, nn t hp tn hiu ny b cm.
Theo bng Karnaugh (hnh 3.4d) ta thy, mi cp tn hiu vo RS u tn ti
mt trng thi n nh, ngha l mch RS c th lm vic ch khng ng b
(khng cn xung nhp), ng thi mch ch thay i trng thi t 0 1 (ngha l
c bt) ti thi im T
b
, v chuyn t 1 0 (ngha l tt) thi im T
t
tho mn
phng trnh: Q R S T
b
= ; RQ S T
t
= .
Khi xt n iu kin cm R v S ng thi bng 1, ngha l R.S = 0, ta c:
Q S T
b
= v RQ T
t
= .
TT S R Q Q
1 0 0 0 0
2 0 0 1 1
3 0 1 0 0
4 0 1 1 0
5 1 0 0 1
6 1 0 1 1
7 1 1 0 x
8 1 1 1 x

P
S
C
R
Q
Q
CL
R-S
TT S R Q
1 0 0 Q
2 0 1 0
3 1 0 1
4 1 1 x

Hnh 3.4
RS
Q

00

01

11

10
0
1 x

1 C C
x 0

Q
a
b
d
c
Gio trnh in t iu khin logic
TRN KIM PHC
30
T bng Karnaugh ta c phng trnh c trng cho mch RS:

'
Q S RQ = +
T phng trnh ny c th xy dng c cc mch RS nh hnh 3.5:







b. Mch lt D
Mch lt D c mt u vo iu khin lD, c hai u ra l Q v Q, ngoi ra
mch lt loi ny cn c th c mt s tn hiu khc: C l u vo xung nhp, P l
chn tn hiu t trc, CL l u vo tn hiu xo. S b tr chn y v bng
chn l ca mch lt D cho hnh 3.6.






3.2. Cc phng php m t mch logic trnh t
3.2.1- Phng php bng chuyn trng thi
Phng php ny m t qu trnh chuyn
i trng thi di hnh thc bng, trong bng
hnh 3.7 bao gm:
Cc ct ca bng ghi cc bin vo v
bin ra:
Tn hiu vo Tn hiu ra Trng
thi

Y
1
Y
2
S
1

S
2

S
3


Hnh 3.7
Hnh 3.5. Mch lt RS

S

Q
R

Q

(a)

Q
Q

S

R

(b)

S

Q
R

Q

P

C
(c)

D Q Q
0 0 0
0 1 0
1 0 1
1 1 1

D Q
0 0
1 1

Hnh 3.6
P
S
C
Q
Q
CL
D
a
D
Q
0 1
0 1
1 C

Q
b c d
Gio trnh in t iu khin logic
TRN KIM PHC
31
Hnh 3.8
Tn hiu vo Tn hiu ra
Trng thi
Y
1
Y
2
S
1
(tc thp) S
2
S
3
0 0
S
2
(o chiu quay) S
1
1 0
S
3
(ngng my) 0 0

S
1
S
2
S
3
Cc tn hiu vo l cc tn hiu iu khin (, , , ), c th l tn hiu iu
khin ca ngi vn hnh, tn hiu ca thit b chng trnh hoc cc tn hiu pht ra
t cc thit b cng ngh.
Cc tn hiu ra (Y
1
, Y
2
, ) l tn hiu kt qu ca qu trnh iu khin v ghi
ct u ra.
Cc hng ca bng
ghi cc trng thi trong ca
mch (S
1
, S
2
, S
3
, ) (hnh 3.8).
S hng ca bng ch r s
trng thi trong cn c ca h.
Cc giao nhau ca
ct bin vo v cc hng trng thi s ghi trng thi ca mch. Nu trng thi mch
trng vi tn hng th l trng thi n nh, nu trng thi mch khng trng
vi tn hng th l trng thi khng n nh.
Cc giao nhau ca ct tn hiu ra v cc hng trng thi s ghi gi tr tn
hiu ra tng ng.
bng trn hnh 3.8: , , l tn hiu vo, Y
1
, Y
2
l tn hiu ra. H c 3 trng
thi: S
1
(lm vic tc thp), S
2
(o chiu quay), S
3
(ngng my).
Mi trng thi ca h c th din t bng ngn ng v km theo mt con s
gi tn trng thi . V d ta xt trng thi S
1
, lc ny my hot ng tc
thp. Nu lc ny cho bin tc ng th my vn lm vic trng thi S
1
(trng
thi S
1
l trng thi n nh), nu cho bin tc ng th my s chuyn sang trng
thi S
2
(nhng trng thi S
2
ghi hng S
1
l khng n nh - trng thi trung gian,
mch ang chun b chuyn n trng thi n nh khc), nu cho bin tc ng
th my s chuyn sang trng thi S
3
(trng thi S
3
khng n nh). Cc bin u ra
Y
1
, Y
2
lc ny u bng khng. Tng t nh vy ta s l gii kt qu cc hng 2
v 3.
Khi bng trng tha ch c 1 tn hiu ra th c th khng dng ct tn hiu ra,
cc gi tr tn hiu ra c ghi lun vo cc trng thi chuyn (hnh 3.9).
iu quan trng y l ghi c y v ng cc trng thi trong cc
ca bng. C hai cch thc hin cng vic ny:
- Cch 1: Trc ht da vo d liu bi ton, cc hiu bit v qu trnh cng ngh,
t ghi cc trng thi n nh hin nhin c. Tip theo ghi cc trng thi chuyn r
Gio trnh in t iu khin logic
TRN KIM PHC
32
rng (cc trng thi ny c s ghi trng thi khc vi th t cc hng - cc trng thi
xut pht), nu trng thi no khng
bit chc chn th trng, s b
sung sau.
- Cch 2: Phn tch xem xt tng
in trng thi. Vic lm ny l
logic, cht ch v r rng, tuy nhin
nhiu khi phn tch khng th qu
chi ly dn n kh nng phn bit
gia cc c trng thi gn nhau, do
vy rt kh in y cc .
3.2.2- Phng php hnh trng thi
hnh trng thi l hnh v m t cc trng thi chuyn ca mt mch logic
trnh t, hnh gm cc nh v cc cung nh hng trn ghi cc tn hiu
vo/ra v kt qu. Phng php ny thng ch dng cho hm mt u ra. Ta s xt
hai loi: hnh Mealy v hnh Moore.
a. hnh Mealy
hnh Mealy (hnh 3.10) gm cc nh biu din cc trng thi trong ca
mch v cc cung nh hng, trn cc cung ghi bin tc ng v kt qu hm khi
chu s tc ng ca bin . hnh Mealy chnh l chuyn bng trng thi thnh
dng ho.











Bin vo
Trng thi

S
1
S
2
/1 S
4
/0 S
3
/0
S
2
S
4
/1 S
2
/0 S
4
/1
S
3
S
1
/1 S
1
/1 S
1
/1
S
4
S
3
/1 S
4
/0 S
2
/0
S
5
S
5
/0 S
3
/0 S
4
/0

Hnh 3.9
1 2
3 4
5
(++)/
/0
/0
/0
/0
/1
/0
/0
/1
/0
(+)/1 /0
Hnh 3.10. hnh Mealy ng vi bng trng thi hnh 3.9
Gio trnh in t iu khin logic
TRN KIM PHC
33
b. hnh Moore
Trong hnh Moore, cc nh l cc trng thi v gi tr trng thi, cn cc
cung nh hng s ghi bin tc ng.
T bng trng thi (hnh 3.9), ta c th lp hnh Moore theo cc bc nh
sau:
Bc 1: T cc ng vi cp trng thi v kt qu hnh 3.9, ta gn mt trng thi
tng ng Q cho hnh Moore. Chng hn ta gn S
2
/0 = Q
1
, S
3
/0 = Q
2
, S
4
/0 =
Q
3
, S
5
/0 = Q
4
, S
1
/1 = Q
5
, S
2
/1 = Q
6
, S
3
/1 = Q
7
, S
4
/1 = Q
8
.
Bc 2: Thnh lp bng chuyn i trng thi cho hnh Moore.
Vi bng trng thi hnh 3.9 v cch gn nh bc 1, ta lp c bng chuyn
trng thi cho hnh Moore (hnh 3.11).









Bc 3: Da vo bng trng thi (hnh 3.11), v c hnh Moore (hnh 3.12).
Tt nhin nu c bng trng thi ca hnh Moore, ta cng d dng thit lp
c bng trng thi cho hnh Mealy bng cch ghi thm vo cc chuyn trng
thi ca bng Moore cc kt qu u ra tng ng v b ct ra, sau tm cch ti
gin bng trng thi s nhn c bng trng thi cho hnh Mealy.
Ta thy hnh Moore c s trng thi nhiu hn hnh Mealy, nhng hm
ra ca hnh Moore n gin hn ca hnh Mealy.
3.2.3- Phng php lu
hnh thut ton l cch m t h thng mt cch suy lun trc quan. Cc
khi chnh ca lu v cc khi c m t hnh 3.13.
Trng
thi
Ra
Q
1
(S
2
/0) Q
8
Q
1
Q
8
0
Q
2
(S
3
/0) Q
5
Q
5
Q
5
0
Q
3
(S
4
/0) Q
7
Q
3
Q
1
0
Q
4
(S
5
/0) Q
4
Q
2
Q
3
0
Q
5
(S
1
/1) Q
6
Q
3
Q
2
1
Q
6
(S
2
/1) Q
8
Q
1
Q
8
1
Q
7
(S
3
/1) Q
5
Q
5
Q
5
1
Q
8
(S
4
/1) Q
7
Q
3
Q
1
1

Hnh 3.11. Bng trng thi
Moore ng vi bng hnh 3.9
Q
1/0
++



Hnh 3.12. hnh
Moore
Q
2/0
Q
3/0
Q
4/0
Q
5/1
Q
6/1
Q
7/1
Q
8/1





+ ++

+



Gio trnh in t iu khin logic
TRN KIM PHC
34

T lu thut ton ta d dng chuyn thnh hnh trng thi Mealy hoc
Moore v t ta c th thit k c mch trnh t.
V d, cho hnh trng thi hnh 3.14a, hy chuyn thnh hnh trng thi
Moore v vit phng trnh mch ca h.























Khi bt u- t
gi tr ban u
Khi bt u Khi thc hin
Khi thc hin
cng vic
Hnh 3.13: K hiu cc khi lu
Khi kt thc
Khi kt thc
cng vic
iu kin
Khi kim tra iu
kin v ra quyt nh
Hnh 3.14: V d chuyn t lu hnh Moore

(d)

111
100
011
110
000 010
Z
2
Q
1
Q
1
Q
2
Q
1
Q
1
Q
2
Q
1
Q
2
Q
3
Q
2
Q
3
Q
1
a

Q
3
6
Z
2
Z
1
Z
3
Z
2
Z
3
a.b

a.b

1
c.d

a

a

a

(b)

c+d

5
3
2
1
1

1

4
Bt u
0
Z
1
a

1
(a)
b
Z
2
0
1
Z
2
0
c
1
Z
3
a
1
0
d
0
Z
2
1
Kt thc
Q
3
Q
1
Q
2
(c)

00 01 11 10
0 1 2 3 4
1 6 5

Gio trnh in t iu khin logic
TRN KIM PHC
35

Vic chuyn t lu thnh hnh Moore c thc hin qua cc bc sau:
Bc 1: Kt hp khi bt u v khi kt thc thnh mt trng thi, nu nh khi
trng thi kt thc quay v trng thi u.
Bc 2: Mi khi thc hin u l mt trng thi.
Bc 3: Xy dng hnh trng thi Moore vi cc nh l cc trng thi, cn cung
l cc bin gy ra chuyn trng thi.
Bc 4: Xy dng hnh nh phn vi cc nh l cc s nh phn h 2 v cung l
cc bin m ho trng thi.
Bc 5: Vit phng trnh mch.
Vi 5 bc nh trn ta c th phn tch qu trnh thc hin chuyn t lu
cho hnh 3.14a thnh hnh Moore nh hnh 3.14b.
Trng thi 1: kt hp trng thi ban u v kt thc lc ny c iu kin u ra
l a.
Trng thi 2: ng vi cng vic Z
1
, theo lu chuyn 1 2 cn ab
Trng thi 3: ng vi cng vic Z
2
, theo lu chuyn 2 3 l lin tc.
Trng thi 4: ng vi cng vic Z
2
, theo nhnh lu b=1, chuyn 14 cn ab.
Trng thi 5: ng vi cng vic Z
3
, theo lu chuyn 3 5 cn a+ d .
Trng thi 6: ng vi cng vic Z
3
, theo nhnh lu a = 0, chuyn 56 cn a
Ngoi mt s trng thi c cc cung quay v nh 1, tt c c th hin
trn hnh 3.14b.
Chn bin u vo: V c 6 trng thi cn 3 bin u vo Q
1
, Q
2
,Q
3
t hp cc
bin Q
1
Q
2
Q
3
xc nh cc trng thi nh hnh 3.14c.
Gn gi tr nh phn cho hnh Moore. Theo lut chung, gia hai trng thi
k nhau ch c thay i mt bin, cn vic thay i trng thi vt cp cc trng
thi u phi thay i ca t hp nhiu bin (hnh 3.14d).
Vit phng trnh mch. Da vo hnh 3.14b v 3.14d. ta s vit c hm ca
cc bin Q
1
, Q
2
,Q
3
v cc tn hiu ra Z
1
, Z
2
,Z
3
mi tn hiu vo v tn hiu ra u l
t hp ca tt c trng thi v cc bin kch thch trong lu hnh 3.14a.
Q
1
= abC + C + c dC +4+C
Q
2
= a b C + c dC +aC+
Gio trnh in t iu khin logic
TRN KIM PHC
36
Q
3
= (c+d )C +aC+
Z
1
=C; Z
2
= C +C; Z
3
=C+.
3.3- Tng hp mch trnh t
Bi ton tng hp mch trnh t l bi ton kh, hn na t mt yu cu ra
li c nhiu cch gii quyt khc nhau. Do vy, vn chung y l phi da vo
mt ch tiu ti u no , ng thi tm c li gii ti u th ngoi cc suy
lun ton hc logic ngi thit k cn phi tn dng cc kinh nghim thc t rt a
dng v phong ph. Trong phn ny ta ch nu mt s bc thc hin chung v mt
s v d minh ho.
3.3.1- Tng hp theo phng php bng trng thi
Trnh t chung ca cc bc nh sau:
1. Thnh lp bng chuyn trng thi. Thc cht l vic din t cc yu
cu k thut thnh k hiu kiu bng.
2. Thnh lp bng kch thch v bng u ra.
3. Tm hm logic ti gin v chn mch.
Ta xt v d: Hy thit k mt mch n tn hiu (n L) bo hiu trng
thi lm vic khng bnh thng ca mt h gm hai chuyn ng vi yu cu sau:
Nu mch khi ng ng theo trnh t chuyn ng 1 trc, chuyn ng 2 sau v
dng theo ng trnh t chuyn ng 2 trc, chuyn ng 1 sau th n L khng
sng (lm vic bnh thng). Nu mch khi ng hoc dng sai trnh t trn th n
L s sng (bo lm vic khng bnh thng).
Cch lm:
Bc 1: Thnh lp bng chuyn
trng thi.
Ta m ho trng thi nh sau:
X
1
- tn hiu bo trng thi ca
chuyn ng 1.
X
2
- tn hiu bo trng thi ca
chuyn ng 2.
Y

- tn hiu ra (tn hiu kt qu ca
x
1
, x
2
).
x
1
x
2

Trng thi

00

01

11

10

Y
S
1
C 4 - 2 0
S
2
1 - 3 C 0
S
3
- 4 C 2 0
S
4
5 C 6 - 1
S
5
C 4 - 7 1
S
6
- 4 7 1
S
7
5 - 6 C 1

Hnh 3.15
Gio trnh in t iu khin logic
TRN KIM PHC
37
Bng chuyn trng thi y nh hnh 3.15. Trong bng ny cc ct l cc
t hp bin ca tn hiu vo x
1
, x
2
, ct cui cng l Y, c 7 hng hin th 7 trng thi
ca h (S
1
S
7
).
thit lp c bng trng thi (hnh 3.15) ta tun t xt tng t hp bin
vi tn hiu ra, c th l:
Trng thi S
1
(dng 1): t hp bin vo x
1
x
2
= 00, h thng cha lm vic,
tn hiu ra Y = 0, l trng thi n nh.
Trng thi S
2
(dng 2): Lc ny x
1
x
2
= 10, h thng lm vic vi chuyn ng
1 khi ng trc - ng yu cu, trng thi n nh v Y = 0.
Trng thi S
3
(dng 3): T trng thi 2, chuyn sang x
1
x
2
= 11 - ng trnh t,
l trng thi n nh v Y = 0.
Trng thi S
4
(dng 4): T trng thi 3, chuyn sang x
1
x
2
= 01 - sai trnh t,
l trng thi n nh v Y = 1.
Trng thi S
5
(dng 5): T trng thi 4, chuyn sang x
1
x
2
= 00 - sai trnh t,
l trng thi n nh v Y = 1.
Trng thi S
6
(dng 6): T trng thi 5, h lm vic sai, chuyn sang tc ng
ng thi x
1
x
2
= 11 - sai trnh t, l trng thi n nh v Y = 1.
Trng thi S
7
(dng 7): T trng thi 6, h ang trng thi sai, chuyn sang
tc ng x
1
x
2
= 10 - vn trng thi sai, l trng thi n nh v Y = 1.
Bng l gii tng t ta tm ra cc trng thi khng n nh v in y cc
trng thi vo bng (hnh 3.15).
T bng trng thi (hnh 3.15) ta thy h thng tn ti cc trng thi khc nhau
trong cng mt ct c kt qu u ra ngc nhau, khi c cng mt t hp bin vo
(trng thi 1, 5 vi x
1
x
2
= 00, trng thi 3, 6 vi x
1
x
2
= 11, trng thi 2, 7 vi x
1
x
2
=
10). phn lp cc trng thi mu thun , h thng phi s dng cc bin ni
b, chnh l ngha ca mch logic trnh t.
Trc khi chn cc bin ph, ta tm cc rt gn cc hng ca bng (hnh 3.15).
Nguyn tc rt gn l 2 hng tng
ng nhau th rt gn thnh mt
hng. Hai hng c coi l tng g
nhau khi c s trng thi v kt qu
u ra nh nhau, hoc c th suy ra
c nhau. V nh vy t bng trng
thi hnh 3.15 ta c th rt gn li
x
1
x
2

Trng thi

00

01

11

10

Y
S
1
, S
2
, S
3
C CC C
4
C CC C C CC C
0
S
4
, S
5
, S
6
, S
7
C CC C C CC C C CC C
1

Hnh 3.16
Gio trnh in t iu khin logic
TRN KIM PHC
38
thnh bng trng thi hnh 3.16.
Bc 2: Thnh lp bng kch thch v bng tn hiu ra.
Vi bng chuyn trng thi (hnh 3.16), ch c 2 hng, phn bit 2 hng ch
cn mt bin ni b. Ta chn bin ni b l y.
Vi 3 bin x
1
, x
2
v y, ta lp bng trng thi dng bng Karnaugh nh hnh
3.17, t bng trn hnh 3.17 ta lp bng kch thch (hnh 3.18).




Bng tn hiu ra lc ny qu n gin,khng cn phi lp na, ta chn lun: L = y
Bc 3: Vit phng trnh hm ra v v s .
T bng hnh 3.16 ta c: Y =
1
x x
2
+ y
T 2 phng trnh trn ta thit k c s mch r le nh hnh 3.19.
3.3.2- Tng hp theo phng php hnh Mealy hoc Moore
Vic tng hp cc mch trnh t bng hnh Mealy hoc Moore c tin
hnh theo cc bc nh sau:
o Bc 1: V hnh trng thi
o Bc 2: Xc nh s lng phn t nh (b thi gian, mch lt). M
ho cc trng thi trong.
o Bc 3: Xc nh hm kch thch cc mch lt v hm tn hiu ra.
3.4- V d v mch trnh t
V d 1: Gi thit c mch trnh t c biu din nh s hnh 3.20a




a


Y
Z
x
1
x
2
x
2
y

y

x
1
x
2
Y

Z

1

2

1

2

3

2

1

4

5

2

1

1

2

3

4

5

6

7

8

9

10

b
Hnh 3.20:S mch trnh t v biu ng m

Hnh 3.17
x
1
x
2
y

00

01

11

10
0

0 0 0
1

0 0 0 0

Hnh 3.18
x
1
x
2
y

00

01

11

10
0

0 1 0 0
1

1 1 1 1

Y
Y
L
X
1
X
2
Hnh 3.19
Gio trnh in t iu khin logic
TRN KIM PHC
39

m t hot ng ca mch, y ta s dng biu ng m (hnh 3.20b).
Trn biu , chiu ngang biu th thi gian, chiu ng th hin tt c cc i
lng vo ra ca mch, nt m biu hin tn hiu c gi tr 1, cn nt mnh biu
hin tn hiu c gi tr 0. T biu ta thy rng, trng thi Z = 1 ch t c khi
theo trnh t x
1
=1, tip theo x
2
=1. Nu cho x
2
=1 trc, sau cho x
1
=1 th c Y v Z
u khng th bng 1. y tn ti 3 t hp n nh lu di ca Y v Z, l:
Y.Z = 00, 10, 11.
m t hot ng ca mch ngi ta c th dng cc phng php khc nh
bng chuyn trng thi, hnh trng thi,
3.5. Grafcet cng c m t mch trnh t trong cn
3.5.1- Hot ng theo logic trnh t ca thit b cng nghip.
Trong dy chuyn sn xut cng nghip, cc thit b my mc thng hot
ng theo mt trnh t logic cht ch nhm m bo cht lng sn phm v an ton
cho ngi v thit b. Cu trc lm vic trnh t ca dy chuyn a ra yu cu
iu khin l iu khin s hot ng thng nht v cht ch ca dy chuyn, ng
thi cng gi cho ta s phn nhm logic ca automat trnh t bi cc tp hp con
ca my mc v cc thut ton iu khin bng cc chng trnh con. S khi
ca h iu khin qu trnh c th hin trn hnh 3.21.
Mt qa trnh cng ngh gm 3 hnh thc
hot ng iu khin sau y:
+ Hon ton t ng, lc ny ch cn s
ch huy chung ca nhn vin vn hnh h
thng.
+ Bn t ng, lm vic c lin quan
trc tip n cc thao tc lin tc ca con ngi gia cc chui hot ng t ng.
+ Bng tay, tt c hnh ng ca h u do con ngi thao tc.
Trong qu trnh lm vic m bo an ton, tin cy v linh hot, h iu
khin cn c s chuyn i d dng t bng tay sang t ng v ngc li, v
nh vy h iu khin mi p ng ng cc yu cu thc t.
Trong qu trnh lm vic, s khng bnh thng trong hot ng ca dy
chuyn c rt nhiu loi, khi thit k phi c gng m t chng mt cch y
nht. Trong s cc hot ng khng bnh thng ca chng trnh iu khin mt
dy chuyn t ng, ngi ta thng phn bit ra cc loi sau:
Qu
trnh
Cu trc
iu khin
trnh t
Tn hiu
vo
Hnh 3.21- S khi h K qu trnh
Gio trnh in t iu khin logic
TRN KIM PHC
40
+ H hng mt b phn trong cu trc iu khin. Lc ny cn phi lu tm
cho dy chuyn hot ng lc c h hng v sn sng chp nhn li iu khin khi
h hng c sa cha xong.
+ H hng trong cu trc trnh t iu khin.
+ H hng b phn chp hnh (h hng thit b chp hnh, h hng cm
bin, h hng b phn thao tc .v.v)
Khi thit k h thng phi tnh n cc phng thc lm vic khc nhau
m bo an ton v x l kp thi cc h hng trong h, phi lun c phng n can
thip trc tip ca ngi vn hnh n vic dng my khn cp, x l tc nghn vt
liu v cc hin tng nguy him khc. Grafcet l cng c rt hu ch thit k v
thc hin y cc yu cu ca h t ng ho cc yu cu cng ngh k trn.
3.5.2- nh ngha grafcet.
Grafcet l t vit tt ca ting Php Graphe fonctionnel de commande tape
transition, l mt hnh chc nng m t cc trng thi lm vic ca h thng v
biu din qu trnh iu khin vi cc trng thi chuyn bin t trng thi ny sang
trng thi khc, l mt graphe nh hng v c xc nh bi cc phn t sau:
G = { E, T ,A, M}.
Trong :
- E = {E
1
,E
2
,E
m
} l mt tp hu hn cc trng thi (giai on) ca h thng,
c k hiu bng cc hnh vung. Mi trng thi ng vi nhng tc ng no
ca phn iu khin v trong mt trng thi cc hnh vi iu khin l khng thay
i. Mt trng thi c th l hot ng hay khng hot ng. iu khin chnh l
thc hin cc mnh logic cha cc bin vo v cc bin
ra h thng c mt trng thi xc nh trong h v
cng l mt trng thi ca mt grafcet. V d trng thi E
j

nh hnh 3.22 l s phi hp ca bin P v M vi
M=E
k
.a, trong E
k
l bin c trng cho s hot ng
ca trng thi E
k
, cn a l bin u vo ca h.
- T = {t
1
, t
2
t
i
} l tp hu hn cc chuyn trng thi
c biu hin bng gch ngang - . Hm Boole gn vi
mt chuyn trng thi c gi l mt tip nhn. Gia
hai trng thi lun lun tn ti mt chuyn trng thi.
Chuyn trng thi t
j
hnh 3.23 c thc hin bi tch
logic E
v
.a. c , trong E
v
l bin c trng cho s hot
ng ca trng thi E
v
, cn a, v l bin u vo. vic chp
nhn chuyn t
j
l t
j
= E
v
.a. c .
Chuyn trng thi t
j
hnh 3.24 c thc hin bi
E
j
(P.E
k
.a:M)
Hnh 3.22

t
j
E
v
.a. c

Hnh 3.23

t
j
E
k
(a)
Hnh 3.24

Gio trnh in t iu khin logic
TRN KIM PHC
41
iu kin logic E
k
(a), trong E
k
l bin biu din hot ng ca trng thi E
k
, cn
a biu din s thay i t 01 ca bin vo a.
- A ={a
1
,a
2
a
n
} l tp cc cung nh hng ni gia mt trng thi vi mt
chuyn hoc gia mt chuyn vi mt trng thi.
- M = {m
1
,m
2
m
m
} l tp cc gi tr (0, 1). Nu m
i
=1 l hot ng, m
i
= 0 th
trng thi i khng hot ng.
Grafcet cho mt qu trnh lun l mt hnh khp kn t trng thi u n
trng thi cui v t trng thi cui n trng thi u.
3.5.3- Mt s k hiu dng trong grafcet
- Mt trng thi c biu din bng mt hnh vung c nh s. Gn lin vi
biu tng trng thi l mt hnh ch nht bn cnh. Trong hnh ch nht ghi cc tc
ng ca trng thi .
- Trng thi khi u c th hin bng hai hnh vung lng vo nhau.
- Trng thi hot ng c thm du . trong hnh vung trng thi







- Vic chuyn tip t trng thi ny sang trng thi khc c thc hin khi
cc iu kin chuyn tip c tho mn. Chng hn vic chuyn tip gia cc trng
thi 3 v 4 (hnh 3.26a) c thc hin khi tc ng ln bin b, cn chuyn tip gia
trng thi 5 v 6 c thc hin sn tng ca bin c (hnh 3.26b), hnh 3.26c l
tc ng ca sn gim bin d. Chuyn tip gia trng thi 9 v 10 (hnh 3.26d) s
xy ra sau 2s k t khi c tc
ng cui cng ca trng thi 9
c thc hin.
- Cc k hiu phn nhnh.
Hnh 3.27a, 3.27b, 3.27c,
3.27d l cc k hiu phn nhnh
ca grafcet.
hnh 3.27a, khi trng
thi 1 hot ng, nu chuyn t
1,2
tho mn th trng thi 2 hot ng; nu chuyn
trng thi t
1,3
tho mn th trng thi 3 hot ng (trng thi OR).
Qu trnh hm
3
S di chuyn chi
tit t A n B
(a)
Hnh 3.25
a, b. k hiu trng thi; c.trng thi khi u; d. trng thi hot ng
3
(b)
1
(c)
2
(d)
t/q/2s
6
5
c
(b)
Hnh 3.26
4
3
b
(a)
8
7
d
(c)
8
7
(d)
Gio trnh in t iu khin logic
TRN KIM PHC
42









hnh 3.27b nu trng thi 7 hot ng v t
7, 9
tho mn th trng thi 9 hot
ng, cng nh vy nu trng thi 8 hot ng v t
8,9
tho mn th trng thi 9 hot
ng (trng thi OR)
hnh 3.27c, nu trng thi 1 hot ng v t
123
tho mn th trng thi 2 v 3
ng thi hot ng(AND).
hnh 3.27d, nu trng thi 7 v 8 cng hot ng v t
789
tho mn th trng
thi 9 hot ng ( trng thi AND).
Hnh 3.28a biu din grafcet cho php
thc hin bc nhy. khi iu kin a c tho
mn th qu trnh s chuyn hot ng t trng
thi 2 sang trng thi 5 v b qua cc trng
thi trung gian 3, 4: nu iu kin a khng
c tho mn cc trng thi chuyn tip theo
trnh t bnh thng (23 4).
hnh 3.28b khi iu kin f khng c
tho mn th trng thi 8 s quay v trng thi
7, nu f tho mn th trng thi 8 mi chuyn
sang trng thi 9.
3.5.4- Qui tc vt qua chuyn tip
- Mt chuyn tip l hp cch (hoc chun) khi tt c cc trng thi u vo
ca n l hot ng. Mt chuyn tip ch c vt qua khi n l chun v tip nhn
gn vi chuyn tip l ng.
- Vic chuyn qua mt chuyn tip s lm hot ng trng thi k tip v kh
b hot ng ca trng thi trc .
Nhiu chuyn tip c vt qua ng thi l cc vt qua ng thi.
Hnh 3.27
t
12
1
(a) OR
t
13
2 3
t
79
9
(b) OR
t
89
7 8
1
(c) AND
t
123
2 3
9
(d) AND
7 8
t
789
Hnh 3.28

(a)
a

2
a

3
b

4
c

5
6
d

7
e

8
f

9
(b)
Gio trnh in t iu khin logic
TRN KIM PHC
43
V d2:
(Hnh 3.29)
Mt
bng chuyn
do ng c
M dn ng,
cho php di
chuyn mt
chi tit n 3
trm A, B v
C. iu kin ban u l: ng c M dng v mt chi tit xut hin A. Xut pht
chu k c tin hnh bng xung trn nt n (Dcy).
* S m t h thng iu khin t ng nh hnh 3.29.
Biu din Grafcet theo quan im hot ng












- Cch m t qu trnh hnh 3.30a cho php hiu tng th cc nhim v do h
thng t ng m bo:
+ thng bo cho ngi quyt nh.
+ biu din hot ng cho ngi vn hnh.
- Cch m t ny din t cng ngh ca cc b phn thao tc:
+ Chuyn dch chi tit trn bng chuyn do ng c ko
Chi tit v tr
0
1 Chuyn dch
chi tit
- trm AB
- trm BA
- trm CA

Chi tit tr v A
H thng hoc qu trnh
FcA chi tit A
FcB
FcA
FcC
0
1
2
3
4
AV
AR
AV
AR
FcA
Phn thao tc
FcA-Dcy
FcB
FcA
FcC
0
1
2
3
4
KM1
FcA
Phn iu khin
KM2
KM1
KM2
(a) (b) (c)
Hnh 3.30- Grafcet m t hot ng ca h thng
ng c M
AV
AR
F
C
A
F
C
B
F
C
C
AV AR
Dcy
AV AR
Hnh 3.29- S cng ngh ca HT KT
Gio trnh in t iu khin logic
TRN KIM PHC
44
+ Cc b cm bin kiu C - KHNG
+ Cc k hiu c th s dng: AV = tin, AR = li.
- Cch m t ny ng vi thit k ca phn iu khin
+ M t s lm vic ca PC sau khi chn cng ngh
+ Cng tc t KM
+ Tip im hnh trnh Fc
3.6- Cu hi v bi tp
1. nh ngha v ngha ca mch trnh t.
2. M t hot ng ca mch logic hnh 3.2.
3. Nu nguyn l lm vic ca mt s phn t nh: rle thi gian, cc mch
lt: RS, JK, D, T.
4. Nu mt s phng php thng dng m t mch trnh t:
- Bng chuyn trng thi.
- hnh trng thi.
- Grafcet.
5. Thit k mt mch khng ch khi ng ng c roto dy qun c mt cp
in tr khi ng v theo nguyn l thi gian.

Gio trnh in t iu khin logic
TRN KIM PHC
45
Chng 4 - H K logic s dng PLC
4.1- Tng qut v PLC
4.1.1- Khi nim
PLC vit tt ca Programmable Logic Controller l thit b iu khin logic
lp trnh c (kh trnh) cho php thc hin linh hot cc thut ton iu khin
logic thng qua mt ngn ng lp trnh
PLC c cu thnh t hai phn chnh:
+ Phn cng: c cu to nn bi vi x l, ROM, RAM
+ Phn mm: Thc cht l mt ngn ng dch to ra ngn ng lp trnh
gn con ngi nht v ti gin nht, n c nhim v ch ra cc thit b c s dng
vi a ch c th v chc nng vi thng s c th cng nh cch ni cc thit b
vi nhau. Phn mm ny cho php ta lp c chng trnh iu khin theo cng
ngh c th thc hin trn my tnh PC hoc trn my lp trnh PG sau chuyn
chng trnh vo b nh RAM.
Thc t trong cng ngh m bo tin cy sau khi lp trnh chng trnh
iu khin chy th kim nghim m bo th chuyn chng trnh vo EPROM khi
trong qu trnh s dng khng s chng trnh b thay i.
4.1.2- Cu to ca PLC
Vi PLC l mt h vi x l c chc nng chuyn dng hn, ch yu gii
quyt vic iu khin logic nhng tn dng kh nng ca vi x l cn c thm
mt s chc nng nh: thc hin cc php tnh s hc, thc hin cc php so snh
v to nn cc hm trong iu khin qu trnh v cng c th s dng tn hiu vo
dng logic, dng s m nh phn hoc dng tng t. Mun vy trong PLC c cc
khi c bn sau:
a- Khi iu hnh: Chng trnh iu hnh
Khi ny di chng trnh iu hnh h thng v phn chia cc b nh vi
cc a ch c nh t trc to nn cc vng nh c th nh: vng nh chng
trnh iu khin, vng nh bin trung gian, vng nh cho tn hiu vo v tn hiu ra
cng nh cc chng trnh gim st kim tra h thng. Khi ny thng s dng b
nh ROM.

Gio trnh in t iu khin logic
TRN KIM PHC
46
b- Khi chng trnh:
Khi ny lu gi ton b chng trnh iu khin ca PLC v khi ny c
thc hin bng b nh RAM, chng trnh c ghi vo hon ton ch ng do
ngi s dng, tng ng vi cng ngh. Trong qu trnh thc hin trong PLC th
chng trnh ny c gi ra ln lt t cu lnh u tin n cui cng, khi n
ch dn cho vi x l thc hin cc php thao tc ton hc ly tn hiu vo v gi
tn hiu ra. Trong khi ny ch c mt chng trnh.
c- Khi nh vo ra:
y cng l mt phn b nh RAM n c khi iu hnh a ch ho v
giao nhim v ch lm vic vi cc u vo v u ra ca PLC, n lm vic theo
nguyn tc: Khi c lnh ghi tn hiu vo th khi bng nh vo s ghi gi tr tc thi
ca cc ca lc v kt qu x l u ra khng c a thng ra u ra m
c ghi kt qu li bng nh ra v ch ti khi c lnh chuyn ti u ra th tn
hiu ny mi c a ra ngoi.
d- Ca (cng) truyn thng:
Ca ny dng truyn thng tin gia PLC vi cc thit b bn ngoi nh:
my lp trnh, my tnh c nhn hoc ni mng trong h PLC thng tin c
truyn theo kiu ni tip v qu trnh truyn c chun ho qua cp ghp ni
RS232, RS485.
e- Khi s hc:
Trong PLC ngoi vic x l cc php tnh logic cn c thm kh nng x l
cc php tnh s hc hoc so snh tn hiu tng t bin i t to nn c cc
hm dng trong iu khin qu trnh chng hn nh PID. Mun vy trong PLC c 2
thanh ghi tch lu k hiu ACCU1, ACCU2. y l hai thanh ghi mi thanh 16 bit
chia lm 2 byte: byte cao v byte thp. Qu trnh thc hin cc php tnh s hc
hoc so snh c thc hin trn hai thanh ghi ny theo nguyn tc d liu u tin
s c chuyn vo ACCU1 khi d liu c trn ACCU1 c y sang ACCU2
v thc hin cc php tnh (+), (-), (x), (:) hoc so snh c thc hin qua cu lnh,
kt qu php tnh c ghi li trn ACCU1
f- Khi vi x l:
Lm nhim v c chng trnh trong khi chng trnh v chng trnh ch
dn lm g th vi x l s iu chnh cc khi khc lm theo chc nng. Chng hn:
Lc no th ghi d liu vo v x l d liu ny theo thut ton no v khi no th
chuyn ra ngoi...
Gio trnh in t iu khin logic
TRN KIM PHC
47
g- BUS:
Trong PLC nhng thng tin cn ghp ni nh gia khi iu khin trung tm
CPU, c s vi cc khi bn ngoi m rng hoc gia PLC vi b nh cng
EPROM cng nh gia vi x l vi cc b nh ROM, RAM c thc hin bng
cc dy ni, l c cu cc h thng bus. Ngi ta phn bit h thng bus thnh 3
nhm chc nng:
+ Bus s hiu: Tn hiu truyn trn theo 2 chiu
+ Bus a ch: Tn hiu ch truyn theo 1 chiu t CPU ti (hoc t thit b
iu khin trc tip - DMAC), b nh hoc ca vo ra.
+ Bus cc tn hiu iu khin: Gm mt s l tn hiu gi t CPU ra cn mt
s li l tn hiu gi t ngoi vo CPU.
4.1.3- S cu trc PLC
S cu trc mt PLC c dng nh hnh 4.1










Phn c bn ca PLC l h vi x l vi mt b x l trung tm (CPU), cng
vi cc b nh, cc thit b ghp ni vo ra, bin dch chng trnh iu khin. Bn
ngoi PLC c mt b cc u vo (input) v mt b cc u ra (output) ghp ni
vi cc thit b iu khin, nhn cc thng tin iu khin v cho ra cc lnh iu
khin h thng. Cc tn hiu vo, ra ca PLC ngoi dng tn hiu lgic (tn hiu s -
digital) th trong cc PLC hin nay thng c c tn hiu vo v ra dng tng t
(analog). Cc tn hiu vo dng digital ca PLC c th tn hiu t cc nt n iu
khin; tn hiu t cc cng tc hnh trnh, cc hn; tn hiu t cc thit b bo ng,

PLC
(Vi x l - Chng trnh logic - Cc hm chc nng)



u vo
(Input)
u ra
(Output
Nt iu
khin (PB)
Chuyn
mch
Tn hiu
bo ng
Tham s cng
ngh

n tn
hiu

Rle, Cng tc
t
Van
Hnh 4.1
Gio trnh in t iu khin logic
TRN KIM PHC
48
v.v... Cc tn hiu tng t a n u vo PLC c th l cc tn hiu v cc tham
s cng ngh, v.v... Tn hiu ra ca PLC thng c dng khng ch cc r le
v cng c th l mt tn hiu tng t iu khin mt h thng lin tc no .
4.1.4- Nguyn l lm vic
PLC lm vic theo nguyn tc cc chu k lp t ng, mi chu k lp c gi
l mt vng qut. Mi vng qut c mt ln nhn d liu vo v a kt qu ra bn
ngoi, khi ht vng qut th nht th t ng chuyn sang vng qut th hai v c
tip tc nh vy.
Trong mt vng qut thc hin 4 bc:
+ Bc 1: Nhn d liu u vo ghi li bng nh vo.
+ Bc 2: c chng trnh iu khin trn c s d liu vo c (c nh)
x l theo chng trnh c kt qu ghi li bng nh ra.
+ Bc 3: Thc hin truyn thng trong PLC hoc cc PLC vi nhau cng
nh thng tin qua li vi my tnh, t kim nghim li kt qu.
+ Bc 4: Gi kt qu t bng nh ra n thit b chp hnh bn ngoi.
Nh vy thc hin mt chu k qut mt mt khong thi gian, thi gian
ny cng nh cng tt, nhng ph thuc vo tc x l ca mi loi vi x l nm
trong PLC v thi gian c t c nh do nh ch to. V vy chng trnh iu
khin nn lp sao cho cng ngn cng tt.
4.1.5- u nhc im
a- u im:
+ Vi mt h iu khin c to lp bi PLC c u im ni bt l hon
ton ch ng kt hp vi phn cng v chng trnh phn mm to nn mt h
iu khin vi cng ngh bt k m khng cn phi thay i phn cng v tt c cc
thit b c s dng trong h u thng qua chng trnh phn mm v chng c
ghp ni vi nhau cng qua phn mm, chnh v vy lm cho qu trnh ci tin thay
i hon chnh v sa cha rt n gin, ch cn thay i phn mm tc l s to
nn c h iu khin l ti u m cc h iu khin logic trc khng c c.
+ Vi h iu khin dng PLC va c th tin hnh hiu chnh sa cha
nhng h thng vn lm vic tc l vn m bo dy chuyn cng ngh khng b
dng, v vy n cho php hiu chnh d t c cht lng hiu chnh l ti u.
Gio trnh in t iu khin logic
TRN KIM PHC
49
b- Nhc im:
+ Do h iu khin dng PLC rt thun li trong vic hiu chnh thay i
thng s cng nh thay i hm iu chnh do ngi s dng phi am hiu v khi
thay i chng trnh khng ng th d lm ri lon dy chuyn v vy gy kh
khn cho ngi qun l.
+ Do cng sut u ra ca PLC nh, thng ca ra c I 500 mA v vy
phi ghp vi thit b bn ngoi c cng sut ln thng phi s dng cc thit b
bin i trung gian nh cc r le hoc cng tc t.
+ Do in p s dng trn PLC thp v vy m bo an ton phi c bin
php cch ly vi in p li.
+ thc hin lp c chng trnh iu khin bt buc phi c my tnh
hoc my lp trnh i km vi cc cp chun ho.
4.1.6- ng dng:
Vi PLC c cc a ch ng dng nh sau:
+ Vi cc dy chuyn sn xut t ng nh cng ngh ch bin v bao b
thc phm, cng ngh cn ko thp, cng ngh sn xut xi mng v cc dy chuyn
sn xut t ng khc. Trong cc h ny thng dng cc PLC c tr lng iu
khin ln.
+ Trn thit b ca my t ng ta dng PLC thc hin t ng khng ch
theo cng ngh v quyt nh cc ch lm vic mt cch c hiu qu nu cng
ngh c mch t ng khng ch phc tp nh phay, bo ging... v cc h iu
khin t ng qu trnh khi ng ng c cng sut ln.
4.1.7- Trnh t thit k h iu khin logic ng dng PLC
to nn mt h iu khin logic dng PLC ta phi qua cc bc:
+ Xut pht t yu cu cng ngh ta phi tnh ton thit k ra hm iu khin
logic.
+ Xut pht t cng ngh v hm logc c ta phi xc nh c cc
sensor to nn cc bin u vo.
+ T cc sensor chn ta tin hnh chun ho tin hiu nu cn (tn hiu
sensor cha ph hp vi tn hiu ca vo PLC)
+ Tin hnh lp trnh v chn loi PLC p ng cho h. Sau khi chng
trnh vo PLC ta phi tin hnh chy th bng cch to tn hiu gi.
Gio trnh in t iu khin logic
TRN KIM PHC
50
+ Khi c chng trnh iu khin th chng ta s ni cc sensor v cc i
tng vo PLC, tin hnh chy th trn thit b thc, trong qu trnh chy th ta s
hiu chnh tinh cc thng s cng ngh.
+ Ghi chng trnh c hiu chnh vo EPROM (vi loi PLC c ca
cm EPROM lu chng trnh)
Cc h thng iu khin logic c th c phn theo s sau (hnh 4.2):








4.2- Thit b iu khin logic kh trnh S7 - 200
4.2.1- Cu hnh cng
S7-200 l thit b iu khin logic kh trnh ca hng Siemens (CHLB c), c
cu trc theo kiu module v c cc modulee m rng. Cc module ny c s
dng cho nhiu nhng ng dng lp trnh khc nhau. S7-200 c cu to theo cc
module trong module chnh l module cha CPU c a ch ca vo ra, cn cc
module m rng c th l tng t hoc s v a ch hon ton ph thuc vo kiu
module v v tr ca module trong h v vy khng ghi a ch.
Thnh phn c bn ca S7 - 200 l khi vi x l CPU 212 hoc CPU 214. V
hnh thc bn ngoi, s khc nhau ca hai loi CPU ny nhn bit c nh s u
vo/ra ngun cung cp.
- CPU 212 c 8 cng vo, 6 cng ra v c kh nng c m rng thm bng
2 module m rng.
- CPU 214 c 14 cng vo v 10 cng ra v c kh nng c m rng thm
bng 7 module m rng.
S7-200 c nhiu loi module m rng khc nhau.
HTKLG
Mch cng B nh , lp trnh
Mch ni cng
(Rle , nam
chm in-TB
in t)
Bng ni cm
(Cc mch in
t-bn dn AND
OR ,...)
B nh thay
i c
TB lp trnh
c
PLC (Vi x l)
Hnh 4.2
Gio trnh in t iu khin logic
TRN KIM PHC
51
CPU 212 bao gm
+ 512 t n tc l 1KB lu chng trnh thuc min b nh
c/ghi c v khng b mt d liu nh c giao din vi EPROM.
+ C 8 cng vo logic v 6 cng ra logic.
+ C th ghp ni thm 2 module m rng s cng vo/ra, bao gm
c module tng t (analog).
+ Tng s cng logic vo/ra cc i l 64 cng vo v 64 cng ra.
+ C 64 b to thi gian tr
+ C 64 b m, chia lm hai loi: loi b m ch m tin v loi
va m tin va m li.
+ 368 bt nh c bit, s dng lm cc bt trng thi hoc cc bt t
ch lm vic.
+ C cc ch ngt v x l tn hiu ngt khc nhau bao gm ngt
truyn thng, ngt theo sn ln hoc sn xung, ngt theo thi gian v ngt
bo hiu ca b m tc cao (2 KHz).
+ B nh khng b mt d liu trong khong thi gian 50h khi PLC b
mt ngun nui.
CPU 214 bao gm
+ 2048 t n (4KB) lu chng trnh thuc min b nh c/ghi
c v khng b mt d liu nh c giao din vi EEPROM.
+ C 14 cng vo v 10 cng ra logic
+ C 7 module m rng thm cng vo/ra bao gm c module
analog.
+ Tng s cng vo ra cc i l 64 cng vo v 64 cng ra.
+ C 128 b to thi gian tr.
+ C 128 b m chia lm hai loi ch m tin v va m tin va
m li.
+ C 688 bt nh c bit dng thng bo trng thi v t ch
lm vic.
Gio trnh in t iu khin logic
TRN KIM PHC
52
+ Cc ch ngt v x l ngt gm ngt truyn thng, ngt theo
sn ln hoc xung, ngt theo thi gian, ngt ca b m tc cao v ngt
truyn xung.
+ C 3 b m tc cao vi nhp 2 KHz v 7 KHz.
+ C 2 b pht xung nhanh cho dy xung kiu PTO hoc kiu PWM
+ C 2 b iu chnh tng t
+Ton b vng nh khng b mt gi liu trong khong thi gian 190h
khi PLC b mt ngun nui.
4.2.2- M t cc n bo v cng tc
- Trn S7-200 n bo c cc loi:
+ n (SF): n ny sng khi PLC c hng hc.
+ n xanh (RUN): n ny ch nh PLC ang ch lm vic v thc
hin chng trnh c np trong my.
+ n vng (STOP) n ny ch nh rng PLC ang ch dng. Dng
chng trnh ang thc hin li (C th do ngi vn hnh cho ngh hoc trong
chng trnh gp lnh STOP hoc trong PLC c hng hc).
+ n xanh I x.x: n xanh cng vo ch nh trng thi tc thi ca cng
Ix.x (x.x = 0.0 1.5). n ny bo hiu trng thi ca tn hiu theo gi tr logc ca
cng.
+ n xanh Qy.y: n ny bo hiu trng thi tc thi ca cng Qy.y (y.y = 0.0
1.1), n ny bo hiu trng thi ca tn hiu theo gi tr logc cng.
- Cng tc chn ch lm vic cho PLC:
Cng tc chn ch lm vic nm pha trn, bn cnh cc cng ra ca S7
- 200 c 3 v tr cho php chn cc ch lm vic khc nhau cho PLC
+ RUN: Cho php PLC thc hin chng trnh trong b nh. PLC S7 - 200 s
ri khi ch RUN v chuyn sang ch STOP nu trong my c s c hoc
trong chng trnh gp lnh STOP, thm ch ngay c khi cng tc ch RUN.
Do nn quan st trng thi thc ti ca PLC theo n bo.
+ STOP: Cng bc PLC dng cng vic thc hin chng trnh ang chy
v chuyn sang ch STP. ch STOP PLC cho php hiu chnh li chng
trnh hoc np mt chng trnh mi.
Gio trnh in t iu khin logic
TRN KIM PHC
53
+ TERM: Cho php my lp trnh t quyt nh mt trong ch lm vic
cho PLC hoc RUN hoc STOP
- Chnh nh tng t : iu chnh tng t (Mt b trong CPU 212 v hai b trong
CPU 214) cho php iu chnh cc bin cn phi thay i v s dng trong chng
trnh. Nm iu chnh tng t c lp t di np y bn cnh cc cng vo ra.
Thit b chnh nh c th quay 270
0
.
4.2.3- Cu trc b nh
- Phn chia b nh : B nh ca S7 - 200 c chia thnh 4 vng vi 1 t c
nhim v duy tr d liu trong mt khong thi gian nht nh khi mt ngun. B
nh ca S7 - 200 c tnh nng ng cao, c v ghi uc trong ton vng loi tr
cc phn bt nh c bit c k hiu bi SM (special memory) ch c th truy
nhp c.
+ Vng chng trnh: L min b nh c s dng lu gi cc lnh
chng trnh iu khin c th c chuyn vo t my lp trnh, my tnh hoc
EPROM v trong qu trnh lm vic s tin hnh c chng trnh y iu
khin.
+ Vng tham s: L min lu gi cc tham s nh: t kho, a ch trm... cc
thng tin ny c th c ci t do nh ch to hoc do ngi s dng khi mun
m kho chng trnh.
+ Vng d liu: Vng ny c s dng ct cc d liu ca chng trnh
bao gm: cc kt qu ca cc php tnh, hng s c nh ngha trong chng
trnh, b m truyn thng... Vng d liu l mt min nh ng, n c th truy
nhp theo tng bt, tng byte, tng t n, t kp v c s dng lm min lu tr
d liu cho cc thut ton, cc hm truyn thng, lp bng, cc hm dch chuyn,
xoay vng thanh ghi, con tr a ch..
Vng d liu li c chia ra thnh nhng min nh nh vi cc cng dng
khc nhau. Chng c k hiu bng cc ch ci u ca ting Anh c trng ring
cho cng dng ca chng nh sau L:
V (Variable memory): Vng nh trung gian.
I (Input image register): Vng nh u vo.
O (Output image register): Vng nh u ra.
M (Internal memory bits): Vng nh lu gi.
SM (Special memory): Vng nh c bit.
Gio trnh in t iu khin logic
TRN KIM PHC
54
truy nhp c vo vng ny ta phi ghi da ch theo nguyn tc:
+ Truy nhp theo bt: (Tn min) + (a ch byte)+(.)+ ch s bt.
V d: V150.4: ch bt 4 ca byte 150 thuc min V
+ Truy nhp theo byte: (Tn min) + (B) + (a ch ca byte trong min)
V d: VB150 ch byte 150 thuc min V
+ Truy nhp theo t: (Tn min) + (W)+(a ch byte cao ca t trong min)
V d: VW150: ch t n gm hai byte 150 v 151 thuc min V, trong
byte 150 c vai tr l byte cao trong t.
15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
VW150 VB150 (byte cao) VB151(byte thp)
+ Truy nhp theo t kp: (Tn min) + (D) + (a ch byte cao ca t trong min)
VD: VD150: ch t kp gm 4 byte 150, 151, 152, 153 thuc min V, trong
byte 150 c vai tr l byte cao v byte 153 l byte thp trong t kp.
+ Vng i tng: L vng nh c k hiu v s dng ring cho cc b m, cc
r le thi gian, cc b m ca tn hiu vo ra tng t v mt s thanh ghi. Tng
ng vi cc k hiu ta c cc vng vi cc chc nng nh sau:
. C+ n Vng nh dnh ring cho cc b m c a ch n. VD: C0, C63..
. AIW + ch s byte cao: Vng nh dnh cho cc b m cng vo lin tc
. AQW + ch s byte thp: Vng nh dnh cho cc b m cng ra lin tc.
. T + n: Vng nh dnh ring cho cc r le thi gian c ch s l n.
. AC + ch s thanh ghi: Cc thanh ghi dnh ring cho vng ny (AC0 - AC3)
. HSC + ch s thanh ghi: Thanh ghi dnh ring cho cc b m tc cao (HSC0 -
HSC2)
4.2.4- M rng cng vo ra (Module m rng)
m rng kh nng iu khin ta phi m rng cng vo ra ca PLC bng
cch ghp ni thm vo n cc module m rng v pha bn phi ca CPU lm
thnh mt mc xch. a ch ca cc v tr ca module c xc nh bng kiu
vo/ra v v tr ca module trong mc xch, bao gm cc module c cng kiu. V
d nh mt module cng ra khng th gn a ch ca mt module cng vo, cng
nh mt module tng t khng th c a ch nh mt module s v ngc li.
Gio trnh in t iu khin logic
TRN KIM PHC
55
CPU 212 c th m rng thm 2 module v CPU 214 c th m rng 4 module
VD: Cch t a ch cho cc module m rng trn CPU 214

CPU 214 Module
4 vo /4 ra
Module
8 vo
Module
3 vo analog/1ra analog
Module 8 ra
I0.0
I0.1
I0.2
I0.3
I0.4
I0.5
I0.6
I0.7
I1.0
I1.1
I1.2
I1.3
I1.4
I1.5
Q0.0
Q0.1
Q0.2
Q0.3
Q0.4
Q0.5
Q0.6
Q0.7
Q1.0
Q1.1
I2.0
I2.1
I2.2
I2.3

Q2.0
Q2.1
Q2.2
Q2.3


I3.0
I3.1
I3.2
I3.3
I3.4
I3.5
I3.6
I3.7
AIW0
AIW2
AIW4

AQW0
Q3.0
Q3.1
Q3.2
Q3.3
Q3.4
Q3.5
Q3.6
Q3.7

4.2.5-Thc hin chng trnh
Vi S7 - 200 vic thc hin chng trnh cng tng t nh cc PLC khc
l chng trnh c thc hin theo chu trnh lp, mi vng lp c gi l mt
vng qut v lm vic theo cch lp t ng v vy thc hin chng trnh thi
gian c v x l phi nh hn thi gian ca vng qut v mt vng qut c chia
lm 4 giai on:
+ Giai on 1: Nhn thng tin t cc ca vo v nh ti bng nh vo.
+ Giai on 2: c lnh trong chng trnh iu khin v ly thng tin c sn
bng nh vo, x l theo cu lnh v chuyn kt qu ra bng nh ra. Trong qu trnh
ny cu lnh c c ln lt t cu lnh u tin n cu lnh cui cng v khi
gp lnh kt thc (MEND) t ng quay li v c li t u
Gio trnh in t iu khin logic
TRN KIM PHC
56
+ Giai on 3: Truyn thng v t kim tra li.
+ Giai on 4: Chuyn kt qu c bng nh ra ra ngoi i lng iu khin
bn ngoi.

4.2.6- Cu trc chng trnh
Trong qu trnh lp trnh iu khin vi cc chng trnh n gin ta tin hnh
lp trnh t u ddn cui ch c mt chng trnh, nhng vi cc h thng phc tp
nu lp trnh nh trn rt phc tp. n gin ta tin hnh lp trnh cho tng mng
cng ngh v cng trnh ca c dy chuyn s c lin kt bi rt nhiu mng vi
nhau, gi l lp trnh c cu trc.
Chng trnh iu khin ca cc mng nu khng ph thuc iu khin ch
ph thuc vo chng trnh gi l chng trnh con cn nu cc mng va ph thuc
vo chng trnh va ph thuc vo qu trnh iu khin c gi l chng trnh
ngt.
Cc chng trnh cho S7 - 200 phi c cu trc bao gm chng trnh chnh v
sau n cc chng trnh con v cc chng trnh ngt c ch ra sau y:
+ Chng trnh chnh phi c lp truc v kt thc bng lnh MEND
+ Sau chng trnh chnh vit cc chngtrnh con v kt thc chng trnh con phi
c lnh RET. Khi gp lnh RET th vi x l quay v chng trnh chnh v cc
chng trnh con phi c k hiu v c a ch SBR.
+ Chng trnh ngt c vit sau chng trnh con khi c lnh ngt trong chng
trnh chnh (iu khin ngt) th chng trnh s vo chng trnh ngt khi lm vic
xong chng trnh ngt gp lnh RETI th n t ng quay v chng trnh chnh ti
im ngt v mi chng trnh ngt u phi c lnh (INT + a ch)
Main Program

MEND

Thc hin trong mt vng qut

SBR 0 Chng trnh con th nht

RET

Gio trnh in t iu khin logic
TRN KIM PHC
57

SBR n Chng trnh con th n + 1

RET


INT 0 Chng trnh x l ngt th nht

RETI

Thc hin khi c tn hiu bo ngt

INT n Chng trnh x l ngt th n + 1

RETI

4.3 Ngn ng lp trnh ca S7-200
4.3.1- Gii thiu chung
Phng php lit k lnh (Statement List vit tt l STL) v phng php s
cc khi chc nng (FBD). Nu chng trnh lp theo FBD th thit b lp trnh
c th chuyn sang dng LAD hoc STL tng ng. Ngc li khng phi mi
chng trnh vit dng STL hoc LAD cng c th chuyn sang dng FBD. Nu
chng trnh lp theo LAD th thit b lp trnh c th chuyn sang dng STL tng
ng, nhng khng phi mi chng trnh vit dng STL cng c th chuyn sang
dng LAD. Trong phn ny chng ta nghin cu hai phng php lp trnh l LAD
v STL, chng u c sn trong ngn ng lp trnh STEP7-Micro/DOS v STEP7-
Micro/WIN, cn lp trnh kiu FBD ch c trong STEP7-Micro/WIN. d dng
lm quen vi vi cc thnh phn c bn ca LAD v STL ta cn nm cc nh ngha
c bn sau y:
nh ngha v LAD: LAD l mt ngn ng lp trnh bng ho. Nhng
thnh phn c bn dng trong LAD tng ng vi cc thnh phn ca bng iu
khin bng rle. Trong chng trnh LAD cc phn t c bn dng biu din cc
lnh logic nh sau:
Gio trnh in t iu khin logic
TRN KIM PHC
58
- Tip im: l biu tng (symbol) m t cc tip im ca rle. Cc tip
im c th l thng m (| |) hoc thng ng (| / |).
- Cun dy (coil): l biu tng (( )) m t cun dy rle
- Hp (box): l biu tng m t cc hm khc nhau, n lm vic khi c dng
in chy n hp. Nhng dng hm thng c biu din bng hp l cc b thi
gian (Timer), cc b m (Counter) v cc hm ton hc. Cun dy v hp phi
c mc ng chiu dng in.
- Mng LAD: l ng ni cc phn t thnh mt mch hon thin, i t
ng ngun bn tri sang ng ngun bn phi. ng ngun bn tri l dy
nng, ng ngun bn phi l dy trung ho (neutral) hay l ng tr v ngun
cung cp (ng ngun bn phi thng khng c th hin khi dng chng trnh
tin dng STEP7-Micro/DOS hoc STEP7-Micro/WIN). Dng in chy t tri qua
cc tip im ng n cc cun dy hoc cc hp tr v bn phi ngun.
nh ngha v STL: Phng php lit k lnh (STL) l phng php th hin
chng trnh di dng tp hp cc cu lnh. Mi cu lnh trong chng trnh, k c
nhng lnh hnh thc biu din mt chc nng ca PLC.
nh ngha v ngn xp logic (logic stack):








to ra mt chng trnh dng STL, ngi lp trnh cn phi hiu r
phng thc s dng 9 bit ngn xp logic ca S7-200. Ngn xp logic l mt khi
gm 9 bit chng ln nhau. Tt c cc thut ton lin quan n ngn xp u ch lm
vic vi bit u tin hoc vi bit u v bit th hai ca ngn xp. Gi tr logic mi
u c th c gi (hoc c ni thm) vo ngn xp. Khi phi hp hai bit u
tin ca ngn xp, th ngn xp s c ko ln mt bit. Ngn xp v tn ca tng
bit trong ngn xp c biu din trn hnh 4.3.
Hnh 4.3
S0
S1
S2
S3
S4
S5
S6
S7
S8
Stack 0-bit u tin hay bit trn cng ca ngn xp
Stack 1-bit th hai ca ngn xp
Stack 2-bit th ba ca ngn xp
Stack 3-bit th t ca ngn xp
Stack 4-bit th nm ca ngn xp
Stack 5-bit th su ca ngn xp
Stack 6-bit th by ca ngn xp
Stack 7-bit th tm ca ngn xp
Stack 8-bit th chn ca ngn xp
Gio trnh in t iu khin logic
TRN KIM PHC
59
V d v ladder logic v statement list:
Hnh 4.4 m t vic thc hin lnh LD (vit tt t Load trong ting Anh) a
gi tr logic ca tip im I0.0 vo trong ngn xp theo cch biu din ca LAD v
STL:
LAD STL


4.3.2- Bng tm tt mt s lnh c bn ca S7-200
H lnh ca S7-200 c chia lm 3 nhm:
- Cc lnh m khi thc hin th lm vic c lp khng ph thuc vo gi tr
logic ca ngn xp.
- Cc lnh ch thc hin c khi bit u tin ca ngn xp c gi tr logic
bng 1.
- Cc nhn lnh nh du v tr trong tp lnh.
Trong cc bng lnh cn m t s thay i tng ng ca ni dung ngn xp
khi lnh c thc hin.
C hai phng php LAD v STL u s dng k hiu I ch vic thc hin
tc thi (immediately), tc l gi tr c ch dn trong lnh va c chuyn vo
thanh ghi o va ng thi c chuyn n tip im ch dn trong lnh ngay khi
lnh c thc hin ch khng phi ch ti giai on trao i vi ngoi vi ca vng
qut (xem hnh 5.2). iu khc vi lnh khng tc thi l gi tr c ch nh
trong lnh ch c chuyn vo thanh ghi o khi thc hin lnh.
Bng 4.1- Mt s lnh ca S7-200 thuc nhm lnh thc hin v iu kin
Tn lnh M t
= n Gi tr ca bit u tin ngn xp c sao chp sang im n ch dn
trong lnh.
=I n Gi tr ca bit u tin ngn xp c sao chp trc tip sang im n
ch dn trong lnh ngay khi lnh c thc hin.
A n Thc hin ton t v (AND) gia gi tr logic ca bit u tin ngn
xp vi gi tr logic ca im n ch dn trong lnh. Kt qu c ghi
li vo bit u tin ca ngn xp.
I0.0 Q1.0 LD I0.0
= Q1.0
Hnh 4.4
Gio trnh in t iu khin logic
TRN KIM PHC
60
ALD Thc hin ton t v (AND) gia gi tr logic ca bit u tin ngn
xp vi gi tr logic ca bit th hai ngn xp. Kt qu c ghi li vo
bit u tin ngn xp. Cc gi tr cn li trong ngn xp c ko ln
mt bit.
AN n Thc hin ton t v (AND) gia gi tr logic ca bit u tin ngn
xp vi gi tr logic nghch o ca im n ch dn trong lnh. Kt
qu c ghi li vo bit u tin ca ngn xp.
CTU
Cxx,PV
Khi ng b m tin theo sn ln ca tn hiu vo. B m c
t li trng thi ban u (reset) nu u vo R ca b m c kch
(c mc logic 1).
CTUD
Cxx,PV
Khi ng b m tin theo sn ln ca tn hiu u vo th nht v
m li theo sn ln ca tn hiu u vo th hai. B m c reset
li nu u vo R ca b m c kch (c mc logic 1).
ED t gi tr logic 1 vo bit u tin ca ngn xp khi xut hin sn
xung ca tn hiu.
EU t gi tr logic 1 vo bit u tin ca ngn xp khi xut hin sn
ln ca tn hiu.
LD n Np gi tr logic ca im n ch dn trong lnh vo bit u tin ca
ngn xp. Cc gi tr trong ngn xp c y xung mt bit.
LDN n Np gi tr logic nghch o ca im n ch dn trong lnh vo bit
u tin ca ngn xp. Cc gi tr trong ngn xp c y xung
mt bit.
LDW<=n1, n2 Bit u tin trong ngn xp nhn gi tr logic 1 nu ni dung hai t n1
v n2 tho mn n1 n2.
LDW = n1, n2 Bit u tin trong ngn xp nhn gi tr logic 1 nu ni dung hai t n1
v n2 tho mn n1 = n2.
LDW>=n1, n2 Bit u tin trong ngn xp nhn gi tr logic 1 nu ni dung hai t n1
v n2 tho mn n1 n2.
LPP Ko ni dung ngn xp ln mt bit. Gi tr mi ca bit trn l gi tr
c ca bit di, su ngn xp gim i mt bit (gi tr ca bit u
tin b y ra khi ngn xp - xo).
LRD Sao chp gi tr ca bit th hai vo bit u tin ca ngn xp. Cc gi
tr cn li t bit th hai tr i c gi nguyn v tr.
MEND Kt thc phn chng trnh chnh trong mt vng qut.
NOT o gi tr logic ca bit u tin ngn xp.
O n Thc hin ton t hoc (OR) gia gi tr logic ca bit u tin ngn
xp vi gi tr logic ca im n ch dn trong lnh. Kt qu c ghi
li vo bit u tin ca ngn xp.
Gio trnh in t iu khin logic
TRN KIM PHC
61
OI n Thc hin tc thi ton t hoc (OR) gia gi tr logic ca bit u
tin ngn xp vi gi tr logic ca im n ch dn trong lnh. Kt qu
c ghi li vo bit u tin ca ngn xp.
OLD Thc hin ton t hoc (OR) gia gi tr logic ca bit u tin ngn
xp vi gi tr logic ca bit th hai ngn xp. Kt qu c ghi li vo
bit u tin ca ngn xp. Cc gi tr cn li trong ngn xp c ko
ln mt bit.
ON n Thc hin ton t hoc (OR) gia gi tr logic ca bit u tin ngn
xp vi gi tr logic nghch o ca im n ch dn trong lnh. Kt
qu c ghi li vo bit u tin ca ngn xp.
RET Lnh thot khi chng trnh con v tr iu khin v chng trnh
chnh gi n.
RETI Lnh thot khi chng trnh x l ngt (interrupt) v tr iu khin
v chng trnh chnh.

Bng 4.2- Mt s lnh trong nhm lnh c iu kin (ch thc hin khi bit u tin
ngn xp c gi tr logic 1):
Tn lnh M t
+D IN1, IN2
Thc hin php cng hai s nguyn kiu t kp IN1 v IN2. Kt
qu c ghi li vo IN2.
+I IN1, IN2
Thc hin php cng hai s nguyn kiu t IN1 v IN2. Kt
qu c ghi li vo IN2.
-D IN1, IN2
Thc hin php tr hai s nguyn kiu t kp IN1 v IN2. Kt
qu c ghi li vo IN2.
-I IN1, IN2
Thc hin php tr hai s nguyn kiu t IN1 v IN2. Kt qu
c ghi li vo IN2.
+R IN1, IN2
Thc hin php cng hai s thc (32 bit) IN1 v IN2. Kt qu
c ghi li vo IN2.
-R IN1, IN2
Thc hin php tr hai s thc (32 bit) IN1 v IN2. Kt qu
c ghi li vo IN2.
*R IN1, IN2
Thc hin php nhn hai s thc (32 bit) IN1 v IN2. Kt qu
c ghi li vo IN2.
/ R IN1, IN2
Thc hin php cng hai s thc (32 bit) IN1 v IN2. Kt qu
c ghi li vo IN2.
ANDD IN1, IN2
Thc hin ton t logic AND gia cc gi tr kiu t kp IN1 v
IN2. Kt qu c ghi li vo IN2.
ANDW IN1, IN2 Thc hin ton t logic AND gia cc gi tr kiu t IN1 v
Gio trnh in t iu khin logic
TRN KIM PHC
62
IN2. Kt qu c ghi li vo IN2.
CALL n Gi chng trnh con c nh nhn n.
CRET
Kt thc mt chng trnh con v tr iu khin v chng trnh
gi n.
CRETI
Kt thc mt chng trnh x l ngt v tr iu khin v
chng trnh chnh.
MOVB IN, OUT Sao gi tr ca byte IN sang byte OUT.
MOVD IN, OUT Sao gi tr ca t kp IN sang t kp OUT.
MOVR IN, OUT Sao s thc IN sang OUT.
MOVW IN, OUT Sao gi tr ca t IN sang t OUT.
ORD IN1, IN2
Thc hin ton t OR cho hai t kp IN1 v IN2, kt qu c
ghi li vo IN2.
ORW IN1, IN2
Thc hin ton t OR cho hai t IN1 v IN2, kt qu c ghi
li vo IN2.
PLS x
a b pht xung nhanh c nh ngha trong b nh c
bit vo trng thi tch cc. Xung ra c a ra cng Q0.x.
RLD IN, n Quay trn t kp IN sang tri n bit.
RLW IN, n Quay trn t IN sang tri n bit.
RRD IN, n Quay trn t kp IN sang phi n bit.
RRW IN, n Quay trn t IN sang phi n bit.
SLD IN, n Dch t kp IN sang tri n bit
SLW IN, n Dch t IN sang tri n bit
SQRT IN, OUT
Ly cn bc hai ca mt s thc 32 bit IN v ghi kt qu vo
OUT (32 bit)
SRD IN, n Dch t kp IN sang phi n bit.
SRW IN, n Dch t IN sang phi n bit.
STOP Dng mm chng trnh.
SWAP IN i ch hai bit u tin v cui cng ca byte IN cho nhau.

Bng 4.3: Cc lnh t nhn (label)
Tn lnh M t
INT n Khai bo nhn n cho chng trnh x l ngt
LBL xx t nhn xx trong chng trnh, nh hng cho lnh nhy JMP
NEXT Lnh kt thc vng lp FOR.. . NEXT
NOP Lnh rng (no operation)
SBR n Khai bo nhn n cho chng trnh con

Gio trnh in t iu khin logic
TRN KIM PHC
63
4.3.3- C php h lnh ca S7-200
Mc d S7-200 c mt khi lng ln cc lnh thc hin cc thut ton ca
i s Boolean song ch c mt vi cc kiu lnh khc nhau. Sau y chng ta s m
t chi tit v cch s dng, chc nng v tc ng ca chng vo ni dung ngn xp.
Trong gi tr ca ngn xp trc khi thc hin lnh c k hiu bng c0 n c8
(vit tt ch c), k hiu m c dng cho ni dung ngn xp sau khi thc
hin lnh. Trong cc v d sau, du dng cho ton t AND, du dng cho ton
t OR v k hiu dng cho ton t NOT.
4.3.3.1. Ton hng v gii hn cho php
Bng 4.4-
Gii hn cho php ca ton hng Phng php truy
nhp CPU212 CPU214
Truy nhp bit
(a ch byte.ch
s bit)
V
I
Q
M
SM
T
C
(0.0 n 1023.7)
(0.0 n 7.7)
(0.0 n 7.7)
(0.0 n 15.7)
(0.0 n 45.7)
(0 n 63)
(0 n 63)
V
I
Q
M
SM
T
C
(0.0 n 4095.7)
(0.0 n 7.7)
(0.0 n 7.7)
(0.0 n 31.7)
(0.0 n 85.7)
(0 n 127)
(0 n 127)
Truy nhp byte
VB
IB
MB
SMB
AC
Hng s
(0 n 1022)
(0 n 7)
(0 n 15)
(0 n 45)
(0 n 3)

VB
IB
MB
SMB
AC
Hng s
(0 n 4095)
(0 n 7)
(0 n 31)
(0 n 85)
(0 n 3)

Truy nhp t n
(a ch byte cao)
VW
T
C
IW
QW
MW
SMW
AC
AIW
AQW
Hng s
(0 n 1022)
(0 n 63)
(0 n 63)
(0 n 6)
(0 n 6)
(0 n 14)
(0 n 44)
(0 n 3)
(0 n 30)
(0 n 30)

VW
T
C
IW
QW
MW
SMW
AC
AIW
AQW
Hng s
(0 n 4094)
(0 n 127)
(0 n 127)
(0 n 6)
(0 n 6)
(0 n 30)
(0 n 84)
(0 n 3)
(0 n 30)
(0 n 30)

Gio trnh in t iu khin logic
TRN KIM PHC
64
Truy nhp t kp
(a ch byte cao)
VD
ID
QD
MD
SMD
AC
HC
Hng s
(0 n 1022)
(0 n 4)
(0 n 4)
(0 n 12)
(0 n 42)
(0 n 3)
(0)

VD
ID
QD
MD
SMD
AC
HC
Hng s
(0 n 4092)
(0 n 4)
(0 n 4)
(0 n 28)
(0 n 82)
(0 n 3)
(0 n 2)


4.3.3.2- Lnh vo/ra
(1) Load (LD) v Load Not (LDN)
Lnh LD np gi tr logic ca mt tip im vo bit u tin ca ngn xp
(hnh 4.5), cc gi tr c cn li trong ngn xp b y li xung mt bit.
Lnh LDN np gi tr logic nghch o ca mt tip im vo trong bit u
tin ca ngn xp (hnh 4.6), cc gi tr c cn li trong ngn xp b y li xung
mt bit.








Cc dng khc nhau ca lnh LD, LDN cho LAD
LAD M t Ton hng


Tip im thng m s
c ng nu n = 1
n: I, Q, M, SM, T, C, V
(bit)


Tip im thng ng s
c m nu n = 1

Cc dng khc nhau ca lnh LD, LDN cho STL
Hnh 4.5- Trng thi ngn xp trc v
sau khi thc hin lnh LD
c0
c1
c2
c3
c4
c5
c6
c7
c8
m
c0
c1
c2
c3
c4
c5
c6
c7
b y ra khi ngn xp
Hnh 4.6- Trng thi ngn xp trc v
sau khi thc hin lnh LDN
c0
c1
c2
c3
c4
c5
c6
c7
c8
m
c0
c1
c2
c3
c4
c5
c6
c7
b y ra khi ngn xp
n
n
Gio trnh in t iu khin logic
TRN KIM PHC
65
Lnh M t Ton hng
LD n

Tip im thng m s
c ng nu n = 1
n: I, Q, M, SM, T, C, V
(bit)
LDN n

Tip im thng ng s
c m nu n = 1

(2) OUTPUT (=) : Lnh sao chp ni dung ca bit u tin trong ngn xp vo bit
(im) c ch dn trong lnh. Ni dung ca ngn xp khng b thay i.
M t lnh = bng LAD nh sau:
LAD M t Ton hng

Cun dy u ra trng
thi kch thch, c dng
iu khin i qua nu n = 1
n: I, Q, M, SM, T, C, V
(bit)
M t lnh = bng STL nh sau:
Lnh M t Ton hng
= n

Cun dy u ra trng thi kch thch,
c dng iu khin i qua nu n = 1
n: I, Q, M, SM, T, C, V
(bit)
4.3.3.3- Lnh ghi / xo gi tr cho tip im
SET (S)
RESET (R) y l cc lnh dng ng cc im (tip im) c
thit k. Trong LAD, logic iu khin dng in ng hoc ngt cc cun dy u
ra. Khi dng iu khin n cc cun dy th cc cun dy ng hoc m cc tip
im (hoc mt dy cc tip im).
Trong STL, th y l lnh truyn trng thi bit u tin ca ngn xp n cc
im thit k. Nu bit ny bng 1, cc lnh S v R s ng ngt mt tip im hoc
mt dy tip im (gii hn t 1-255). Ni dung ca ngn xp khng b thay i.
M t lnh S v R bng LAD nh sau:
LAD M t Ton hng
S _BIT n
S

ng mt mng gm n cc tip im
k t S_BIT
S_BIT: I, Q, M,
SM, T, C, V (bit)
S_BIT n


Ngt mt mng gm n cc tip im
k t S_BIT. Nu S_BIT li ch vo
Timer hoc Counter th lnh s xo
bit u ra ca Timer/Counter .
n: IB, QB, MB,
SMB, VB (byte)
M t lnh S v R bng STL nh sau:
R
Gio trnh in t iu khin logic
TRN KIM PHC
66
Lnh M t Ton hng
S S_BIT, n t gi tr logic 1 cho mt mng gm
n bit k t a ch S_BIT.
S_BIT: I, Q, M, SM, T,
C, V (bit)
R S_BIT, n

Xo mt mng gm n bit k t a
ch S_BIT. Nu S_BIT li ch vo
Timer hoc Counter th lnh s xo
bit u ra ca Timer/Counter .
n: IB, QB, MB, SMB, VB
(byte)
V d: M t vic thc hin lnh S v R trong LAD v STL:
LAD STL







LD
=
S
R
R
I0.0
Q2.0
Q2.1,
Q2.2,
Q1.0,


1
1
3
4.3.3.4- Cc lnh logic i s Boolean
Cc lnh tip im i s Boolean cho php to lp c cc mch logic
(khng c nh). Trong LAD cc lnh ny c biu din thng qua cu trc mch
(mc ni tip hay song song cc tip im thng ng v thng m) Trong STL
cc lnh c vit tt nh sau A (AND), O (OR), AN (AND NOT), ON (OR NOT).
Gi tr ngn xp thay i ph thuc vo tng lnh.
Lnh M t Ton hng
A n
O n
Lnh thc hin ton t (AND) v (OR) gia gi tr
logic ca tip im n v gi tr bit u tin ca ngn xp.
Kt qu li c ghi vo bit u tin ca ngn xp
n: I, Q, M,
(bit) SM,
T, C, V
AN n
ON n
Lnh thc hin ton t (AND) v (OR) gia gi tr
logic nghch o ca tip im n v gi tr bit u tin
ca ngn xp. Kt qu li c ghi vo bit u tin ca
ngn xp


Ngoi nhng lnh lm vic trc tip vi tip im, S7-200 cn c 5 lnh c
bit biu din cc php tnh i s Boolean cho cc bit trong ngn xp, c gi l
I0.0 Q2.
0
Q2.1
S
Q2.2
R
Q1.0
R
1
1
3
Gio trnh in t iu khin logic
TRN KIM PHC
67
cc lnh stack logic. l cc lnh ALD (And load), OLD (Or load), LPS (Logic
push), LRD (Logic read), LPP (Logic pop). Lnh stack logic c dng t hp,
sao chp hoc xo cc mnh logic. Bng sau tm tt cc lnh stack logic trong
STL:
Lnh M t Ton hng
ALD Lnh t hp gi tri logic ca bit u tin v bit th hai ca
ngn xp bng php tnh (AND). Kt qu c ghi li vo
bt u tin ca ngn xp, gi tr cn li ca ngn xp c
ko ln mt bit.
Khng c
OLD Lnh t hp gi tri logic ca bit u tin v bit th hai ca
ngn xp bng php tnh (OR). Kt qu c ghi li vo bt
u tin ca ngn xp, gi tr cn li ca ngn xp c ko
ln mt bit.
Khng c
LPS Lnh Logic Push thc hin sao chp gi tr logic ca bit u
tin ngn xp vo bit th hai. Gi tr cn li trong ngn xp
b y xung 1 bit. Bit cui cng b y ra khi ngn xp.
Khng c
LRD Lnh thc hin sao chp gi tr logic ca bit th hai ngn xp
vo bit u tin. Gi tr cn li trong ngn xp c gi
nguyn v tr.
Khng c
LPP Lnh ko ngn xp ln 1 bit. Gi tr ca bit sau c chuyn
cho bit trc. Gi tr c ca bit u tin b y ra ngoi.
Khng c
A v O: Tc ng ca lnh A v O vo ngn xp:









M t lnh A v O dng LAD v STL:
Hnh 4.7- Trng thi ngn xp trc v sau khi thc hin lnh A v O
c0
c1
c2
c3
c4
c5
c6
c7
c8
m
c1
c2
c3
c4
c5
c6
c7
c8
Lnh A
Trc Sau
c0
c1
c2
c3
c4
c5
c6
c7
c8
m
c1
c2
c3
c4
c5
c6
c7
c8
Lnh O
Trc Sau
m = c0 n m = c0 n
Gio trnh in t iu khin logic
TRN KIM PHC
68
LAD STL


LD
A
=
I0.1
I0.2
Q1.0





LD
O
=

I1.1
I1.2
Q1.1
ALD v OLD: Tc ng ca lnh ALD v OLD vo ngn xp:








V d: M t lnh OLD dng LAD v STL:
LAD STL





LD
LD
A
OLD
=
I0.1
I0.2
I0.3

Q1.4







I0.1 Q1. I0.2
I1.1 Q1.
I1.2
Hnh 4.8- Trng thi ngn xp trc v sau khi thc hin lnh ALD v OLD
c0
c1
c2
c3
c4
c5
c6
c7
c8
m
c2
c3
c4
c5
c6
c7
c8

Lnh ALD
Trc Sau
c0
c1
c2
c3
c4
c5
c6
c7
c8
m
c2
c3
c4
c5
c6
c7
c8

Lnh OLD
Trc Sau
m = c0 c1 m = c0 c1
I0.1
Q1.
4
I0.2
I0.3
Hnh 4.9- Trng thi ngn xp trc v sau khi thc hin cc lnh bng trn
c0
c1
c2
c3
c4
c5
c6
c7
c8
Ngn xp
m =
I0.1
c0
c1
c2
c3
c4
c5
c6
c7
LD I0.1
I0.2
I0.1
c0
c1
c2
c3
c4
c5
c6
LD I0.2
I0.2I0.3
I0.1
c0
c1
c2
c3
c4
c5
c6
A I0.3
mI0.1
c0
c1
c2
c3
c4
c5
c6

OLD
m1=
Gio trnh in t iu khin logic
TRN KIM PHC
69
LPS, LRD, LPP
Cc lnh ny thc hin sao chp, thay i ni dung bit u tin hoc bit th
hai ca ngn xp v c m t nh sau:








V d: Cc lnh Logic stack dng LAD v STL:
LAD STL







LD
LD
LD
A
OLD
ALD
=
I0.0
I0.1
I0.2
I0.3


Q1.0














LD
LPS
LD
O
ALD
=
LRD
LD
O
ALD
=
LPP
LD
ON
ALD
=
I0.0

I0.1
Q2.0

Q2.0

I0.2
Q2.3

Q2.3

I0.3
I0.4

Q2.4

Hnh 4.10- Trng thi ngn xp trc v sau khi thc hin lnh cc lnh LPS, LRD, LPP
c0
c1
c2
c3
c4
c5
c6
c7
c8
c0
c0
c1
c2
c3
c4
c5
c6
c7
Lnh LPS
Trc Sau
c0
c1
c2
c3
c4
c5
c6
c7
c8
c1
c1
c2
c3
c4
c5
c6
c7
c8
Lnh LRD
Trc Sau
c0
c1
c2
c3
c4
c5
c6
c7
c8
c1
c2
c3
c4
c5
c6
c7
c8

Lnh LPP
Trc Sau
I0.1 Q1.
0
I0.2 I0.3
I0.0
I0.1 Q2.
Q2.0
I0.0
I0.2 Q2.
Q2.3
I0.3 Q2.
I0.4
Gio trnh in t iu khin logic
TRN KIM PHC
70
4.3.3.5- Cc lnh so snh v di chuyn ni dung nh:
ST
L
M t Ton hng
LDW>=
AW>=
OW>=
n1
n1
n1
n2
n2
n2
Lnh thc hin php tnh logic Load,
And hoc Or gia gi tr 1 vi ni
dung ca nh ngn xp khi ni dung
2 t n1, n2 tho mn n1 n2.
n1, n2 (t): VW, T,
C, IW, QW, MW,
SMW..
MOVW IN OU
T
Lnh sao chp ni dung t n IN
sang t n OUT.
IN, OUT (t n):
VW, T, C, IW, QW
4.3.3.6- Cc lnh lm vic vi Timer:
Timer l b to thi gian tr gia tn hiu vo v tn hiu ra nn trong iu
khin thng c gi l khu tr. Nu k hiu tn hiu (logic) vo l x(t) v thi
gian tr l th tn hiu u ra ca Timer l x(t-). Trong S7-200 c hai loi Timer
khc nhau:
- Timer to thi gian tr khng c nh (On-Delay Timer), k hiu l
TON.
- Timer to thi gian tr c nh (Retentive On-Delay Timer), k hiu l
TONR.
Hai loi Timer ny phn bit nhau bi phn ng ca chng i vi tn hiu
vo. C hai loi u bt u to thi gian tr t thi im c sn ln ca tn hiu
vo. Nhng TON s t Reset khi u vo c mc logic 0, cn TONR th khng t
Reset khi mt tn hiu vo. TON c dng to thi gian tr trong mt khong
thi gian, cn vi TONR thi gian tr c to ra trong nhiu khong khc nhau.
Trong phn ny ta ch nghin cu loi Timer TON.
Lnh phn gii Gi tr cc i CPU 212 CPU 214
1 ms 32,767 s T32 T32, T96
10 ms 327,67 s
T33 T36 T33 T36, T97 T100
TON
100 ms 3276,7 s
T37 T63 T37 T63, T101 T127
1 ms 32,767 s T0 T0, T64
10 ms 327,67 s
T1 T4 T1 T4, T65 T68
TONR
100 ms 3276,7 s
T5 T31 T5 T31, T69 T95

C php khai bo Timer trong LAD v STL nh sau:
Gio trnh in t iu khin logic
TRN KIM PHC
71
LAD STL M t Ton hng







TON Txx, +n
Khai bo Timer s hiu xx kiu
TON to thi gian tr tnh t
khi u vo IN c kch (c
mc 1). Nu nh gi tr m tc
thi ln hn hoc bng gi tr
t trc PT th T-bit c gi tr
logic bng 1. C th Reset Timer
kiu TON bng lnh R hoc
bng gi tr logic 0 u vo IN.
Txx(word):
CPU 212: 3263
CPU 214: 3263
v 96127
PT(word):
VW,T,C,IW,...
n = 132767
(s nguyn)
Thi gian tr T = PT phn gii, v d vi T33 c phn gii l 10 ms v
PT=100 th thi gian tr T = 10 100 = 1000 ms = 1 s.
Sau y l mt v d v s dng Timer kiu TON:
LAD STL





Network
1
LD
TON

Network
2
LD
=

I0.0
T33
,


T33
Q0.
0


+100

Gin thi gian tng ng:









Reset do mt tn hiu vo
I0.0
T33 (word)
T33 (bit)
v Q0.0
T T
Hnh 4.11
PT = 100
IN

PT
TON
Txx

T33 Q0.
0
I0.0
IN

PT
TON
T33

+100

Gio trnh in t iu khin logic
TRN KIM PHC
72

4.3.3.7- Cc lnh lm vic vi Counter:
Counter l b m thc hin chc nng m sn ln ca xung. S7-200 c hai
loi b m: b m tin (CTU) v b m tin/li (CTUD).
B m tin m s sn ln ca xung vo, tc l m s ln thay i trng
thi logic t 0 ln 1 ca tn hiu. S sn xung m c, c ghi vo thanh ghi 2
byte ca b m, gi l thanh ghi C-word.
Ni dung ca C-word, c gi l gi tr tc thi ca b m, lun c so
snh vi gi tr t trc ca b m k hiu l PV. Khi gi tr m tc thi bng
hoc ln hn gi tr t trc th b m bo ra ngoi bng cch t gi tr logic 1
vo bit c bit ca n, c gi l C-bit. Trng hp gi tr m cn nh hn gi tr
t trc th C-bit c gi tr logic 0.
Khc vi cc Timer, cc Counter u c chn ni vi tn hiu iu khin xo
thc hin t li ch khi pht ban u (reset) cho b m, c k hiu bng
ch ci R trong LAD, hay c qui nh l trng thi bit u tin ca ngn xp trong
STL. B m c reset khi tn hiu xo ny c mc 1 hoc khi lnh R (reset) c
thc hin vi C-bit. Khi b m reset th c C-word v C-bit u nhn gi tr 0.
B m tin/li CTUD thc hin m tin khi gp sn ln ca xung vo cng
m tin, k hiu l CU trong LAD hoc bit th 3 ngn xp trong STL, v m li
khi gp sn ln ca xung vo cng m li, k hiu l CD trong LAD hoc bit th
2 ngn xp trong STL.Vic xo b m CTUD cng c hai cch tng t nh b
m CTU.
C php khai bo Counter trong LAD v STL nh sau:

LAD STL M t Ton hng






CTU Cxx, +n
Khai bo b m tin theo sn
ln ca tn hiu vo cng CU s
hiu xx kiu CTU. Khi gi tr
m tc thi C-word ca Cxx
ln hn hoc bng gi tr t
trc PV, C-bit (Cxx) c gi tr
logic bng 1. B m c reset
khi u vo R c gi tr logic 1.
B m ngng m khi C-word
Cxx t gi tr cc i 32767
Cxx( word ):
CPU 212 : 047
CPU 214 : 047
v 80127
PV( word ):
VW,T,C,IW,...
n = 132767
(s nguyn)
CU

R

PV

CTU
Cxx
Gio trnh in t iu khin logic
TRN KIM PHC
73








CTUD Cxx,
+n
Khai bo b m tin/li, m
tin theo sn ln ca tn hiu
n CU v m li theo sn ln
ca tn hiu n CD. Khi gi tr
tc thi C-word ca Cxx ln hn
hoc bng gi tr t trc PV,
C-bit (Cxx) c gi tr logic bng
1. B m c reset khi u
vo R c gi tr logic 1. B m
ngng m tin khi C-word Cxx
t gi tr cc i 32767 v
ngng m li khi C-word Cxx
t gi tr cc tiu l -32767.
Cxx( word ):
CPU 212: 4863
CPU 214: 4879

PV( word ):
VW,T,C,IW,...
n = 132767
(s nguyn)
K hiu Cxx ca b m ng thi cng l a ch hnh thc ca C-word v
ca C-bit. Mc d cng a ch hnh thc, song C-word v C-bit vn c phn bit
vi nhau nh kiu lnh s dng lm vic vi kiu t hay kiu tip im (bit). V d:
LD C48 // Lnh lm vic vi C-bit ca b m C48.
LDW>= C48 // Lnh lm vic vi C-word ca b m C48.
Sau y l mt v d v vic s dng Counter loi CTUD trong LAD v trong
STL:

LAD STL










Network
1
LD
LD
LD
CTUD

Network
2
LD
=

I0.3
I0.2
I0.1
C48,


C48
Q1.0




+4

Gin thi gian tng ng:


CU

CD

R

PV
CTUD
Cxx
C48
Q1.0
I0.3
I0.2
I0.1
+4
CU

CD

R

PV
CTUD
C48
Gio trnh in t iu khin logic
TRN KIM PHC
74








Mt s bit nh c bit thng s dng khi lp cc chng trnh n gin
nh M t
SM0.0 Lun c gi tr logic bng 1
SM0.1 C gi tr logic bng 1 vng qut u tin
SM0.2 Bit bo d liu b tht lc (0-D liu cn ; 1-D liu b tht lc)
4.3.4- Son tho chng trnh
Chng trnh ca S7 - 200 c son tho trn my tnh lp trnh h PG7xx hoc
trn bt c mt my tnh c nhn no c chng trnh son tho STEP7 -
Micro/DOS
Cc im c bn c cp n trong phn ny bao gm:
- Khi to STEP7- Micro/DOS.
- Nhp v son tho mt chng trnh bng ngn ng hnh thang LAD.
- Nhp v son tho mt chng trnh bng ngn ng lp trnh STL.
- Cc v d ng dng.
4.3.4.1- Khi to STEP7 - Micro/DOS
a) Nhng yu cu i vi my tnh PC
Phn mm Simatic STEP7- Micro/DOS c th khi to trn my tnh c nhn
IBM-PC, nhng my ny phi c ti thiu mt CPU h Intel 80286 tr ln.
CU = I0.3
CD = I0.2
R = I0.1
C48 (word)
C48 (bit)
1 2 3 4 3 4 0 1
Hnh 4.12
Gio trnh in t iu khin logic
TRN KIM PHC
75
My tnh c nhn PC, mun ci t c phn mm Micro/DOS phi tho mn
nhng yn cu sau y:
- 640 Kbyte RAM(t nht phi c 500 Kbyte b nh cn trng).
- Mn hnh 24 dng, 80 ct ch vn bn.
- Cn khong 2Mbyte trng trong a cng.
- C h diu hnh MS-DOS ver 5.0 hoc cao hn.
- B chuyn di RS 232- RS485 phc v ghp ni truyn thng trc tip
gia my tnh v PLC(truyn thng online).
Truyn thng gia STEP7 - Micro/DOS vi S7 - 200 CPU qua cng truyn
thng pha y ca PLC. S dng cp c b chuyn i RS 232 - RS485, c gi
l cp PC/PPI, ni my tnh vi PLC to thnh mch truyn thng trc tip.
Cm mt u ca cp PC/PPI vi cng truyn thng 9 chn ca PLC, cn u
kia vi cng truyn thng ni tip RS-232C ca my PC. Nu my PC c cng
truyn thng ni tip RS-232C ca my PC. Nu my PC c cng truyn thng ni
tip rs-232 vi 25 chn, th phi ghp ni qua b chuyn i 25 chn/9 chn c
th ni vi cp truyn thng PC/PPI.
B phn mn STEP7-Micro/D bao gm cc thnh phn sau:
- Hai a khi to chng trnh 3.5.
- S hiu cho php s dng (license).
Trc khi khi to phn mm Micro/DOS vo my, phi kim tra b nh ca
PC. C th s dng lnh CHKDSK ca h iu hnh DOS kim tra chc chn l
trong b nh vn cn 500Kbyte sn sng trong RAM v 2 megabyte sn sng
trong a cng.
b) Khi to phn mm lp trnh STEP7-Micro/DOS
Sau khi kim tra b nh, a cng hon ton c kh nng khi to
phn mm Micro/DOS vo cng, th ln lt tin hnh cc bc:
1. Cho a Micro/DOS c nhn #1 vo a mm, v d l a A.
2. Chn a micro/DOS lm ch bng cch thc hin lnh DOS, v d:
A: (du ch phm Enter hoc phm Return).
3. Kiu c xc nh bng tn v du hai chm, v d A:
4. Thc hin lnh
Gio trnh in t iu khin logic
TRN KIM PHC
76
INTALL
5. Sau s nhn c dn dn tng bc cc ch dn thao tc tip theo trn
mn hnh v hon thnh cng vic khi to.
Trong tp README.TXT ca ch dn Step7-Micro/DOS c th c c
nhng iu gii thch thm ca phn mm.
Sau khi khi to xong, c th bt u son tho chng trnh nh phn mm
STEP7-Micro/DOS bng cch gi chng trnh nh sau:
S7200
Nu my tnh c ghp ni vi PLC qua cng truyn thng ni tip COM1,
hoc
S7200
Nu my tnh c ghp ni vi PLC qua cng truyn thng ni tip COM2.
Mn hnh s vo ch bt u. ch ny c th chn ch son tho
ONLINE hoc OFFLINE, chn ngn ng lp trnh LAD hoc STL son tho.
ch ny phn mm Micro/DOS cung cp cc lnh iu hnh bt u
son tho chng trnh. Cc lnh c in thnh th mc ti dng cui ca mn
hnh:
SETUP-F2 : Chn ngn ng cho thng bo, giao din phn mm (international
hoc Simatic) v t c php bin nh. Trong tt c v d trong bi ging ny, ngn
ng giao din c chn l international.
ONLINE-F4 : Truyn thng trc tip gia my tnh v PLC.
COLOR-F6 : Chn mu. ch ny c th chn mu cho mn hnh, mu
nn v mu ch.
PGMS-F7 : Chng trnh qun l tp. Vi th mc ring ca PGMS c th
chn hm qun l cn thit cho cc mc ch ring.
OFFLINE-F8 : Lp trnh h mch. Ch c s dng khi my tnh khng
c ni vi PLC.
4.3.4.2- Son tho chng trnh trong LAD
Sau khi chn F4 hoc F8, trn mn hnh s hin th mc iu hnh tip theo
ti dng cui mn hnh hoc ca STL hoc ca LAD. Th mc c du + ti cui
hng ch rng cha ht th mc v c th xem tip th mc bng cch n phm trng
Gio trnh in t iu khin logic
TRN KIM PHC
77
(Spacebar). Nu ang trong STL v trong phn th mc ang hin trn mn hnh
c LAD-F7 th n phm F7 vo LAD.
Cc phn t trong LAD c nh ngha khc nhau t loi ny sang loi khc,
v d nh cun dy, Timer, b m v.v.. Nu cng mt loi th chng c s th t
khc nhau.
Vo ch tr gip Help c th xem c cc lnh cn thit cho ch son
tho. C th cho hin ln mn hnh tt c cc hp lnh trong LAD.
Khi trn phn th mc ca mn hnh c lnh EDIT-F2 th n phm F2 vo
ch son tho trong LAD. Ti dng cui ca mn hnh s hin cc lnh dnh
ring cho vic son tho nh to mt tip im, mt hp...
Du + ti cui dng th mc cho bit vn cn cc lnh khc son tho. n
phm trng xem tip cc lnh ny nh: lnh k mt ng ngang t v tr con tr
ang ng sang phi (HORZ-F1), k mt ng dc t v tr con tr ang ng
xung di (VERT-F2), xo ng ngang (HORZD-F3) v xo ng dc
(VERTD-F4).
C th son tho n gin vi s tr gip ca mn hnh bng cch n phm
Shift ng thi vi phm ?. Mun chn phn t no th dch chuyn con tr n v tr
v n phm . S dng cc hm chn chc nng trn mn hnh c th c thm
nhng thng tin.
Thay v n phm ngay, c th n phm F4 c c gii thch cch dng
lnh ca LAD trn mn hnh.
Hai dng th mc hng dn son tho trong LAD c dng nh sau:
EXTI-F1
C th son tho trn mn hnh mt Network vi 32 tip im mc ni tip v 32
tip im mc song song cng vi 32 cng ra. Cc phm chn hm chc nng trong
bng sau cho php c th chuyn n cc v tr trong mn hnh. Nhng phm bm
chn hm chc nng ny cng c th tn ti cc mn hnh khc trong STEP7-
Micro/DOS, song n li mang ngha khc.
Phm Chc nng
HOME Chuyn con tr v pha tri ca tip im
END Chuyn con tr v v tr cun dy ra u tin trong
Network
Mi tn ln/xung
Chuyn con tr ln trn/xung di mt tip im
Gio trnh in t iu khin logic
TRN KIM PHC
78
Mi tn phi/tri
Chuyn con tr sang phi/tri ca mt tip im
PgUp/PgDn Chuyn v pha trn cng hoc di cng ca mn hnh
trong LAD
Ctrl +
Chuyn LAD sang tri theo s ca ct tc thi trn mn
hnh (trang phi)
Ctrl +
Chuyn LAD sang phi theo s ca ct tc thi trn mn
hnh (trang tri)
RETURN () Nu ang son tho mt phn t ca LAD, nhp phn
t vo Network; mt khc RETURN chuyn sang v
tr bn tri ca dng tip theo. Nu ang son tho
Network, RETURN hng cui cng ca network s
chuyn sang hng mi.
Ctrl + T Cht con tr v tr mn hnh on/off

Hng trn cng ca chng trnh son tho trong LAD ch th v tr ca con tr
theo hng v theo ct, a ch bt u ca network cng nh khi lng ca b nh
cn li.
S vng mt phn t ra (OUTPUT) trn mn hnh ch ra rng network vn tip
tc c ko di v pha sau bn phi ca mn hnh. Thiu vng mt a ch ca
network ni rng network vn tip tc ko di v pha sau bn tri mn hnh.
Cc trong hng trang thi pha cui cng bn phi ca mn hnh cung cp
cc thng tin sau:
- Kiu PLC
- Tn chng trnh
nhp mt phn t vo chng trnh cn tin hnh cc bc di y:
- t v tr ca con tr vo ni cn nhp phn t .
- Chn phm dnh cho phn t cn nhp v n phm , v d n phm F2
n nhp mt tip im thng m v phm F3 nhp mt tip im
thng ng vo network. Sau khi nhp xong tip im, con tr chuyn
n v tr nhn tn hoc kiu tip im.
- G tn hoc kiu phn t, hoc chn t bng lit k lnh trn mn hnh
sau n phm .
Gio trnh in t iu khin logic
TRN KIM PHC
79
4.3.4.3- Son tho chng trnh trong STL
C th to ra mt chng trnh bng cch s dng cc lnh trong STL, thay
cho dng LAD. STEP7-Micro/DOS cung cp ch son tho bng STL cho php
nhp cc lnh ca STL vo tp chng trnh.
Khi to mt chng trnh mi ( ch ONLINE hay ch OFFLINE) hoc
son tho mt chng trnh c np LAD, chng trnh c th c chuyn
sang dng tng ng trong STL bng cch n phm F7 khi trn dng th mc mn
hnh c hin STL-F7.
Hu ht cc hm chc nng STEP7-Micro/DOS u c th cp nht c khi
dng cc phm chn hm chc nng sau khi vo ch lm vic ONLINE hoc
OFFLINE. Mn hnh STL l ni cp nht n tt c mi chc nng lp trnh. Du
(+) pha cui cng v bn phi ca cc phm chn hm chc nng ch cho bit
rng vn cn nhiu phm hm chc nng na v c th cp nht nhng hm chc
nng ny bng cch n Spacebar (phm trng).
Khi trc tip truyn thng vi PLC (chn ch ONLINE), lc ch c
nhng phm chn hm chc nng cho ch ny c hin ln mn hnh.
vo ch son tho chng trnh t mn hnh trong STL t mn hnh ban
u vi dng th mc c EDIT-F2 th n phm F2.
C th hin trn mn hnh cng mt lc 19 hng d liu ca STL cng vi s
ca network.
Cc phm chn hm chc nng cho ch son tho bng STL
Phm M t
EXIT-F1 Kt thc chng trnh son tho v tr v ch mn hnh ban u
INSNW-F2 Nhp thm mt network mi pha trn network con tr ang nm

DELLN-F4 Xo mt hng (c mt network hoc mt hng lnh) ch con tr
ang nm
INSLN-F5 Nhp mt hng pha trn hng con tr ang nm
DILFLD-F6 Xo tt c nhng g nm trong con tr. Xo mi trng khng c
ngha l xo mt hng
UNDO-F8 Tr li hng gc c

Nu mun nhp mt lnh trong STL, hy tin hnh cc bc sau y:
- Chuyn con tr n v tr ngay sau ni cn nhp lnh.
Gio trnh in t iu khin logic
TRN KIM PHC
80
4.3.5- Mt s v d ng dng S7-200
4.3.5.1- Chng trnh iu khin n ng
Qui nh cc tip imiu khin n trc chnh:
- Xanh: Q0.0 vi thi gian 10 s
- Vng: Q0.1 vi thi gian 1 s
- : Q0.2 vi thi gian 7 s
Qui nh cc tip imiu khin n trc ph:
- Xanh: Q0.5 vi thi gian 7 s
- Vng: Q0.6 vi thi gian 1 s
- : Q0.7 vi thi gian 10 s
Yu cu ca bi ton l khng ch t ng h thng n mt ng t vi trc
chnh v ph: n xanh trc chnh v n trc ph cng sng trong 10 s, tip sau
n vng c hai trc ng cng sng trong 1 s, tip na n trc chnh v n
xanh trc ph cng sng trong 7 s v tip sau n vng c hai trc ng li cng
sng trong 1 s - kt thc 1 chu k v h thng t ng hot ng lp li. lp
chng trnh khng ch chng ta c nhiu cch khc nhau. Sau y chng ta s tin
hnh lp trnh theo mt cch:
S dng 3 Timer kiu TON l T37, T38, T39,T40 u c phn gii 100 ms
khng ch thi gian, chng trnh dng STL nh sau:
NETWORK1 NETWORK6
LDN I0.1 LD M0.0
LD I0.0 A T38
O M0.0 TON T39 , +70
ALD
= M0.0 NETWORK7
LD M0.0
NETWORK2 A T38
LD M0.0 AN T39
AN T40 AN Q0.0
TON T37 , +100 AN Q0.1
Hnh 4-13
Gio trnh in t iu khin logic
TRN KIM PHC
81
= Q0.2
NETWORK3 = Q0.5
LD M0.0
AN T37 NETWORK8
AN Q0.1 LD M0.0
AN Q0.2 A T39
= Q0.0 TON T40 , +10
= Q0.7

NETWORK4
LD M0.0
A T37
TON T38 , +10

NETWORK5
LD M0.0
LD T37
AN T38
LD T39
AN T40
OLD
ALD
AN Q0.0
AN Q0.2
= Q0.1
= Q0.6




Gio trnh in t iu khin logic
TRN KIM PHC
82
Chng trnh vit trong LAD










































I0.1 I0.0
M0.0
M0.0
M0.0
Q0.0
T37 Q0.1 Q0.2
Q0.7
IN

PT
TON
T38

+10

M0.0 T37
IN

PT
TON
T37

+100

M0.0 T40
IN

PT
TON
T39

+70

M0.0 T38
M0.0
Q0.2
T39 Q0.0 Q0.1
Q0.5
T38
IN

PT
TON
T40

+10

M0.0 T39
M0.0
Q0.1
T38 Q0.0 Q0.2
Q0.6
T37
T40 T39
Hnh 4.14- S LAD ca bi ton n ng
Gio trnh in t iu khin logic
TRN KIM PHC
83
4.3.5.2- Chng trnh iu khin my trn sn
Hnh 4.15 l s mt bnh trn to cc mu sn khc nhau. Trong s cho
thy c hai ng ng a hai loi s mu khc nhau lm c s cho vic to mu
sn mong mun.












khng ch cc qu trnh ta s dng hai cm bin bo mc trong bnh:
Cm bin mc cao (I0.4) v cm bin mc thp (I0.5). Thit b trn c iu khin
bi ng c trn (Q0.2). Hai bm dng bm hai loi sn mu khc nhau vo bnh
trn (Q0.0) v (Q0.1). iu khin m van (Q0.4). Bm dng tho sn phm ra
khi bnh (Q0.5).
Qu trnh lm vic ca thit b c th m t: Khi n nt khi ng (I0.0) th
h thng bt u lm vic vi cng vic u tin l bm hai loi sn vo bnh. Khi
sn c trong bnh th I0.5 c mc 0, nhng cha y bnh th I0.4 ang c mc 0.
Khi lng sn (t mc cao) th I0.4 c mc 1, cho tn hiu khi ng ng c
trn (Q0.2) v b Timer T37 dng khng ch thi gian trn. Khi t n thi
gian chnh nh ca T37 th ng c trn s c ct in v ng in m van
(Q0.4) v bm tho sn phm ra (Q0.5). Khi ton b sn thnh phm c ly ht
khi bnh th I0.5 c mc 1, cho tn hiu khi ng b m tin C30 v reset b
timer T37 v h thng li tip tc lp li qu trnh (chu k mi). Khi t s chu k
lm vic cn thit (t trc bng C30) th h thng t ng dng. Trong qu trnh
lm vic c th dng h thng nu cn nh nt n dng I0.1. xa gi tr b m
ta s dng nt n vi a ch l I0.7.
Bo mc
cao I0.4
Bm Q0.0 Bm Q0.1
My trn Q0.2

Bm Q0.5
Van Q0.4
Bo mc
thp I0.5
Hnh 4.15
Gio trnh in t iu khin logic
TRN KIM PHC
84
Chng trnh vit trong STL nh sau:
NETWORK 1 NETWORK 5
LDN I0.1 LD M0.0
LD I0.0 A I0.0
O M0.0 AN T37
ALD = Q0.2
= M0.0

NETWORK 2 NETWORK 6
LD M0.0 LD M0.0
AN I0.4 A T37
AN C30 AN I0.5
AN T37 = Q0.4
= Q0.0 = Q0.5
= Q0.1
NETWORK 7
NETWORK 3 LD M0.0
LD M0.0 A T37
A I0.4 LD I0.7
S M0.1, 1 CTU C30, +10

NETWORK 4 NETWORK 8
LD M0.0 LD T37
A M0.1 A I0.5
TON T37, +100 R M0.1, 1


Gio trnh in t iu khin logic
TRN KIM PHC
85
Chng trnh vit dng LAD nh sau :

































IN

PT
TON
T37

+100
I0.1 I0.0
M0.0
M0.0
M0.0
Q0.0
CU


R
PV
CTU
C30
M0.0 I0.4
I0.4 C30 T37
Q0.1
M0.1
S
1
M0.0 M0.1
M0.0
Q0.2
I0.4 T37
M0.0
Q0.4
T37 I0.5
Q0.5
M0.0 T37
I0.7
T37 I0.5
M0.1
R
1
+10
Hnh 4.16- S LAD ca bi ton trn sn
Gio trnh in t iu khin logic
TRN KIM PHC
86
Chng 5
Thit b iu khin logic kh trnh S7-300
Thit b iu khin logic kh trnh (Programmable Logic Control), vit tt
thnh PLC, l loi thit b cho php thc hin linh hot cc thut ton iu khin s
thng qua mt ngn ng lp trnh, thay cho vic phi th hin thut ton bng
mch s. Nh vy, vi mt s chng trnh iu khin trong thit b, PLC tr thnh
mt b iu khin s nh gn, d thay i thut ton v c bit d trao i thng
tin vi mi trng xung quanh (vi cc PLC khc hoc vi my tnh). Ton b
chng trnh iu khin c lu nh trong b nh ca PLC di dng cc khi
chng trnh (khi OB, FC hoc FB) v c thc hin lp theo chu k ca vng
qut (scan).
c th thc hin c
mt chng trnh iu khin,
tt nhin PLC phi c tnh nng
nh mt my tnh, ngha l phi
c mt b vi x l (CPU), mt
h iu hnh, b nh lu
chng trnh iu khin, d
liu v tt nhin l phi c cc
cng vo/ra giao tip c
vi cc i tng iu khin v
trao i thng tin vi mi
trng xung quanh [13]. Bn
cnh , nhm phc v bi ton
iu khin s, PLC cn cn
phi c thm cc khi chc
nng c bit khc nh b m
(Counter), b thi gian (Timer), v nhng khi hm chuyn dng (hnh 5.1).
5.1- Cc module ca PLC S7-300
Thng thng, tng tnh nng mm do trong ng dng thc t m
phn ln cc i tng iu khin c s tn hiu u vo, u ra cng nh chng
loi tn hiu vo/ra khc nhau m cc b iu khin PLC c thit k khng b
cng ha v cu hnh. Chng c chia nh thnh cc module c s dng nhiu
hay t ty theo tng bi ton, song ti thiu bao gi cng phi c mt module chnh
l module CPU. Cc module cn li l nhng module nhn/truyn tn hiu vi i

B nh chng trnh
Khi VXL
trung tm
+
H iu hnh
Timer
B m
vo/ra
Bit c
B m

Cng vo/ra
onboard

Cng ngt v
m tc cao

Qun l
ghp ni
Bus ca PLC
Hnh 5.1- Nguyn l chung v cu trc ca mt b
iu khin logic kh trnh (PLC)
Gio trnh in t iu khin logic
TRN KIM PHC
87
tng iu khin, cc module chc nng chuyn dng nh PID, iu khin ng
c chng c gi chung l cc module m rng. Tt c cc module c g trn
nhng thanh ray.
5.1.1- Module CPU
Tng t nh S7-200. Tuy nhin trong h PLC S7-300 c nhiu loi module
khc nhau v chng thng c t tn theo b vi x l c trong n nh module
CPU312, module CPU314, module CPU315.
Nhng module cng s dng mt loi vi x l nhng khc nhau v cng
vo/ra onboard cng nh cc khi hm c bit c tch hp sn trong th vin ca
h iu hnh phc v vic s dng cc cng vo/ra onboard ny s c phn bit
vi nhau trong tn gi bng cch thm cm ch ci IFM (Intergrated Function
Module).
Ngoi ra cn c cc loi module CPU c hai cng truyn thng, trong
cng truyn thng th 2 c chc nng chnh l phc v vic ni mng phn tn. Tt
nhin km theo cng truyn thng th 2 ny l nhng phn mm tin dng thch hp
c ci t sn trong h iu hnh. Cc loi module CPU c phn bit vi nhng
module CPU khc bng cch thm cm t DP (Distributed Port).
5.1.2- Module m rng
Cc module m rng c chia thnh 5 loi chnh:
PS (Power Supply): module ngun nui: c 3 loi 2A, 5A, 10A.
SM (Signal module): module m rng cng tn hiu vo/ra bao gm:
DI (Digital Input): module m rng cc cng vo s. S cc
cng vo s m rng c th l 8, 16 hoc 32 ty thuc vo
tng loi module.
DO (Digital Output): module m rng cc cng ra s. S cc
cng ra s m rng c th l 8, 16 hoc 32 ty thuc ra tng
loi module.
DI/DO (Digital Input/Digital Output): module m rng cc
cng vo/ra s. S cc cng vo/ra s m rng c th l 8
vo/8 ra, 16 vo/16 ra ty thuc ra tng loi module.
AI (Analog Input): module m rng cc cng vo tng t.
V bn cht chng chnh l nhng b chuyn i tng t s
12 bit (AD). Tc l mi tn hiu tng t c chuyn thnh
Gio trnh in t iu khin logic
TRN KIM PHC
88
1 tn hiu s (nguyn) c di 12 bit. S cc cng vo tng
t c th l 2, 4, hoc 8 ty tng loi module.
AO (Analog Output): module m rng cc cng ra tng t.
V bn cht chng chnh l nhng b chuyn i tng t s
12 bit (AD). Tc l mi tn hiu tng t c chuyn thnh
1 tn hiu s (nguyn) c di 12 bit. S cc cng ra tng
t c th l 2, 4 ty tng loi module.
AI/AO: module m rng cc cng vo/ra tng t. S cc
cng vo/ra tng t c th l 4 vo/2 ra hoc 4 vo/4 ra ty
tng loi module.
IM (Interface module): module ghp ni. y l loi module chuyn
dng c nhim v ni tng nhm cc module m rng li vi nhau
thnh mt khi v c qun l chung bi 1 module CPU. Thng
thng cc module m rng c g lin vi nhau trn 1 thanh .
Trn mi 1 thanh c th g c nhiu nht 8 module m rng
(khng k module CPU, module ngun nui). Mt module CPU S7-
300 c th lm vic trc tip vi nhiu nht 4 thanh v cc thanh
ny phi c ni vi nhau bng module ghp ni.
FM (Function module): module c chc nng iu khin ring. Nh
module iu khin ng c bc, module iu khin ng c servo,
module PID, module iu khin vng kn
CP (Communication module): module phc v truyn thng trong
mng gia cc PLC vi nhau hoc gia PLC vi my tnh.

5.2- Kiu d liu v phn chia b nh
5.2.1- Kiu d liu:
Mt chng trnh ng dng trong S7-300 c th s dng cc kiu d liu sau:
BOOL: vi dung lng mt bit c gi tr 0 hoc 1. y l kiu d liu
cho bin hai tr.
Byte: thng c dng biu din mt s nguyn dng trong
khong t 0255 hoc l m ASCII ca mt k t.
V d:
Gio trnh in t iu khin logic
TRN KIM PHC
89
L B#16#14 //Np s nguyn 14 vit theo h c s 16 di
1byte vo ACCUI
Word: gm 2 byte biu din mt s nguyn dng t 0 65535.
V d:
L 930
L W#16#3A2
INT: cng c dung lng l 2 byte dng biu din 1 s nguyn
trong khong -32768 32767.
V d:
L 930
L W#16#3A2
DINT: gm 4 byte, dng biu din s nguyn t -2147483648
2147483647
V d:
L L#930
L DW#16#3A2
REAL: gm 4 byte, dng biu din mt s thc du phy ng.
V d:
L 1.234567e+13
L 930.0
S5T (hay S5TIME): khong thi gian, c tnh theo gi/pht/giy/mili
giy.
V d:
L TOD#5:45:00. //L lnh khai bo gi tr thi gian
trong ngy
l 5 gi 45 pht
DATE: biu din gi tr thi gian tnh theo nm/thng/ngy
L DATE#2005-07-27. //L lnh khai bo ngy 27 thng 07
nm 2005
CHAR: biu din mt hoc nhiu k t (nhiu nht l 4 k t)
Gio trnh in t iu khin logic
TRN KIM PHC
90
V d:
L ABCD
L E
5.2.2- Cu trc b nh ca CPU
B nh ca S7-300 c chia thnh 3 vng chnh:
Vng chng trnh ng dng
Vng nh chng trnh c chia thnh 3 min:
OB (Organisation block): min cha chng trnh t chc.
FC (Function): min cha chng trnh con c t chc thnh hm
c bin hnh thc trao i d liu vi chng trnh gi n.
FB (Function block): min cha chng trnh con, c t chc thnh
hm v c kh nng trao i d liu vi bt c mt khi chng trnh
no khc. Cc d liu ny phi c xy dng thnh mt khi d liu
ring (DB - data block).
Vng cha tham s ca h iu hnh v chng trnh ng dng: c chia thnh
7 min khc nhau:
I (Process image input): min b m cc d liu ca cng vo s.
Q (Process image output): min b m cc d liu ca cng ra s.
M: min cc bin c.
T: min nh phc v cc b thi gian.
C: min nh phc v b m.
PI: min a ch cng vo ca cc module tng t.
PQ: min a ch cng ra ca cc module tng t.
Vng cha cc khi d liu: c chia thnh 2 loi:
DB (Data block): min cha cc d liu c t chc thnh khi. Kch
thc cng nh s lng khi do ngi s dng quy nh ph hp vi
tng bi ton iu khin.
Gio trnh in t iu khin logic
TRN KIM PHC
91
L (Local data block): min d liu a phng, c cc khi chng
trnh OB, FC, FB t chc v s dng cho cc bin nhp tc thi v trao
i d liu ca bin hnh thc vi nhng khi chng trnh gi n.
5.3- Vng qut chng trnh.
Tng t nh PLC S7-200.
Nu s dng cc khi chng
trnh c bit c ch ngt, v d
nh khi OB40, OB80,, chng
trnh ca cc khi s c thc
hin trong vng qut khi xut hin tn
hiu bo ngt cng chng loi. Cc
khi chng trnh ny c th c
thc hin ti mi im trong vng
qut ch khng b g p l phi
trong giai on thc hin chng
trnh. Chng hn nu mt tn hiu bo ngt xut hin khi PLC ang giai on
truyn thng v kim tra ni b, PLC s tm dng cng vic truyn thng, kim tra,
thc hin khi chng trnh tng ng vi tn hiu bo ngt . Vi hnh thc x
l tn hiu ngt nh vy, thi gian vng qut s cng ln khi cng c nhiu tn hiu
ngt xut hin trong vng qut. Do , nng cao tnh thi gian cho chng trnh
iu khin, tuyt i khng nn vit chng trnh x l ngt qu di hoc qu lm
dng vic s dng ch ngt trong chng trnh iu khin.
Ti thi im thc hin lnh vo/ra, thng thng lnh khng lm vic trc
tip vi cng vo/ra m ch thng qua b m o ca cng trong vng nh tham s.
Vic truyn thng gia b m o vi ngoi vi trong cc giai on 1 v 3 do h iu
hnh CPU qun l. mt s module CPU, khi gp lnh vo/ra ngay lp tc, h
thng s cho dng mi cng vic khc, ngay c chng trnh x l ngt, thc
hin lnh trc tip vi cng vo/ra.
5.3.1- Cu trc chng trnh
Chng trnh cho S7-300 c lu trong b nh ca PLC vng dnh ring
cho chng trnh v c th c lp vi 2 dng cu trc khc nhau:
5.3.1.1- Lp trnh tuyn tnh
Ton b chng trnh iu khin nm trong mt khi trong b nh. Loi in
hnh cu trc tuyn tnh ny ph hp vi nhng bi ton ph hp nh, khng phc
tp. Khi c chn phi l khi OB1, l khi m PLC lun qut v thc hin cc
Truyn thng v
kim tra ni b
Chuyn d liu
t Q ti cng ra
Chuyn d liu
t cng vo ti I
Thc hin
chng trnh
Vng qut
Hnh 5.2- Vng qut chng trnh
Gio trnh in t iu khin logic
TRN KIM PHC
92
lnh trong n thng xuyn, t lnh u tin
n lnh cui cng v quay li lnh u tin
(hnh 1.9).
5.3.1.2- Lp trnh c cu trc:
Chng trnh (CT) c chia thnh nhng
phn nh vi tng nhim v ring v cc phn
ny nm trong nhng khi chng trnh khc
nhau. Loi cu trc ny ph hp vi nhng bi
ton iu khin nhiu nhim v v phc tp. PLC S7-300 c 4 loi khi c bn:
Loi khi OB (Organization block): khi t chc qun l CT iu khin.
Loi khi FC (Program block): khi chng trnh vi nhng chc nng
ring ging nh mt chng trnh con hoc mt hm (CT con c bin hnh thc).
Loi khi FB (Function block): l loi khi FC c bit c kh nng trao
i mt lng d liu ln vi cc khi chng trnh khc.
Loi khi DB (Data block): khi cha cc d liu cn thit thc hin
CT.
Chng trnh trong cc khi c lin kt vi nhau bng cc lnh gi khi,
chuyn khi. Xem nhng phn chng trnh trong cc khi nh l cc chng trnh
con th S7-300 cho php gi chng trnh con lng nhau, tc l t chng trnh con
ny gi chng trnh con khc v t chng trnh con c gi li gi ti mt
chng trnh con th ba S cc lnh gi lng nhau ph thuc vo tng chng loi
module CPU m ta s dng. V d nh i vi module CPU 314 th s lnh gi lng
nhau nhiu nht c th cho php l 8. Nu s ln gi khi lng nhau m vt qu
con s gii hn cho php, PLC s t chuyn sang ch stop v t c bo li.







Lnh 1
Lnh 2
Lnh 3

.

Lnh cui cng
Vng qut
OB1
Hnh 5.3

H
iu
hnh


OB1
FC1
FB5
FB2
FC3
FC7
FB9

.

.
.
S cc lnh gi lng nhau nhiu nht cho php ph thuc vo tng
loi module CPU
Hnh 5.4
Gio trnh in t iu khin logic
TRN KIM PHC
93
Khi OB1 lun c PLC qut v thc hin cc lnh t lnh u tin n
lnh cui cng v quay li lnh u tin.
5.4- Nhng khi OB c bit
Trong khi khi OB1 c thc hin u n tng vng qut trong giai on
thc hin chng trnh (giai on 2) th cc khi OB khc ch thc hin khi xut
hin tn hiu bo ngt tng ng, ni cch khc chng trnh vit cho cc khi OB
ny chnh l chng trnh x l tn hiu ngt (event). Chng bao gm:
1. OB10 (Time of Day Interrupt): chng trnh trong khi OB10 s c thc
hin khi gi tr ca ng h thi gian thc nm trong mt khong thi gian
c quy nh. OB10 c th c gi mt ln, nhiu ln cch u nhau
tng pht, tng gi, tng ngy, Vic quy nh khong thi gian hay s ln
gi OB10 c thc hin nh chng trnh h thng SFC28 hoc trong bng
tham s ca module CPU nh phn mm STEP 7.
2. OB20 (Time Delay Interrupt): chng trnh trong khi OB20 s c thc
hin sau mt khong thi gian tr t trc k t khi gi chng trnh h
thng SFC32 t thi gian tr.
3. OB35 (Cyclic Interrupt): chng trnh trong OB35 s c thc hin cch
u nhau mt khong thi gian c nh. Mc nh, khong thi gian ny s l
100ms, song ta c th thay i n trong bng tham s ca module CPU nh
phn mm STEP 7.
4. OB40 (Hardware Interrupt): chng trnh trong OB40 s c xut hin mt
tn hiu bo ngt t ngoi vi a vo module CPU thng qua cc cng vo/ra
s onboard c bit, hoc thng qua cc module SM, CP, FM.
5. OB80 (Cyle Time Fault): chng trnh trong khi OB80 s c thc hin
khi thi gian qut (scan time) vt qu khong thi gian cc i quy nh
hoc khi c mt tn hiu ngt gi mt khi OB no m khi ny cha kt
thc ln gi trc. Mc nh, scan time cc i l 150ms, nhng c th thay
i n thng qua bng tham s ca module CPU nh phn mm STEP7.
6. OB81 (Power Supply Fault): module CPU s gi chng trnh trong khi
OB81 khi pht hin thy c li v ngun nui.
7. OB82 (Diagnostic Interrupt): chng trnh trong OB82 c gi khi CPU
pht hin c s c t cc module vo/ra m rng. Cc module m rng ny
phi l nhng module c kh nng t kim tra mnh (diagnostic cabilities).
Gio trnh in t iu khin logic
TRN KIM PHC
94
8. OB85 (Not Load Fault): CPU s gi khi OB85 khi pht hin thy chng
trnh ng dng c s dng ch ngt nhng chng trnh x l tn hiu ngt
li khng c trong khi OB tng ng.
9. OB87 (Communication Fault): khi OB87 s c gi khi CPU pht hin
thy li trong truyn thng, v d nh khng c tn hiu tr li t i tc.
10. OB100 (Start Up Information): khi OB100 s c thc hin mt ln khi
CPU chuyn trng thi t STOP (dng) sang RUN (chy).
11. OB101 (ch c vi S7-400): khi OB101 s c thc hin mt ln khi cng
tc ngun ca CPU chuyn trng thi t OFF sang ON.
12. OB121 (Synchronous error): khi OB121 s c thc hin khi CPU pht
hin thy li logic trong chng trnh nh i sai kiu d liu hoc li truy
cp khi DB, FC, FB khng c trong b nh ca CPU.
13. OB122 (Synchronous error): khi OB122 s c thc hin khi CPU pht
hin thy li truy cp module trong chng trnh.
5.5- Ngn ng lp trnh ca S7-300
Cc loi PLC ni chung thng c nhiu ngn ng lp trnh nhm phc v cc
i tng s dng khc nhau. PLC S7-300 c ba ngn ng lp trnh c bn. l:
- Ngn ng lit k lnh, k hiu l STL (Statement list). y l dng ngn
ng lp trnh thng thng ca my tnh. Mt chng trnh c ghp bi nhiu cu
lnh theo mt thut ton nht nh, mi lnh chim mt hng v u c cu trc
chung tn lnh + ton hng.
- Ngn ng hnh thang, k hiu l LAD (Ladder logic). y l dng ngn
ng ha thch hp vi nhng ngi quen thit k mch iu khin logic.
- Ngn ng hnh khi, k hiu l FBD (Function block diagram). y cng
l ngn ng ha dnh cho ngi c thi quen thit k mch iu khin s.
Mt chng trnh vit trn LAD hoc FBD c th chuyn sang c dng STL,
nhng ngc li th khng. Trong STL c nhiu lnh khng c trong LAD hay FBD
(hnh 2.1). Cng chnh v l do , trong ti liu ny chng ti chn STL lm ngn
ng chnh lp trnh minh ha.
Gio trnh in t iu khin logic
TRN KIM PHC
95
5.5.1- Cu trc lnh v trng thi kt qu
5.5.1.1- Ton hng l a ch
a ch nh trong S7-300 gm hai phn: phn ch v phn s.
V d:
PIW304 hoc M300.4
1) Phn ch ch v tr v kch thc ca nh. Chng c th l:
- M: ch nh trong min cc bin c c kch thc l 1 bit.
- MB: ch nh trong min cc bin c c kch thc l 1 byte (8 bit).
- MW: ch nh trong min cc bin c c kch thc l 2 byte (16 bits).
- M: ch nh trong min cc bin c c kch thc l 4byte (32 bits).
- I: ch nh c kch thc l 1 bit trong min b m cng vo s.
- IB: ch nh c kch thc l 1 byte trong min b m cng vo s.
- IW: ch nh c kch thc l 1 t trong min b m cng vo s.
- ID: ch nh c kch thc l 2 t trong min b m cng vo s.
- Q: ch nh c kch thc l 1 bit trong min b m cng ra s.
- QB: ch nh c kch thc l 1 byte trong min b m cng ra s.
- QW: ch nh c kch thc l 1 t trong min b m cng ra s.
- QD: ch nh c kch thc l 2 t trong min b m cng ra s.
- T: ch nh trong min nh ca b thi gian (Timer). Mc d cng tn song
n c th l a ch ca bit u ra b timer hay a ch ca thanh ghi m tc
thi CV. Ty vo lnh m a ch ny c hiu l a ch ca bit u ra hay
ca thanh ghi CV. V d:
A T1 //T1 l a ch u ra (bit) ca b m
Ladder Diagram LAD
I 0.0 I 0.1 Q 4.1
I 0.0 I 0.1
Statement List STL

A I 0.0
A I 0.1
O
A I 0.2
A I0.3
= Q4.1
&
I 0.0
I 0.1
&
I 0.2
I 0.3
1
Q 4.1
Function Block Diagram
FBD
Hnh 5.5- Ba kiu ngn ng lp trnh chnh cho S7-300
Gio trnh in t iu khin logic
TRN KIM PHC
96
L T1 //T1 l a ch ca thanh ghi 16 bits CV.
- C : ch nh trong min nh ca b m (Counter). Mc d cng tn song n
c th l a ch ca bit u ra b m hay a ch ca thanh ghi m tc thi
CV. Ty vo lnh m a ch ny c hiu l a ch ca bit u ra hay ca
thanh ghi CV. V d:
A C1 //C1 l a ch u ra (bit) ca b m
L C1 //C1 l a ch ca thanh ghi 16 bits CV.
5.5.1.2- Thanh ghi trng thi
Khi thc hin lnh, CPU s ghi nhn li trng thi ca php tnh trung gian
cng nh ca kt qu vo mt thanh ghi c bit 16 bits, c gi l thanh ghi trng
thi (Status word). Mc d thanh ghi trng thi ny c di 16 bits nhng ch s
dng 9 bits vi cu trc nh sau:
8 7 6 5 4 3 2 1 0
BR CC1 CC0 OV OS OR STA RLO FC
- FC (First check): Khi phi thc hin mt dy cc lnh logic lin tip nhau
gm cc php tnh , v nghch o, bit FC c gi tr bng 1. Ni cch
khc, FC = 0 khi dy lnh logic tip im va kt thc.
- RLO (Result of logic operation): Kt qu tc thi ca php tnh logic va
c thc hin. V d lnh:
A I0.3
a) nu trc khi thc hin lnh bit FC = 0 th c tc dng chuyn ni dung
ca cng vo s I 0.3 vo bit trng thi RLO.
b) nu trc khi thc hin bit FC = 1 th c tc dng thc hin php tnh
gia RLO v gi tr logic cng vo I 0.3. Kt qu ca php tnh c ghi li
vo bit trng thi RLO.
- STA (Status bit): Bit trng thi ny lun c gi tr logic ca tip im c
ch nh trong lnh. V d c hai lnh:
A I0.3
AN I0.3
u gn cho bit STA cng mt gi tr l ni dung ca cng vo s I 0.3.
- OR: Ghi li gi tr ca php tnh logic cui cng c thc hin ph
gip cho vic thc hin php ton sau . iu ny l cn thit v trong mt
Gio trnh in t iu khin logic
TRN KIM PHC
97
biu thc hm gi tr, php tnh bao gi cng phi c thc hin trc cc
php tnh .
- OS (Stored overflow bit): Ghi li gi tr bit b trn ra ngoi mng nh.
- OV (Overflow bit): Bit bo kt qu php tnh b trn ra ngoi mng nh.
- CC0 v CC1 (Condition code): Hai bit bo trng thi ca kt qu php tnh
vi s nguyn, s thc, php dch chuyn hoc php tnh logic trong ACCU
(s gii thiu sau). C th l:
a) Khi thc hin lnh ton hc nh cng, tr, nhn, chia vi s nguyn hoc s
thc.
CC1 CCO
ngha
0 0 Kt qu bng 0 (=0).
0 1 Kt qu nh hn 0 (<0).
1 0 Kt qu ln hn 0 (>0).
b) Khi thc hin lnh ton hc vi s nguyn nhng kt qu b trn nh.
CC1 CC0
ngha
0 0 Kt qu qu nh khi thc hin lnh cng (+I, +D)
0 1 Kt qu qu nh khi thc hin lnh nhn (*I, *D) hoc qu
ln khi thc hin lnh cng, tr (+I, +D, -I, -D)
1 0 Kt qu qu ln khi thc hin lnh nhn, chia (*I, *D, /I, /D)
hoc qu nh khi thc hin lnh cng, tr (+I, +D, -I, -D)
1 1 Kt qu b trn do thc hin lnh chia cho 0 (/I, /D).
c) Khi thc hin lnh ton hc vi s thc nhng kt qu b trn nh
CC1 CCO
ngha
0 0 Kt qu c s m e qu ln
0 1 Kt qu c mantissa qu nh
1 0 Kt qu c mantissa qu ln
1 1 Php tnh sai quy chun
d) Khi thc hin lnh dch chuyn
CC1 CCO
ngha
0 0 Gi tr ca bit b y ra bng 0
1 0 Gi tr ca bit b y ra bng 1.
e) Khi thc hin lnh logic trong ACCU.
CC1 CCO
ngha
Gio trnh in t iu khin logic
TRN KIM PHC
98
0 0 Kt qu bng 0 (=0)
1 0
Kt qu khc 0 (0)

- BR (Binary result bit): Bit trng thi cho php lin kt hai loi ngn ng lp
trnh STL v LAD. Chng hn cho php ngi s dng c th vit mt khi
chng trnh FB hoc FC trn ngn ng STL nhng gi v s dng chng
trong mt chng trnh khc vit trn LAD. to ra c mi lin kt ,
ta cn phi kt thc chng trnh trong FB, FC bng lnh ghi.
a) 1 vo BR, nu chng trnh chy khng c li
b) 0 vo BR, nu chng trnh chy c li.
Khi s dng cc khi hm c bit ca h thng (SFC hoc SFB), trng thi lm
vic ca chng trnh cng c thng bo ra ngoi qua bit trng thi BR nh
sau:
a) 1, nu SFC hay SFB thc hin khng c li
b) 0, nu c li khi thc hin SFC hay SFB.
Ch : Mt chng trnh vit trn STL (ty thuc vo tng ngi lp trnh) c th
gm nhiu Network. Mi mt Network cha mt on chng trnh phc v mt
cng on c th. mi u Network, thanh ghi trng thi nhn gi tr 0. Ch sau
lnh u tin ca Network, cc bit trng thi mi thay i theo kt qu php tnh.
5.5.2- Cc lnh c bn
(Nhm lnh logic tip im)
1) Lnh gn
C php = <Ton hng>
Ton hng l a ch bit I, Q, M, L, D.
Lnh gn gi tr logic ca RLO ti nh c a ch c ch th trong ton
hng.
Lnh tc ng vo thanh ghi trng thi (Status word) nh sau (k hiu - ch
ni dung bit khng b thay i, x l b thay i theo lnh):
BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 x - 1
2) Lnh thc hin php tnh
Gio trnh in t iu khin logic
TRN KIM PHC
99
C php A <ton hng>
Ton hng l d liu kiu BOOL hoc a ch bit I, Q, M, L, D, T, C.
Nu FC = 0 lnh s gn gi tr logic ca ton hng vo RLO. Ngc li khi FC
= 1 n s thc hin php tnh gia RLO vi ton hng v ghi kt qu vo RLO.
Lnh tc ng vo thanh ghi trng thi nh sau (k hiu - ch ni dung bit
khng b thay i, x l b thay i theo lnh):
BR CC1 CC0 OV OS OR STA RLO FC
- - - - - x x x 1
3) Lnh thc hin php tnh vi gi tr nghch o
C php AN <ton hng>
Ton hng l d liu kiu BOOL hoc a ch bit I, Q, M, L, D, T, C.
Nu FC = 0 lnh s gn gi tr logic nghch o ca ton hng vo RLO.
Ngc li khi FC = 1 n s thc hin php tnh gia RLO vi gi tr nghch o
ca ton hng v ghi kt qu vo RLO. Lnh tc ng vo thanh ghi trng thi nh
sau
BR CC1 CC0 OV OS OR STA RLO FC
- - - - - x x x 1
4) Lnh thc hin php tnh
C php O <ton hng>
Ton hng l d liu kiu BOOL hoc a ch bit I, Q, M, L, D, T, C.
Nu FC = 0 lnh s gn gi tr logic ca ton hng vo RLO. Ngc li khi FC
= 1 n s thc hin php tnh gia RLO vi ton hng v ghi kt qu vo RLO.
Lnh tc ng vo thanh ghi trng thi nh sau:
BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 x x 1
5) Lnh thc hin php tnh vi gi tr nghch o
C php ON <ton hng>
Ton hng l d liu kiu BOOL hoc a ch bit I, Q, M, L, D, T, C.
Nu FC = 0 lnh s gn gi tr logic nghch o ca ton hng vo RLO.
Ngc li khi FC = 1 n s thc hin php tnh gia RLO vi gi tr nghch o
Gio trnh in t iu khin logic
TRN KIM PHC
100
ca ton hng v ghi kt qu vo RLO. Lnh tc ng vo thanh ghi trng thi nh
sau
BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 x x 1
6) Lnh thc hin php tnh vi gi tr mt biu thc
C php A(
Lnh khng c ton hng.
Nu FC = 0 lnh s gn gi tr logic ca biu thc trong du ngoc sau n vo
RLO. Ngc li khi FC = 1 n s thc hin php tnh gia RLO vi gi tr logic
ca biu thc trong du ngoc sau n v ghi kt qu vo RLO.
Lnh tc ng vo thanh ghi trng thi nh sau (k hiu - ch ni dung bit
khng b thay i, x l b thay i theo lnh):
BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 1 - 0
7) Lnh thc hin php tnh vi gi tr nghch o ca mt biu thc
C php AN(
Lnh khng c ton hng.
Nu FC = 0 lnh s gn gi tr logic ca biu thc trong du ngoc sau n vo
RLO. Ngc li khi FC = 1 n s thc hin php tnh gia RLO vi gi tr nghch
o logic ca biu thc trong du ngoc sau n v ghi kt qu vo RLO.
Lnh tc ng vo thanh ghi trng thi nh sau:
BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 1 - 0
8) Lnh thc hin php tnh vi gi tr mt biu thc
C php O(
Lnh khng c ton hng.
Nu FC = 0 lnh s gn gi tr logic ca biu thc trong du ngoc sau n vo
RLO. Ngc li khi FC = 1 n s thc hin php tnh gia RLO vi gi tr logic
ca biu thc trong du ngoc sau n v ghi kt qu vo RLO.
Gio trnh in t iu khin logic
TRN KIM PHC
101
Lnh tc ng vo thanh ghi trng thi nh sau (k hiu - ch ni dung bit
khng b thay i, x l b thay i theo lnh):
BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 1 - 0
2) Lnh thc hin php tnh vi gi tr nghch o ca mt biu thc
C php ON(
Lnh khng c ton hng.
Nu FC = 0 lnh s gn gi tr logic ca biu thc trong du ngoc sau n vo
RLO. Ngc li khi FC = 1 n s thc hin php tnh gia RLO vi gi tr nghch
o logic ca biu thc trong du ngoc sau n v ghi kt qu vo RLO.
Lnh tc ng vo thanh ghi trng thi nh sau:
BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 1 - 0
3) Lnh thc hin php tnh exclusive or
C php X <ton hng>
Ton hng l d liu kiu BOOL hoc a ch bit I, Q, M, L, D, T, C.
Nu FC = 0, lnh ghi gi tr logic ca ton hng vo RLO. Nu FC = 1, s
kim tra xem ni dung ca RLO v gi tr logic ca ton hng c khc nhau khng.
Trong trng hp khc nhau th ghi 1vo RLO, ngc li th ghi 0. Ni cch khc,
lnh s o ni dung ca RLO nu ton hng c gi tr 1.
Lnh tc ng vo thanh ghi trng thi nh sau:
BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 x x 1
4) Lnh thc hin php tnh exclusive or not
C php XN <ton hng>
Ton hng l d liu kiu BOOL hoc a ch bit I, Q, M, L, D, T, C.
Nu FC = 0, lnh ghi gi tr nghch o ca ton hng vo RLO. Nu FC = 1,
s kim tra xem ni dung ca RLO v gi tr logic ca ton hng c ging nhau
khng. Trong trng hp ging nhau th ghi 1vo RLO, ngc li th ghi 0. Ni
cch khc, lnh s o ni dung ca RLO nu ton hng c gi tr 0.
Lnh tc ng vo thanh ghi trng thi nh sau
Gio trnh in t iu khin logic
TRN KIM PHC
102
BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 x x 1
5) Lnh thc hin php tnh exclusive or vi gi tr ca mt biu thc
C php X(
Lnh khng c ton hng
Nu FC = 0, lnh s ghi gi tr logic ca biu thc trong du ngoc sau n vo
RLO. Nu FC = 1, lnh s o ni dung ca RLO khi biu thc trong du ngoc sau
n c gi tr 1.
Lnh tc ng vo thanh ghi trng thi nh sau:
BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 1 - 1
6) Lnh thc hin php tnh exclusive or vi gi tr ca mt biu thc
C php XN(
Lnh khng c ton hng
Nu FC = 0, lnh s ghi gi tr logic nghch o ca biu thc trong du ngoc
sau n vo RLO. Nu FC = 1, lnh s o ni dung ca RLO khi biu thc trong
du ngoc sau n c gi tr 0.
Lnh tc ng vo thanh ghi trng thi nh sau:
BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 x x 1
7) Lnh ghi gi tr logic 1 vo RLO
C php SET
Lnh khng c ton hng v c tc dng ghi 1 vo RLO
Lnh tc ng vo thanh ghi trng thi nh sau:
BR CC1 CC0 OV OS OR STA RLO FC
- - - - - - x x 1
8) Lnh ghi gi tr logic 0 vo RLO
C php CLR
Lnh khng c ton hng v c tc dng ghi 0 vo RLO
Lnh tc ng vo thanh ghi trng thi nh sau:
Gio trnh in t iu khin logic
TRN KIM PHC
103
BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 0 0 0
9) Lnh o gi tr ca RLO
C php NOT
Lnh khng c ton hng v c tc dng o ni dung ca RLO
Lnh tc ng vo thanh ghi trng thi nh sau:
BR CC1 CC0 OV OS OR STA RLO FC
- - - - - - 1 x -
10) Lnh gn c iu kin gi tr logic 1 vo nh
C php S <ton hng>
Ton hng l a ch bit I, Q, M, L, D.
Nu RLO = 1, lnh s ghi gi tr 1 vo nh c a ch cho trong ton hng.
Lnh tc ng vo thanh ghi trng thi nh sau:
BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 x - 0
11) Lnh gn c iu kin gi tr logic 0 vo nh
C php R <ton hng>
Ton hng l a ch bit I, Q, M, L, D.
Nu RLO = 1, lnh s ghi gi tr 0 vo nh c a ch cho trong ton hng.
Lnh tc ng vo thanh ghi trng thi nh sau:
BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 x - 0
12) Lnh pht hin sn xung
C php FN <ton hng>
Ton hng l a ch bit I, Q, M, L, D v c s dng nh mt bin c ghi
nhn li gi tr ca RLO ti v tr ny trong chng trnh, nhng ca vng qut
trc.
Ti mi vng qut lnh s kim tra: nu bin c (ton hng) c gi tr 1 v
RLO c gi tr 0 th s ghi 1 vo RLO, cc trng hp khc th ghi 0, ng thi
chuyn ni dung ca RLO vo li bin c. Nh vy RLO s c gi tr 1 trong mt
vng qut khi c sn xung trong RLO.
Gio trnh in t iu khin logic
TRN KIM PHC
104

Lnh tc ng vo thanh ghi trng thi nh sau:
BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 x x 1

13) Lnh chuyn gi tr ca RLO vo BR
C php SAVE
Lnh chuyn ni dung ca RLO vo bit trng thi BR. Lnh khng lm thay
i ni dung cc bits cn li ca thanh ghi trng thi.
5.5.3- Cc lnh iu khin chng trnh
5.5.3.1- Nhm lnh kt thc chng trnh
S7-300 c hai lnh kt thc chng trnh l BEC v BEU.
1) Lnh kt thc v iu kin
C php BEU
Lnh khng c ton hng v thc hin vic kt thc chng trnh trong khi
mt cch v iu kin. Lnh thay i ni dung thanh ghi trng thi nh sau:
BR CC1 CC0 OV OS OR STA RLO FC
- - - - 0 0 1 - 0
2) Lnh kt thc c iu kin
C php BEC
Lnh khng c ton hng v thc hin vic kt thc chng trnh trong khi
nu nh RLO c gi tr 1.
Lnh thay i ni dung thanh ghi trng thi nh sau:
BR CC1 CC0 OV OS OR STA RLO FC
- - - - x 0 1 1 0
5.5.3.2- Nhm lnh r nhnh theo bit trng thi
Lnh r nhnh theo bit trng thi l loi lnh thc hin bc nhy nhm b qua
mt on chng trnh ti on chng trnh khc c nh du bng nhn
nu iu kin kim tra trong thanh ghi trng thi c tha mn. Ni lnh nhy ti
Gio trnh in t iu khin logic
TRN KIM PHC
105
phi thuc cng mt khi chng trnh vi lnh. Khng th nhy ti khi chng
trnh ny sang mt khi chng trnh khc, v d khng th nhy t FC1 sang FC10.
Nhn l mt dy vi nhiu nht 4 k t hoc s v phi c bt u bng mt
k t. Khong cch bc nhy tnh theo nh cha chng trnh, phi t hn 32767
t. Ni nhy n c th nm trc hoc nm sau lnh nhy.
1) R nhnh khi BR = 1
C php JBI <nhn >
Lnh thay i thanh ghi trng thi nh sau:
BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 1 - 0
2) R nhnh khi BR = 0
C php JNBI <nhn >
Lnh thay i thanh ghi trng thi ging nh lnh JBI:
3) R nhnh khi RLO = 1
C php JC <nhn >
Lnh thay i thanh ghi trng thi nh sau:
BR
CC1
CC0 OV OS OR STA RLO FC
-
-
- - - 0 1 1 0
4) R nhnh khi RLO = 0
C php JCN <nhn >
Lnh thay i thanh ghi trng thi ging nh lnh JC:
5) R nhnh khi CC1 = 0 v CC0 = 1
C php JM <nhn >
Lnh nhy ny khng lm thay i ni dung thanh ghi trng thi. N c s
dng r nhnh nu nh php tnh trc c kt qu m.
6) R nhnh khi CC1 = 1 v CC0 = 0
C php JP <nhn >
Lnh nhy ny khng lm thay i ni dung thanh ghi trng thi. N c s
dng r nhnh nu nh php tnh trc c kt qu dng.
7) R nhnh khi CC1 = CC0 = 0
Gio trnh in t iu khin logic
TRN KIM PHC
106
C php JZ <nhn >
Lnh nhy ny khng lm thay i ni dung thanh ghi trng thi. N c s
dng r nhnh nu nh php tnh trc c kt qu bng 0.
8) R nhnh khi CC1 CC0
C php JN <nhn >
Lnh nhy ny khng lm thay i ni dung thanh ghi trng thi. N c s
dng r nhnh nu nh php tnh trc c kt qu khc 0.
9) R nhnh khi CC1 = CC0 = 0 hoc CC1 = 0 v CC0 =1
C php JMZ <nhn >
Lnh nhy ny khng lm thay i ni dung thanh ghi trng thi. N c s
dng r nhnh nu nh php tnh trc c kt qu l mt s khng dng (m
hoc bng 0).
10) R nhnh khi CC1 = CC0 = 0 hoc CC1 = 1 v CC0 =0
C php JMZ <nhn >
Lnh nhy ny khng lm thay i ni dung thanh ghi trng thi. N c s
dng r nhnh nu nh php tnh trc c kt qu l mt s khng m (dng
hoc bng 0).
11) R nhnh v iu kin
C php JU <nhn >
Lnh nhy ny khng lm thay i ni dung thanh ghi trng thi v c thc
hin v iu kin, khng ph thuc bt c mt bit trng thi no.
5.5.3.3- Lnh xoay vng (LOOP)
C php LOOP <nhn >
Khi gp lnh LOOP, CPU ca S7-300 s t gim ni dung ca t thp trong
thanh ghi ACCU1 i mt n v v kim tra xem kt qu c bng 0 hay khng. Nu
kt qu khc 0, CPU s thc hin bc nhy n on chng trnh c nh du
bi nhn. Ngc li th CPU thc hin lnh k tip.
Lnh xoay vng ny c th c s dng m phng nguyn tc lm vic
ging nh lnh for ca C bng cch thc hin bc nhy ngc. on chng
trnh nm gia nhn v lnh LOOP s c thc hin cho ti khi ni dung thanh ghi
ACCU1 bng 0.
Lnh ny khng lm thay i ni dung thanh ghi trng thi.
Gio trnh in t iu khin logic
TRN KIM PHC
107
5.5.3.4- Lnh r nhnh theo danh mc (JUMP LIST)
C php JL <nhn >
Lnh thc hin mt lot s r nhnh ty theo ni dung ca ACCU1. Danh
mc cc nhnh r phi c xp ngay sau lnh JL di dng lnh nhy v iu kin
v vi th t t thp n cao theo ni dung ca ACCU1.
S cc nhnh r nhiu nht c th l 255. Ton hng <nhn> trong lnh ch
phn kt thc bng danh mc cc nhnh r.
Lnh r nhnh theo danh mc khng lm thay i ni dung thanh ghi trng
thi v c tc dng ging nh lnh do case ca Access hay dBASE.
5.5.4 B thi gian (Timer)
5.5.4.1- Nguyn tc lm vic
B thi gian (Timer), l b to thi gian tr mong mun gia tn hiu logic
u vo u(t) v tn hiu logic u ra y(t).
S7-300 c 5 loi Timer khc nhau. Tt c 5
loi Timer ny cng bt u to thi gian tr tn
hiu k t thi im c sn ln tn hiu u vo,
tc l khi tn hiu u vo u(t) chuyn trng thi
logic t 0 ln 1, c gi l thi im Timer c
kch.
Thi gian tr : mong mun c khai bo vi Timer bng mt gi tr 16
bits (hnh 2.8) bao gm
hai thnh phn:
- phn gii vi
n v l ms. Timer ca
S7-300 c 4 loi
phn gii khc nhau l
10ms, 100ms v 10s.
- Mt s nguyn
(BCD) trong khong
0999 c gi l PV
(Preset value-gi tr t
trc).
Nh vy thi gian tr mong mun s chnh l tch = phn gii PV
Timer
CV
u(t)
PV
y(t)
T-bit
Hnh 2.7: M t nguyn l
lm vic ca Timer
0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
Khng
s dng
phn gii
0 0 10ms
0 1 100ms
1 0 1s
1 1 10s

Gi tr PV di dng m BCD: 0 PV 999
Hnh 5.6- Cu hnh gi tr thi gian tr t trc cn khai
bo vi timer
Gio trnh in t iu khin logic
TRN KIM PHC
108
Ngay ti thi im kch Timer, gi tr PV c chuyn vo thanh ghi 16 bits
ca Timer T-Word (gi l thanh ghi CV, vit tt ca Current value-gi tr tc thi).
Timer s ghi nh khong thi gian tri qua k t khi c kch bng cch gim dn
mt cch tng ng ni dung thanh ghi CV. Nu ni dung thanh ghi CV tr v bng
0 th Timer t c ni dung mong mun v iu ny s c bo ra ngoi
bng cch i trng thi tn hiu u ra y(t). Vic thng bo ra ngoi bng cch i
trng thi tn hiu u ra y(t) nh th no cn ph thuc vo loi Timer no c s
dng.
Bn cnh sn ln ca tn hiu u vo u(t). Timer cn c th c kch bng
sn ln ca tn hiu kch ch ng c tn l tn hiu enable nu nh ti thi im
c sn ln ca tn hiu enable, tn hiu u vo u(t) c gi tr logic 1.







Tng loi Timer c nh s t 0 n (ty thuc tng loi CPU) 255. Mt
Timer c t tn l Tx, trong x l s Timer (0x255). K hiu Tx cng ng
thi l a ch hnh thc ca thanh ghi CV (T-Word) v ca u ra (T-bit) ca Timer
. Tuy chng c cng a ch hnh thc, song T-Word v T-bit vn c phn bit
vi nhau nh kiu lnh s dng vi ton hng Tx. Khi dng lnh lm vic vi t, Tx
c hiu l a ch ca T-Word, ngc li khi s dng lnh lm vic vi tip im,
Tx c hiu l a ch ca T-bit.
Mt Timer ang trong ch lm vic (sau khi c kch) c th c a li
v trng thi ch khi ng ban u, tc l ch sn ln tip theo ca tn hiu u
vo. Cng vic ny gi l reset Timer . Tn hiu reset Timer c gi l tn hiu
xa v khi tn hiu xa c gi tr bng 1 Timer s khng lm vic. Ti thi im
xut hin sn ln ca tn hiu xa, T-Word v T-bit ca n ng thi c xa v
0, tc l thanh ghi m tc thi CV c t v 0 v tn hiu u ra cng c trng
thi logic bng 0.
5.5.4.2- Khai bo s dng
Vic khai bo s dng mt Timer gm cc bc :
Tn hiu cho php enable
Gi tr PV
Ni dung thanh ghi CV

Thi im timer c kch hot
Tn hiu u vo u(t)
Hnh 2.57- Nguyn l lm vic ca timer
Gio trnh in t iu khin logic
TRN KIM PHC
109
Khai bo tn hiu enable nu mun s dng tn hiu ch ng kch.
Khai bo tn hiu u vo u(t).
Khai bo thi gian tr mong mun.
Khai bo loi Timer c s dng (SD, SS, SP, SE, SF).
Khai bo tn hiu xa Timer nu mun s dng ch reset ch ng.
Trong tt c 5 bc trn, cc bc 2, 3, 4 l bt buc.
(1) Khai bo tn hiu enable (ch ng kch)
C php A <a ch bit>
FR <Tn Timer>
Ton hng th nht a ch bit xc nh tn hiu s c s dng
lm tn hiu ch ng kch cho Timer c tn cho trong ton hng th 2.
Lnh FR tc ng vo thanh ghi trng thi nh sau:
BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 - - 0

(2) Khai bo tn hiu u vo
C php A <a ch bit>
a ch bit trong ton hng xc nh tn hiu u vo u(t) cho Timer.
(3) Khai bo thi gian tr mong mun
C php L <hng s>
Hng s trong ton hng xc nh tn hiu gi tr thi gian tr t trc cho
Timer. Hng s ny c hai dng.
o S5T#giH_phtM_miligiyMS. y l dng d liu thi gian thc.
o Dng mt s nguyn 16 bits.
(4) Khai bo loi Timer
S7-300 c 5 loi Timer c khai bo bng cc lnh
- SD: Tr theo sn ln khng c nh.
- SS: Tr theo sn ln c nh.
- SP: To xung khng c nh.
Gio trnh in t iu khin logic
TRN KIM PHC
110
- SE: To xung c nh.
- SF : Tr theo sn xung.
Nhng lnh ny tc ng vo thanh ghi trng thi nh sau:

BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 - - 0
a) Tr theo sn ln khng c nh (On delay Timer)
C php A <Tn Timer>
Thi gian gi tr c bt u khi c sn ln ca tn hiu u vo (hoc khi
c sn ln ca tn hiu enable ng thi ca tn hiu vo bng 1), tc l ngay thi
im gi tr PV c chuyn vo thanh ghi T-Word (CV). Trong khong thi
gian T-bit c gi tr 0. Khi ht thi gian tr T-bit c gi tr bng 1. Nh vy, T-bit c
gi tr 1 khi T-Word=0.
Khong thi gian tr chnh l khong thi gian gia thi im xut hin sn
ln ca tn hiu u vo v sn ln ca T-bit.
Khi tn hiu vo bng 0, T-bit v T-Word cng nhn gi tr 0.
b) Tr theo sn ln c nh
C php A <Tn Timer>
Thi gian tr c bt u tnh t khi xut hin sn ln ca tn hiu u vo
(hoc khi c sn ln ca tn hiu enable ng thi tn hiu vo bng 1), tc l
ngay thi im gi tr PV c chuyn vo thanh ghi T-Word (CV). Khi ht thi
gian tr, tc l khi T-Word=0, T-bit c gi tr bng 1.
Khong thi gian tr chnh l khong thi gian gia thi im xut hin sn
ln ca tn hiu u vo v sn ln ca T-bit.
Vi b Timer c nh, thi gian tr vn c tnh cho d lc tn hiu u
vo v 0.
c) Timer to xung khng c nh (Pulse Timer)
C php SP <Tn Timer>
Thi gian tr c bt u tnh t khi xut hin sn ln ca tn hiu u vo
(hoc khi c sn ln ca tn hiu enable ng thi tn hiu vo bng 1), tc l
ngay thi im gi tr PV c chuyn vo thanh ghi T-Word (CV). Trong
Gio trnh in t iu khin logic
TRN KIM PHC
111
khong thi gian tr, tc l khi T-Word0, T-bit c gi tr bng 1. Ngoi khong
thi gian tr T-bit c gi tr bng 0.
Nu cha ht thi gian tr m tn hiu u vo v 0 th T-bit v T-Word cng
v gi tr 0.
d) Timer to xung c nh (Extended Pule Timer)
C php SE <Tn Timer>
Thi gian gi c bt u t khi xut hin sn ln ca tn hiu u vo (hoc
khi c sn ln ca tn hiu enable ng thi tn hiu vo bng 1), tc l ngay thi
im gi tr PV c chuyn vo thanh ghi T-Word (CV).
Trong khong thi gian tr, tc l khi T-Word0, T-bit c gi tr bng 1. Ngoi
khong thi gian tr T-bit c gi tr bng 0.
Nu cha ht thi gian tr m tn hiu u vo v 0 th thi gian tr vn c
tnh tip tc, tc l T-bit v T-Word khng v 0 theo tn hiu u vo.
e) Timer tr theo sn xung (Off Delay Timer)
C php SF <Tn Timer>
Thi gian tr c tnh bt u t khi c sn xung ca tn hiu u vo, tc
l thi im xut hin sn xung ca tn hiu u vo, gi tr PV c chuyn
vo thanh ghi T-Word (CV).
Trong khong thi gian gia sn ln ca tn hiu vo hoc T-Word0, T-bit
c gi tr bng 1. Ngoi ra khong T-bit c gi tr bng 0.
(5) Khai bo tn hiu xa (reset)
C php A <a ch bit>
R <Tn Timer>
Ton hng th nht a ch bit xc nh tn hiu s c s dng lm tn hiu
ch ng xa cho Timer c tn trong ton hng th hai.
Khi tn hiu xa=1, T-Word (thanh ghi CV) v T-bit cng ng thi c a
v 0. Nu tn hiu xa v 0, Timer s ch c kch li.
5.5.4.3- c ni dung thanh ghi T-Word (CV)
Ni dung thanh ghi T-Word l CV c th c c vo ACCU1 theo 2 cch:
1) c s m tc thi (khng c phn gii)
C php L <Tn Timer>
Gio trnh in t iu khin logic
TRN KIM PHC
112
Ton hng l tn Timer m thanh ghi T-Word ca n s c c vo ACCU1.
Gi tr c c l mt s nguyn dng xc nh s m tc thi (khng c
th nguyn), tc l ch l t s gia khong thi gian k t khi Timer c kch, v
phn gii.
2) c thi gian tr tc thi
Ton hng tn Timer m thanh ghi T-Word ca n s c c vo ACCU1.
Gi tr c c gm hai phn: mt s BCD xc nh s m tc thi (khng c th
nguyn) v phn gii.
Tng kt
Hnh di tng kt li cc loi Timer ca S7-300 cho tin vic tra cu s dng,
trong l thi gian tr t trc.








5.5.5- B m (Counter)
5.5.5.1- Nguyn tc lm vic
Counter l b m thc hin chc nng m sn xung ca cc tn hiu u
vo. S7-300 c ti a 256 Counter (ph thuc CPU), k hiu bi Cx, trong x l s
nguyn trong khong 0255. Nhng b m ca S7-300 u c th ng thi m
tin theo sn ln ca mt tn hiu vo th nht, c k hiu l CU (count up) v
m li theo sn ln ca tn hiu vo th hai k hiu l CD (count down).
Thng thng b m ch m cc sn ln ca tn hiu CU v CD, song cng
c th c m rng m c mc tn hiu ca chng bng cch s dng thm tn
hiu enable (kch m). Nu c tn hiu enable, b m s m tin khi xut hin
sn ln ca tn hiu enable ng thi ti im CU c mc tn hiu l 1. Tng






Tn hiu vo
SP: To xung khng nh
SE: To xung c nh
SD: Tr theo sn ln khng nh
SS: Tr theo sn ln c nh
SS: Tr theo sn xung
Gio trnh in t iu khin logic
TRN KIM PHC
113
t b m s m li khi c sn ln ca tn hiu enable v ti thi im CD c
mc tn hiu l 1.
S sn xung m c, c ghi vo thanh ghi 2 byte ca b m, goi l
thanh ghi C-Word. Ni dung ca T-Word c gi l gi tr m tc thi ca b
m v k hiu bng CV (Current Value). B m bo trng thi ca C-Word ra
ngoi qua chn C-bit ca n. Nu CV0, C-bit c gi tr 1. Ngc li khi CV=0, C-
bit nhn gi tr logic 0. CV lun l mt gi tr khng m. B m s khng m li
khi CV=0.
Khc vi Timer, gi tr t trc PV (preset value) ca b m ch c
chuyn vo C-Word ti thi im xut hin sn ln ca tn hiu t (set-S)
B m c th c xa ch ng bng tn hiu xa (reset). Khi b m c
xa, c C-Word v C-bit u nhn gi tr 0.
5.5.5.2- Khai bo s dng
Vic khai bo s dng mt counter bao gm cc bc:
- Khai bo tn hiu enable nu mun s dng tn hiu ch ng kch m.
- Khai bo tn hiu u vo CU c m tin.
- Khai bo tn hiu u vo CD c m li.
- Khai bo tn hiu t (set) v gi tr t trc (PV).
- Khai bo tn hiu xa (reset).
Trong t nht phi c mt trong hai bc 2 hoc 3 c thc hin.
(1). Khai bo tn hiu kch m (enable).
C php A <a ch bit>
FR <Tn Counter>
Ton hng th nht a ch bit xc nh tn hiu s c s dng lm tn hiu
kch m cho b m c tn cho trong ton hng th hai. Tn ca b m c dng
Cx vi 0x255
Lnh FR tc ng vo thanh ghi trng thi nh sau:
BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 - - 0

(2). Khai bo tn hiu c m tin theo sn ln.
Gio trnh in t iu khin logic
TRN KIM PHC
114
C php A <a ch bit>
CU <Tn Counter>
Ton hng th nht a ch bit xc nh tn hiu m sn ln ca n c b
m vi tn cho trong ton hng th hai m tin.. Tn ca b m c dng Cx vi
0x255. Mi khi xut hin mt sn ln ca tn hiu, b m s tng ni dung
thanh ghi C-Word (CV) ln 1 n v. Lnh CU tc ng vo thanh ghi trng thi
ging nh lnh FR.
(3). Khai bo tn hiu c m li theo sn ln.
C php A <a ch bit>
CD <Tn Counter>
Ton hng th nht a ch bit xc nh tn hiu m sn ln ca n c b
m vi tn cho trong ton hng th hai m tin. Tn ca b m c dng Cx vi
0x255.
Mi khi xut hin sn ln ca tn hiu, b m s gim ni dung thanh ghi C-
Word (CV) i bt 1 n v nu CV>0. Trong trng hp CV bng 0 th ni dung
C-Word khng b thay i. Lnh CD tc ng vo thanh ghi trng thi ging nh
lnh FR.
(4). Khai bo tn hiu t (set) gi tr t trc (PV)
C php A <a ch bit>
L C#<hng s>
S <Tn Counter>
Ton hng th nht a ch bit xc nh tn hiu m mi khi xut hin sn
ln ca n, hng s PV cho trong lnh th hai di dng BCD s c chuyn vo
thanh ghi C-Word ca b m c tn trong ton hng ca lnh th 3.
Tn ca b m c dng Cx vi 0x255.
(5). Khai bo tn hiu xa (reset)
C php A <a ch bit>
R <Tn Counter>
Ton hng th nht a ch bit xc nh tn hiu m mi khi xut hin sn
ln ca n, thanh ghi C-Word ca b m c tn trong ton hng ca lnh th 2 s
c xa v 0.
Tn ca b m c dng Cx vi 0x255.
Gio trnh in t iu khin logic
TRN KIM PHC
115
(6). c ni dung thanh ghi C-Word
Ni dung ca thanh ghi C-Word l CV, cng ging nh Timer, c th c
c vo ACCU1 theo 2 cch:
a) c s m tc thi dng binary
C php L <Tn Counter>
Ton hng l tn b m m thanh ghi C-Word ca n s c c vo
ACCU1. Gi tr c c l mt s nguyn dng xc nh s m tc thi.
Tn ca b m c dng Cx vi 0x255.
b) c s m tc thi dng BCD
C php LC <Tn Counter>
Ton hng l tn b m m thanh ghi C-Word ca n s c c vo
ACCU1. Gi tr c c l s BCD.
Tn ca b m c dng Cx vi 0x255.
5.5.6- K thut s dng con tr
Con tr (Pointer) l mt cng c mnh, rt c a dng trong cc chng
chnh iu khin. Vic s dng con tr c hiu l s truy nhp gin tip ti mt
nh trong b nh. Nhng vic th no l truy nhp gin tip. Ta hy xt lnh c ni
dung nh MW0 vo ACCU1 lm v d:
LC MW0 //c gi tr ca nh MW0 vo thanh ghi ACCU1
Lnh ny l lnh truy nhp trc tip nh MW0 v a ch ca nh l
MW0 c cho trc tip trong lnh di dng ton hng. Nh vy, c th hnh
dung ra lnh c ni dung nh MW0 m a ch nh khng cho trc tip
trong lnh s l lnh truy nhp gin tip.
Trong lnh truy nhp gin tip, a ch nh c truy cp s l ni dung ca
mt nh khc m ta gi l con tr. V d vic truy nhp trc tip nh MW0
trn tng ng vi lnh truy nhp gin tip nh con tr MD10 nh sau:
L 0
T MD10
L MW[10] //c gi tr ca nh c a ch cho trong MD10
Nh phn u chng m t, a ch mt nh trong S7-300 gm 2 phn :
phn ch v phn s. V d:
Gio trnh in t iu khin logic
TRN KIM PHC
116

Trong phn ch ch v tr trong vng, kch thc ca nh v phn s ch
a ch ca byte hoc bit trong vng nh xc nh. Tng ng vi cch biu din
a ch nh vy m con tr cng c 2 dng:
- Ch cha phn s. y l kiu con tr a phng xc nh v tr nh trong
vng.
- Cha c phn s v ch. y l con tr ton cc xc nh v tr nh trong
b nh.
5.5.6.1- S dng MW hoc t kp MD lm con tr
Ta c th s dng mt nh thuc vng nh M c kch thc l t (MW) hoc
t kp (MD) lm con tr. Trong nhng trng hp nh vy, con tr MW hoc
MD ch c th l con tr a phng (ch cha phn s ca a ch).
Do phn s ca a ch c hai dng th hin:
- a ch byte, v d: 20, 22, 100, .
- a ch bit, v d: 20.0, 22.2, 100.5,
nn con tr i phng MW, MD cng c hai hnh thi.
- Con tr a phng ch b tr byte trong vng v con tr a phng ch v tr
bit trong vng.
1) Con tr a phng ch v tr byte: Vi hnh thi con tr ny ta dng c c
hai loi kch thc t (MW) hoc t kp (MD). Con tr ch cha phn s xc
nh a ch byte. Nu nh cn c truy cp gin tip c kch thc ln
hn 1 byte (t, t kp hay mt dy cc byte) th ni dung ca con tr l a
ch byte u tin trong dy cc byte .
2) Con tr a phng ch v tr bit: Vi hnh thi ny ta phi dng loi con tr
c kch thc t kp (MD, DBD, LD). Con tr ny cha c phn s xc nh
a ch byte v phn s xc nh s th t ca bit trong byte theo cu trc:
Cu trc d liu ny ca con tr a ch ch bt c khai bo trong S7-300
bng ton hng:
P# <a ch byte> <S th t>
MW100
Phn ch Phn s
Hoc
I20.4
Phn ch Phn s
Gio trnh in t iu khin logic
TRN KIM PHC
117
5.5.6.2- S dng thanh ghi con tr AR1 v AR2
S7-300 c hai thanh ghi 32 bit c dng lm con tr thay v phi s dng mt
t (MW, DBW, LW) hay t kp (MD, DBD, LD). Hai thanh ghi ny c tn l AR1
v AR2. c bit, tuy hai thanh ghi con tr ny ch cha a ch bit (c th c hoc
khng phn ch ca a ch), song li c th s dng truy nhp nh c kch
thc nhiu hn mt bit nh byte, t hoc t kp.
Ta phn bit lm hai trng hp :
- AR l con tr a phng ch v tr bit trong vng, khng cha phn ch ca
a ch (area internal register),
- AR l con tr ton cc ch v tr bit trong b nh, cha c phn ch v phn
s ca a ch (area crossing register)
5.6- K thut lp trnh
5.6.1- Gii thiu chung
5.6.1.1- Lp trnh tuyn tnh v lp trnh c cu trc
Phn b nh ca CPU dnh cho chng trnh ng dng c tn gi l logic
block. Nh vy, logic block l tn chung gi tt c cc khi chng trnh bao
gm nhng khi chng trnh t chc OB (Organization blocks), khi chng trnh
(Function), khi hm FB (Function block). Trong cc loi khi chng trnh th
ch c duy nht khi OB1 c thc hin trc tip theo vng qut. N c h iu
hnh gi theo chu k lp li vi khong thi gian khng cch u nhau m ph
thuc vo di ca chng trnh. Cc loi khi chng trnh khc khng tham gia
trc tip vo vng qut.
Vi hnh thc t chc nh vy th phn chng trnh trong khi OB1 c y
iu kin ca mt chng trnh iu khin thi gian thc v ton b chng trnh
ng dng c th ch cn c vit trong OB1 l (hnh 3.1). Cch t chc chng
trnh vi ch mt khi OB1 duy nht nh vy c gi l lp trnh tuyn tnh (linear
programming)
Cc khi OB khc khng tham gia vo vng qut m c gi bng nhng tn
hiu bo ngt. S7-300 c nhiu loi tn hiu bo ngt nh tn hiu bo ngt khi c s
c ngun nui, tn hiu bo ngt khi c s c chp mch cc module m rng, tn
hiu bo ngt theo chu k thi gian, v mi loi tn hiu bo ngt nh vy cng
ch c kh nng gi mt loi khi OB nht nh. V d tn hiu bo ngt s c ngun
nui ch gi khi OB81, tn hiu bo ngt truyn thng ch gi khi OB7,
Gio trnh in t iu khin logic
TRN KIM PHC
118
Mi khi xut hin mt tn hiu bo ngt h thng s tm dng cng vic ang
thc hin li, chng hn nh tm dng vic thc hin chng trnh trong OB1, v
chuyn sang thc hin chng trnh x l ngt trong cc khi OB tng ng. V d
khi ang thc hin OB1 m xut hin tn hiu bo ngt bo s c truyn thng, h
thng s tm dng lm vic thc hin OB1 li gi v thc hin chng trnh trong
khi OB7, h thng mi quay v thc hin tip tc phn chng trnh cn li trong
OB1.
Khc vi kiu lp trnh tuyn tnh, k thut lp trnh c cu trc (Structure
Programming) l phng php lp trnh m ton b chng trnh iu khin
c chia nh thnh cc khi FC hay FB mang mt nhim v c th ring v c
qun l chung t nhng khi OB (hnh 3.2). Kiu lp trnh ny rt ph hp cho bi
ton iu khin phc tp, nhiu nhim v cng cho vic sa cha, g ri sau ny.

5.6.1.2- T chc b nh CPU
Hnh 3.3 trnh by tng quan v cch phn chia b nh cho cc vng nh khc
nhau bao gm: - Vng nh cha cc thanh ghi.
- Vng System memory.
- Vng Work memory.
Kch thc ca cc vng nh ny ph thuc vo chng loi tng module CPU
Load memory: L vng nh cha chng trnh ng dng (do ngi dng vit)
bao gm tt c cc khi chng trnh ng dng OB, FC, FB, cc khi chng trnh
trong th vin h thng c s dng (SFC, SFB) v khi d liu DB. Vng nh ny
c to bi mt phn b nh RAM ca CPU v EEPROM (nu c EEPROM). Khi
thc hin ng tc xa b nh (MRES) ton b khi chng trnh v khi d liu
nm trong RAM s b xa. Cng nh vy, khi chng trnh hay khi d liu c
(download), t thit b lp trnh (PG, my tnh) vo module CPU, chng s c ghi
ln phn RAM ca vng nh Load memory.
Work memory: L vng nh cha khi DB ang c m, khi chng trnh
(OB, FC, FB, SFC hoc SFB) ang c CPU thc hin v phn b nh cp pht cho
nhng tham s hnh thc cc khi chng trnh ny trao i tham tr vi h iu
hnh v vi cc khi chng trnh khc (local block). Ti mt thi im nht nh
vng Work memory ch cha mt khi chng trnh. Sau khi khi chng trnh
c thc hin xong th h iu hnh s xa n khi Work memory v np vo
khi chng trnh k tip n lt c thc hin.
Gio trnh in t iu khin logic
TRN KIM PHC
119
System memory: L vng nh cha cc b m vo/ra s (Q,I), cc bin c
(M), thanh ghi C-Word, PV, T-bit ca Timer, thanh ghi C-Word, PV, C-bit ca
Counter. Vic truy cp, sa i d liu nhng nh thuc vng nh ny c phn
chia hoc bi h iu hnh ca CPU hoc do chng trnh ng dng.
C th thy rng trong cc vng nh c trnh by trn khng c vng nh
no c dng lm b m cho cc cng vo/ra tng t. Ni cch khc cc cng
vo/ra tng t khng c b m v nh vy mi lnh truy cp module tng t
(c hoc gi gi tr) u c tc dng trc tip vi cng vt l ca module.
Tr phn b nh EEPROM thuc vng Load memory v mt phn RAM t
nui c bit (non-volatile) dng lu gi tham s cu hnh trm PLC nh a ch
trm (MPI address), tn cc module m rng, tt c cc phn b nh cn li ch
mc nh khng c kh nng t nh (non-retentive). Khi mt ngun nui hoc
khi thc hin cng vic xa b nh (MRES), ton b ni dung ca phn b nh non-
retentive s b mt. Tuy nhin ta c th s dng phn mm Step 7 chuyn nhng
khi DB cha nhng d liu quan trng, cng nh cc d liu ca Timer, Counter
vo phn b nh RAM t nui khi mt in (gi l phn non-volatile hay retentive).
5.6.1.3- Xc nh a ch cho module m rng
Mt trm PLC c hiu l mt module CPU ghp ni cng vi cc module
m rng khc (module DI, DO, AI, AO, CP, FM) trn nhng thanh rack (gi ),
trong vic truy cp ca CPU vo cc module m rng c thc hin thng qua
a ch ca chng. Mt module CPU c kh nng qun l c 4 thanh rack vi ti
a 8 module m rng trn mi thanh.









IM

Nhn
384

399
Rack 0
400

415
416

431
432

447
448

463
464

479
480

495
496

511
IM

Nhn
512

527
528

543
544

559
560

575
576

591
592

607
608

623
624

639
IM

Nhn
640

655
656

671
672

687
688

703
704

719
720

735
736

751
752

767
IM

Nhn
256

271
272

287
288

303
304

319
320

335
336

351
352

367
368

383
CPU
+
Ngun
nui
Rack 1
Rack 2
Rack 3
Slot 4 Slot 11
Hnh 5.7- Quy tc xc nh a ch cho cc module tng t
Gio trnh in t iu khin logic
TRN KIM PHC
120
Ty vo v tr lp t ca module m rng trn nhng thanh rack m cc
module c nhng a ch khc nhau. Hnh 5.7 v 5.8 trnh by quy tc xc nh a
ch cho module m rng ph thuc vo v tr lp t ca n.











5.6.1.4- Trao i d liu gia CPU v cc module m rng
Trong trm PLC lun c s trao i d liu gia CPU vi cc module m rng
thng qua bus ni b. Ngay ti u vng qut, cc d liu ti cng vo ca cc
module s (DI) c CPU chuyn ti b m vo s (process image output table-
Q) li c CPU chuyn ti cng ra ca cc module ra s (DO). Vic thay i ni
dung hai b m ny c thc hin bi chng trnh ng dng (user program).
iu ny cho thy nu trong chng trnh ng dng c nhiu lnh c gi tr cng
vo s th cho d gi tr logic thc c ca cng vo ny c th b thay i trong
qu trnh thc hin vng qut, chng trnh s vn lun c c cng mt gi tr t
I v gi tr chnh l gi tr ca cng vo c ti thi im u vng qut. Cng nh
vy, nu chng trnh ng dng nhiu ln thay i gi tr cho mt cng ra s th do
n ch thay i ni dung bit nh tng ng trong Q nn ch c gi tr ln thay i
cui cng mi thc s c a ti cng ra vt l ca module DO.
Khc hn vi vic c/ghi cng s, vic truy nhp cng vo/ra tng t li
c CPU thc hin trc tip vi module m rng (AI/AO). Nh vy mi lnh c
gi tr t a ch thuc vng PI (peripheral input) s thu c mt gi tr ng bng
gi tr thc c cng ti thi im thc hin lnh. Tng t khi thc hin lnh gi
mt gi tr (s nguyn 16 bits) ti a ch ca vng PQ (peripheral output), gi tr
s c gi ngay ti cng ra tng t ca module.
IM

Nhn
384

399
Rack 0
400

415
416

431
432

447
448

463
464

479
480

495
496

511
IM

Nhn
512

527
528

543
544

559
560

575
576

591
592

607
608

623
624

639
IM

Nhn
640

655
656

671
672

687
688

703
704

719
720

735
736

751
752

767
IM

Nhn
0.0

3.7
4.0

7.7
288

303
304

319
320

335
336

351
352

367
368

383
CPU
+
Ngun
nui
Rack 1
Rack 2
Rack 3
Slot 4 Slot 11
Hnh 5.8- Quy tc xc nh a ch cho cc module s
Gio trnh in t iu khin logic
TRN KIM PHC
121
S d c s khc nhau nh vy l do c th v s t chc b nh v phn chia
a ch ca S7-300. Ch c cc module vo/ra s mi c b m cn cc module
vo/ra tng t th khng, chng ch c cung cp a ch truy nhp (a ch PI
v PQ).
Tuy nhin min a ch PI v PQ li c cung cp nhiu hn l s cc cng
vo ra tng t c th c ca mt trm. Chng hn, thc cht cc cng vo tng t
ch c th c l t a ch PIB256 n a ch PIB767 nhng min a ch ca PI v
PQ li l t 0 n 65535. iu ny to ra kh nng kt ni cc cng vo/ra s vi
nhng a ch di ra trong PI/PQ gip chng trnh ng dng c th truy nhp
trc tip cc module DI/DO m rng c c gi tr tc thi ti cng m khng
cn thng qua b m I v Q. V d ta c th thay lnh c ng thi 8 cng vo s
thng qua b m I: L IB0
Bng lnh c trc tip t module DI:
L PIB0
Hoc lnh ghi ra 16 cng ra s thng qua b m Q
T QW4
C th c thay bng lnh ghi trc tip ti module DO
T PQW4
Kh nng kt ni trc tip chng trnh ng dng vi module DI/DO m rng
c trnh by trong hnh 5.9.










Process
image input
table (I)
Process
image output
table (Q)
Chng trnh
ng dng
(User program)
c/ghi
gin tip
.
.
.
0
.
.
.
127
.
.
.
256
.
.
.
767
Module
DI
c trc tip Ghi trc tip
Peripheral input
PI (64K)
Peripheral output
PQ (64K)
Module
AI
.
.
.
0
.
.
.
127
.
.
.
256
.
.
.
767
Module
DO
Module
AO
Hnh 5.9- Nguyn l trao i d liu gia CPU v cc module m rng
Gio trnh in t iu khin logic
TRN KIM PHC
122
5.6.2- Lp trnh tuyn tnh
K thut lp trnh tuyn tnh l phng php lp trnh m ton b chng trnh
ng dng s ch nm trong mt khi OB1. K thut ny c u im l gn, rt ph
hp vi nhng bi ton iu khin n gin, t nhim v.
Do ton b chng trnh iu khin ch nm trong khi OB1 nn khi OB1 s
gn nh l c thng trc trong vng nh Work memory, tr trng hp khi h
thng phi x l cc tn hiu bo ngt. Ngoi khi OB1, trong vng Work memory
cn c min nh a phng (local block) cp pht cho OB1 v nhng khi DB c
OB1 s dng. Hnh di m t quy trnh thc hin chng trnh iu khin tuyn
tnh.








5.6.2.1- Local block ca OB1
Khi thc hin khi OB1, h iu hnh lun cp mt local block c kch thc
mc nh l 20 bytes trong Work memory OB1 c th ly c nhng d liu t
h iu hnh. Cc d liu ny gm :
Tn hnh thc Kiu Gi tr v ngha
OB1_EV_CLASS Byte
Bits 0-3 = 1 (Coming event). Bits 4-7 = 1
(Event class 1)
OB1_SCAN_1 Byte 1 = Vng qut u, 3 = t vng qut th 2
OB1_PRIORITY Byte Mc u tin 1 (Mc u tin thp nht)
OB1_OB_NUMBR Byte 1 = Ch s ca khi OB
OB1_RESERVED_1 Byte D tr (ca h iu hnh)
OB1_RESERVED_2 Byte D tr (ca h iu hnh)
OB1_PREV_CYCLE Int Thi gian vng qut trc (milliseconds)
OB1_MIN_CYCLE Int
Thi gian vng qut ngn nht c
(milliseconds)
OB1_MAX_CYCLE Int
Thi gian vng qut ln nht c
(milliseconds)
OB1_DATE_TIME Date_And_Time Thi im OB1 bt u c thc hin.
Chuyn OB1 t Load
memory vo Work
memory v cp pht
Local block cho n
Xo OB1 v gii
phng Local block
trong Work memory
System
memory
H
iu
hnh
Thc hin OB1
trong Work
memory
Share
DB
Instance
DB
Hnh 5.10- Thc hin mt chng trnh tuyn tnh
Gio trnh in t iu khin logic
TRN KIM PHC
123
Mc d kch thc ch l 20 bytes mc nh, nhng ngi s dng c th m
rng local block s dng thm cc bin nh cho chng trnh. Tuy nhin phi
rng do local block c gii phng cui mi vng qut v c cp li u
vng qut sau nn cc gi tr c trong local block ca vng qut trc cng b mt
khi bt u vng qut mi. Do , tt nht ch nn s dng local block cho vic lu
gia cc bin nhp tm thi trong tnh ton ca mt vng qut.
5.6.2.2- V d
5.6.3- Lp trnh c cu trc
Lp trnh c cu trc (structure programming) l k thut ci t thut ton
iu khin bng cch chia nh thnh cc khi chng trnh con FC hay FB vi mi
khi thc hin mt nhim v c th ca bi ton iu khin chung v ton b cc
khi chng trnh ny li c qun l mt cch thng nht bi khi OB1. Trong
OB1 c cc lnh gi nhng khi chng trnh con theo th t ph hp vi bi ton
iu khin t ra.
Hon ton tng t, mt nhim v iu khin con c th cn c chia nh
thnh nhiu nhim v nh v c th hn na, do mt khi chng trnh con cng
c th c gi t mt khi chng trnh con khc. Duy c iu cm k ta cn phi
trnh l khng bao gi mt chng trnh con li gi n chnh n. Ngoi ra, do c s
hn ch v ngn xp ca cc module CPU nn khng c t chc chng trnh con
gi lng nhau qu s ln m module CPU c s dng cho php.
n gin trong trnh by, khi mt khi chng trnh con ny gi mt khi
chng trnh con khc, ta s k hiu khi cha lnh gi l khi m v khi c gi
l khi con. Hnh 5.11 m t quy trnh thc hin vic gi mt khi con FC10 t khi
m OB1.
Gia khi m v khi con c s
lin kt th hin qua vic trao i cc
gi tr. Khi gi khi con, khi m cn
cho nhng s kin thng qua cc tham
tr u vo khi con thc hin nhim
v. Sau khi thc hin xong nhim v,
khi con phi tr li cho khi m kt
qu bng nhng tham tr u ra. H
iu hnh ca CPU t chc vic truyn
tham tr thng qua local block ca tng
khi con.
OB1

Call FC10

Chuyn FC10 vo
Work memory, cp
pht local block, gn
tham tr t OB1
Tr tham tr v
OB1. Xa FC10 v
local block trong
Work memory
FC10








BE
Hnh 5.11. Thc hin gi khi FC10
Gio trnh in t iu khin logic
TRN KIM PHC
124
Nh vy, khi thc hin lnh gi mt khi con, h iu hnh s :
1) Chuyn khi con c gi t vng Load memory vo vng Work memory.
2) Cp pht cho khi con mt phn b nh trong Work memory lm local
block. Cu trc local block c quy nh khi son tho cc khi.
3) Truyn cc tham tr t khi m cho bin hnh thc IN, IN-OUT ca local
block.
4) Sau khi khi con thc hin xong nhim v v ghi kt qu di dng tham tr
u ra cho bin OUT, IN-OUT ca local block, h iu hnh s chuyn cc tham tr
ny cho khi m v gii phng khi con cng local block ra khi vng Work
memory.
5.6.3.1- Khai bo local block cho FC
Local block ca khi con c chia thnh hai phn:
- Phn cc bin hnh thc khi con nhn v truyn tham tr vi khi m.
Bin hnh thc local block ca khi FC c ba loi cho trong bng di:
Loi bin hnh thc
ngha
IN
Bin hnh thc nhn tham tr t khi m lm s kin cho
chng trnh trong khi con.
OUT Bin hnh thc truyn tham tr t khi con v khi m.
IN-OUT
Bin hnh thc va c kh nng nhn va c kh nng
truyn tham tr gia khi con vi khi m.
- Phn cha cc bin tm thi c k hiu l TEMP (Temporary) cha cc gi
tr tnh ton tc thi. Do local block s c gii phng khi kt thc chng
trnh, gi tr cc bin tm thi ny cng s b mt theo ngay sau khi chng
trnh trong khi con c thc hin xong.
Vic khai bo local block ng ngha vi vic t tn bin, nh ngha loi
bin (bin hnh thc hay bin tm thi) v kiu d liu (nguyn, thc, k t ) cho
tng bin, trong tn bin l nhng dy k t hoc s v khng thuc k t kha
( c dng bi h iu hnh).
Chng trnh truy cp local block thng qua cc tn bin di dng ton hng
ca lnh theo cu trc:
#<tn bin>
5.6.3.2- Gi khi FC v th tc truyn tham tr
Lnh gi mt khi con v truyn tham tr cho n t khi m c dng
Gio trnh in t iu khin logic
TRN KIM PHC
125
C php Call FCx
Trong FCx l tn khi con c gi
Ngay khi gp lnh gi mt khi con, chng trnh son tho Step7 s cn c
vo cu trc ca local block, c th l nhng bin hnh thc ca khi con (bin IN,
OUT, IN-OUT), m cho hin li nhng bin ny ch ngi s dng khai bo tham
tr.
Kiu tham tr truyn t khi m vo khi con thng qua bin hnh thc IN hay
IN-OUT ph thuc vo kiu gn. C th l:
- Nu bin c khai bo mt trong cc kiu BOOL, CHAR, INT, DINT,
TIME, BOOL, DATE, TOD, S5TIME th tham tr truyn c th l mt gi tr c th
hoc l ni dung ca mt nh c kch thc tng ng.
- Nu bin c khai bo kiu BYTE, WORD, DWORD, DINT th bt buc
tham tr phi l ni dung ca nh c kch thc ph hp.
Ring i vi tham tr c khi con tr v cho khi m qua bin hnh thc
OUT hay IN-OUT th lun phi l mt nh c cng kch thc vi bin.
5.6.3.3- Local block ca FB
Nhc im ca kiu khi FB l ni dung cc bin tm thi kiu TEMP
khng c lu tr li cho nhng vng qut sau. iu ny bt buc nhng khi FC
c s dng bin kiu TEMP trong local block phi c thc hin xong trong mt
vng qut v do hn ch min s dng ca chng.
Khc phc nhc im trn, S7-300/400 cung cp mt loi khi c tnh nng
tng t nh khi FC nhng
li c kh nng lu gi c
ni dung cc bin tm thi
cho cc vng qut k tip,
c gi l khi hm FB.
Loi bin tm thi c ni
dung c lu gi li ny c
tn l STAT (static).
Phng thc lu gi li
ni dung cc bin loi STAT
c h iu hnh thc hin
nh mt khi d liu nh sau
(hnh 5.12):
OB1

Call FB1, DB2

DB2
Chuyn FB1 vo Work
memory, cp pht local block,
gn tham tr cho bin hnh
thc t OB1 v cho bin loi
STAT t DB2.
Tr tham tr v OB1.
Ghi li bin loi STAT
vo DB2. Xa FB1 v
local block ca n khi
Work memory
DB2
FB1








BE
Hnh 5.12. Thc hin gi khi FB1
km cng vi DB2 t OB1
Gio trnh in t iu khin logic
TRN KIM PHC
126
- Khi thc hin lnh gi, h iu hnh chuyn khi FB c gi vo Work
memory, cp pht cho n trong Work memory mt local block nh yu cu.
Ghi cc tham tr t khi m vo cc tham bin hnh thc loi IN, IN-OUT v
ni dung cc nh tng ng trong DB km theo vo bin loi STAT trong
local block.
- Khi chng trnh trong khi FB kt thc, h iu hnh chuyn ni dung ca
bin hnh thc loi OUT, IN-OUT v cho khi m v ghi li cc gi tr ca
bin thuc loi STAT trong local block vo khi d liu km theo. Sau
gii phng local block cng khi FB ra khi Work memory.
V c bn, local block ca khi FB cng ging nh ca khi FC, nhng c
thm bin loi STAT. Cc boi bin ca khi FB cho trong bng di:
Loi bin ngha
IN
Bin hnh thc nhn tham tr t khi m lm s kin cho chng
trnh trong khi con.
OUT Bin hnh thc truyn tham tr t khi con v khi m.
IN-OUT
Bin hnh thc va c kh nng nhn va c kh nng truyn tham
tr gia khi con vi khi m.
STAT
Ni dung ca bin ny c kh nng c lu gi li khi kt thc
chng trnh trong FB
TEMP
Bin tm thi. Ni dung s b mt khi chng trnh trong FB kt
thc.
Vic khai bo local block cho FB cng hon ton tng t nh cho FC gm:
t tn bin, xc nh loi bin (bin hnh thc, STAT hay TEMP) v kiu d liu
(nguyn, thc, k t ) cho tng bin.
Tn bin phi l nhng dy k t hoc s v khng thuc nhm k t kha (
c dng bi h iu hnh).
5.6.4- S dng cc khi OB
Cc khi OB c th c xp theo loi cng dng thnh 3 nhm:
- Nhm cc khi OB cha chng trnh ng dng x l ngt.
- Nhm cc khi OB cha chng trnh khi ng v
- Nhm cc khi OB x l li trong h thng.
Cng nh FC, FB, khi OB l khi cha chng trnh, do cng l mt logic
block. im khc bit c bn gia OB vi cc khi khc thuc logic block l OB
khng c gi ch ng thc hin (v d nh bng lnh CALL) m b ng bi
cc tn hiu ngt. Khi nim gi b ng c hiu l v tr cng nh thi im
pht lnh gi khng c lp trnh t trc m hon ton mang tnh ngu nhin.
Gio trnh in t iu khin logic
TRN KIM PHC
127
Chng trnh trong cc khi OB ny cng c th c cc lnh gi khi FC hoc FB
nhng tt nhin khng th gi mt khi OB khc.
Mi khi OB c gi bng mt loi tn hiu ngt. Vy nu xy ra hin tng
xut hin cng mt lc nhiu tn hiu ngt th sao? Trong trng hp nh vy, khi
OB no c th t u tin cao hn s c x l trc v chng trnh trong khi OB
c th t u tin thp hn phi ch ti khi tt c cc khi c u tin cao hn c
x l xong mi n lt c thc hin. Khi OB1 l khi c mc u tin thp nht
v do mi tn hiu ngt u ngt c qu trnh thc hin chng trnh ca khi
OB1.
5.6.4.1- Ngn xp I (I-Stack)
Do cng c gi (b ng bng tn hiu ngt) nn ging nh vic x l lnh
CALL, h iu hnh cn phi ct gi v tr quay v, cc d liu cn thit tip tc
cng vic trong khi m. Ngn xp ct nhng d liu ny c tn l I-Stack. su
ca ngn xp I quyt nh s cc khi chng trnh x l ngt (OB) c ngt lng
nhau v su ny ph thuc vo chng loi ca tng module CPU.
5.6.4.2- Chng trnh ng dng x l ngt
Chng trnh ng dng x l ngt c hiu l loi chng trnh vit cho cc
khi OB v c gi bi cc tn hiu ngt thuc loi:
- c pht ra u n cch u nhau mt khong thi gian nh trc.
- c pht ra ti mt im thi gian nh trc,
- c pht ra t cc module (ngt cng).
Ngt tun t theo thi gian (OB30 OB38)
Ngay khi nhn thy trong chng trnh ng dng c mt trong cc khi OB30
OB38, h thng s t ng tch cc ch pht tn hiu bo ngt gi cc khi ny
vi khong thi gian cch u nhau. Gi tr mc nh cho chu k pht tn hiu bo
ngt ny l 100ms. Ni cch khc, c 100ms th cc khi OB ny c gi v thc
hin mt ln. Tng qut th tt c cc khi trong khong OB30 OB38 u thuc
nhm khi chng trnh x l ngt theo chu k thi gian. Song khng phi module
CPU no cng cho php s dng tt c cc khi OB , chng hn CPU314 ch cho
php s dng OB35.
Trng hp c nhiu khi OB cng x l mt tn hiu bo ngt th ta c th
phn bit chng vi nhau theo th t u tin. Ch s th t u tin c gn cho tng
khi nh phn Step7. Ta cng c th s dng Step7 thay i chu k pht tn hiu
bo ngt.
Gio trnh in t iu khin logic
TRN KIM PHC
128
Ngt ti mt thi im nh trc (OB10 OB17)
Khi OB10 ni ring (v d cho CPU314) v cc khi OB10 OB17 ni chung
(ph thuc chng loi ca module CPU) s c h iu hnh gi mt ln tai mt
thi im nh trc hoc nhiu ln k t thi im cho. Khi c gi nhiu ln
k t thi im c xc nh, ta c th quy nh:
- mi pht mt ln,
- mi ting mt ln,
- mi ngy mt ln,
- mi tun mt ln,
- mi thng mt ln v
- mi nm mt ln.
Ngt cng (OB40 OB47)
y l loi tn hiu bo ngt c pht t module m rng (module I/O,
AI/AO, CP hay FM) hoc t cc cng vo ra s onboard (ca module CPU IFM).
Ch bo ngt cng ny thng c s dng trong cc chng trnh iu khin
m i hi phi c s p ng nhanh vi tn hiu t ngoi a vo.
Khng phi mi loi module I/O, AI/AO m rng u c kh nng pht tn
hiu bo ngt. Thm ch i vi ngay c nhng module c bit c kh nng pht tn
hiu ngt cng th ta cng phi t tham s ch lm vic ngt cng cho n :
- Hoc bng cng c phn mm Simatic Manager (cho module I/O, AI/AO)
hay bng cc phn mm km theo ca module (CP, FM),
- Hoc nh cc hm ca h thng nh :
+ SFC55 (tn hnh thc WR_PARM) ghi tham s t cu hnh cho
module,
+ SFC56 (tn hnh thc WR_DPARM) sa i mt vi tham s cu
hnh ca module trong ch RUN,
+ SFC57 (tn hnh thc PARM_MOD) sa i ton b tham s cu
hnh ca module.
Mt iu c bit ca ch ngt cng l trong khong thi gian thc hin
chng trnh ca OB40 (hoc ca cc khi OB41 OB47) h thng s khng nhn
v khng x l bt c mt tn hiu ngt cng no khc.
Gio trnh in t iu khin logic
TRN KIM PHC
129
Khai bo cho PLC S7-300:


1. To mt Project mi





2. t tn cho Project
















3. Khai bo PLC












4. M khai bo phn cng








Kch vo NEW
a vo mt tn mi
Gio trnh in t iu khin logic
TRN KIM PHC
130
5. Bt u vi thanh Rail















6. Chn cc thnh phn































7. Thng s PLC
Gio trnh in t iu khin logic
TRN KIM PHC
131












































9. Thi gian
gim st vng qut
8. Thuc tnh ca
giao din MPI
10. Download xung PLC

Save and Complie
Gio trnh in t iu khin logic
TRN KIM PHC
132
11. Chn khi






























12. M khi v vit chng trnh: Kch p vo FC1















12. t tn cho khi
Gio trnh in t iu khin logic
TRN KIM PHC
133
13. Vit bng STL

















14. Ghi khi v Dowload xung PLC

15. M khi OB1















16. Gim st lm vic.

You might also like