You are on page 1of 10

PRACTICA No.

2 COMPARADORES DE 2 BITS

MATERIA: DISEO DIGITAL 2012

SEMESTRE FEBRERO-JUNIO DEL

NOMBRE DE LOS ALUMNOS: 1.-__________________________________________________________________ 2.- __________________________________________________________________ 3.- __________________________________________________________________

OBJETIVO GENERAL DE LA PRCTICA:

Que el estudiante adquiera las competencias en el diseo de comparadores e identifique sus caractersticas combinacionales que lo hacen un circuito de alto uso en la electrnica digital.

EVALUACION: __________

CATEDRATICO: ING MIGUEL ANGEL PEREZ SOLANO

INSTITUTO TECNOLOGICO DE OAXACA

I.

Objetivos especficos.

Tener claro el concepto de circuitos combinacionales. Describir como es el funcionamiento de un circuito comparador de 1 bit. Construir una tabla de verdad para la descripcin de un comparador de 2 bits. Entender el modelo matemtico de una compuerta con 2 entradas de n bits Investigar en la pagina de Texas instruments http://upgrade.kongju.ac.kr/data/ttl/func.html circuitos de glue logic (los clsicos circuitos integrados 74xx) realizan la funcin lgica de comparacin (comparadores). Interpretar las formas de onda presentadas a la salida de un comparador de cualquier caracterstica, a la aplicacin de estmulos (0s y 1s) en sus diversas entradas. Saber modelar en VDHL un comparador de cualquier caracterstica a sus entradas. Sintetizar el archivo de un comparador de 2 entradas de 4 bits utilizando XST (del ISE Webpack de Xilinx). Implementar un comparador de dos entradas de 4 bits Basys 2, utilizando el FPGA XC3S100E de la familia Spartan 3E de Xilinx.

II. III.

MATERIAL Y SOFTWARE UTILIZADO Tarjeta Basys 2 de Xilinx. ISE Webpack Adept. FUNDAMENTO TEORICO

Primeramente estableceremos que un circuito lgico no es mas que una asociacin de compuertas (como las vistas en la practica 1) que realizan un funcin lgica. Todo el conjunto de circuitos lgicos que contiene un sistema digital, se clasifican en circuitos lgicos combinacionales y circuitos lgicos secuenciales.

CATEDRATICO: ING MIGUEL ANGEL PEREZ SOLANO

INSTITUTO TECNOLOGICO DE OAXACA

Un circuito lgico combinacional, es aquel cuyo valor de salida solo depende de los valores aplicados a su entrada (nicamente de eso y de nada mas). Esto se ilustra en la figura 2.1

Figura 2.1 Esquema de un circuito lgico combinacional

Un circuito lgico combinacional, es aquel cuyo valor de salida siguiente depende tanto de los valores aplicados a la entrada, como del estado presente a la salida. Se caracterizan por utilizar retroalimentacin de la salida a la entrada y una seal de reloj (clk). Un circuito secuencial est compuesto por lgica combinacional mas un bloque de memoria que almacena el estado presente y lo alimenta nuevamente a la entrada para genera el estado siguiente cuando el clk lo determine. Esto se muestra en la figura 2.2

Figura 2.2 Diagrama a bloques de un circuito lgico secuencial

COMPARADORES:

CATEDRATICO: ING MIGUEL ANGEL PEREZ SOLANO

INSTITUTO TECNOLOGICO DE OAXACA

Una actividad comn en el diseo de sistemas digitales es comparar dos nmeros de cualquier numero de bits, y producir una indicacin si son iguales o si uno es mas grande que otro. La OR-exclusiva produce un 1 si las dos entradas (de 1 bit) son diferentes en caso contrario emite un 0, como se muestra en la figura 2.3.
Figura 2.3 XOR como comparador

Los nmeros de n bits (multibit) son distintos si cualquiera de los pares de entrada son distintos. El circuito de la figura 2.4 muestra un comparador de 4 bits utilizando compuertas XNOR y una AND. La salida de la AND es 1 si los nmeros son iguales, pero si cualquier par es diferente, ser suficiente para llevar a la AND a un valor de 0 Estos comparadores pueden extenderse a cualquier numero de bits (comparadores de 2 entradas y cada entrada de n bits).

Figura 2.4 Comparador de 4 bits

Un comparador es un circuito lgico combinacional. Para construir un comparador de 4 bits que indicara; igual a, mayor que y menor que, tendremos que seguir un proceso de diseo digital, como sigue.

a) Diagrama a bloques: Aqu se definen las variables booleanas de entrada y salida como se muestra en la figura 2.5. Como se muestra, las variables de entrada son x,y , cada una de 4 bits. Las salidas son de 1 bit, los cuales indicaran con un 1 si las dos cantidades son iguales, o cual es mayor que la otra.

Figura 2.5 Definicin de las variables

CATEDRATICO: ING MIGUEL ANGEL PEREZ SOLANO

INSTITUTO TECNOLOGICO DE OAXACA

Como se lleva esto a un circuito lgico fsicamente: existen 4 formas:

Construirlo mediante compuertas lgicas: Es es un mtodo muy arcaico pero es bueno para el razonamiento lgico, se podra trabajar hasta el nivel de simulacin.

Mediante lgica estndar TTL, se utiliza el circuito integrado SN7485, el cual es un comparador de 4 bits (actualmente un mtodo en desuso por las limitantes de los circuitos integrados) .

Utilizando PLDs. Mediante un proceso de diseo asistido por computadora (CAD) y utilizando herramientas para la automatizacin de diseo electrnico (EDA), podemos configurar una SPLD (dispositivo lgico programable simple) o un CPLD (dispositivo lgico programable complejo). Aunque con limitantes este mtodo esta en uso limitado. Una de las actuales formas del diseo electrnico digital es mediante el uso de los FPGAs (arreglos de compuertas programables en el campo). Mtodo que seguiremos ya que es la forma actual de disear utilizando un lenguaje de descripcin de hardware (HDL) conocido como VHDL (lenguaje de descripcin de hardware para circuitos integrados de muy alta velocidad). ACERCA DE VHDL

CATEDRATICO: ING MIGUEL ANGEL PEREZ SOLANO

INSTITUTO TECNOLOGICO DE OAXACA

El conocimiento del lenguaje estandarizado VHDL se ha convertido en algo imprescindible para todos los estudiantes, diseadores e ingenieros que estn de alguna manera ligados al desarrollo de sistemas electrnicos digitales.

La evolucin espectacular de la tecnologa y del diseo microelectrnico ha permitido la realizacin de sistemas electrnicos digitales complejos en un nico circuito integrado de escala de integracin progresivamente elevada (muy alta (VLSI), ultra alta (ULSI), giga (GSI)) que llegan a contener en su interior ms de 1.000.000 puertas lgicas. Pero esto slo se ha podido llevar a cabo mediante un cambio profundo de las tcnicas de diseo de circuitos integrados.

Los circuitos de escala de integracin media y alta (MSI y LSI respectivamente) se disearon mediante la realizacin de un prototipo formado por mdulos ms sencillos y la comprobacin de su funcionamiento antes de proceder a la integracin. Esta forma de diseo recibe el nombre de abajo a arriba (bottom-up) porque se enlazan diversos mdulos para constituir un bloque funcional ms complejo. Pero en el caso de los circuitos integrados de complejidad VLSI y superiores no resulta prctica la realizacin fsica de un prototipo y por ello es necesario simular y verificar su correcto comportamiento antes de integrarlos. Ello trajo consigo la necesidad del desarrollo de mtodos de diseo asistido por computadora, divididos en varias fases que, a partir de la especificacin del funcionamiento, llevan hasta la descripcin fsica del circuito, por lo que reciben el nombre de arriba a abajo (top-down). As, mediante simulacin es posible una rpida deteccin de errores en fases tempranas del diseo, resulta factible la reutilizacin del mismo para diferentes tecnologas y se pueden utilizar las herramientas de sntesis actuales para obtener rpidamente un esquema lgico o estructural y, en definitiva, una netlist* de entrada para el trazado fsico (layout) del ASIC, MCM, etc. o la asignacin de recursos (mapping) en el caso de la lgica programable (PLDs y FPGAs).Todo ello, obviamente, incrementa la productividad y la eficacia del diseo.

IV.

METODOLOGIA DE LA PREPARACION DE LA PRACTICA

a)

b)

Utilizando el estilo funcional de programacin escribiremos un archivo fuente (source) en ISE Webpack de Xilinx llamado comp4bits.vhd dentro de un proyecto que llamaremos comparadores, el cul se muestra en la seccin siguiente de resultador. Ver figura 2.6 Posteriormente se procede a sintetizar el archivo .vhd (usando la opcin sinthezise XST), proceso que reconoce el hardware necesario que requerir el diseo de la FPGA (crea el netlist). Como paso siguiente se procede a la implementacin del circuito (utilizando implement design) en donde se har el translate, el map y el place & route de la FPGA para asignarles los IOUs.

c)

CATEDRATICO: ING MIGUEL ANGEL PEREZ SOLANO

INSTITUTO TECNOLOGICO DE OAXACA

d)

Se procede a la simulacin del diseo, el cual se describir en la seccin de resultados y conclusiones de la practica. En este paso se crea un archivo tesbench para los estmulos del circuito como se muestra en los resultados. Ver figura 2.7 y 2.8 Se procede a asignarle las patillas especificas de entrada (switches) y salidas (LEDs) utilizando la aplicacion Plan Ahead en la opcin de user constraints>I/O pin planning (plan ahead) presyntesis. Aca se utiliza el archivo .ucf o lo genera automticamente la aplcacion. Ver figura 2.9 Por ultimo se genera el archivo de programacin ( generate program file) en cual tendr una extensin .bit, el cual mediante el software adept se utilizara para programas el FPGA.

e)

f)

V.- Resultados y Conclusiones de la Prctica A continuacin se muestra la pantalla del programa fuente (source), el cual ser sintetizado con el XST de Xilinx.

Figura 2.6 Pantalla del archivo fuente ( source).

Posteriormente se muestran las pantallas de los archivos de simulacin (el tesbench y el de waveforms).

CATEDRATICO: ING MIGUEL ANGEL PEREZ SOLANO

INSTITUTO TECNOLOGICO DE OAXACA

Figura 2.7 Archivo testbench para el comparador de 4 bits.

A continuacin se presenta los resultados de la simulacin del comparador de 4 bits aplicndole el tesbench anterior. En dichas formas de onda se nota claramente el funcionamiento del circuito a la aplicacin de valores de entrada y la respuesta de cada salida-

CATEDRATICO: ING MIGUEL ANGEL PEREZ SOLANO

INSTITUTO TECNOLOGICO DE OAXACA

Figura 2.8 Pantalla de la formas de onda en la simulacin Una vez hecho esto, se procede a asignar las patillas correspondientes de acuerdo a como esta conectado el FPGA en la tarjeta Basys-2, para ello se utiliza el siguiente archivo .ucf.

Figura 2.9 Archivo de constraints .ucf

Y por ultimo se genera el archivo de generacin ( .bit) que servir para que con adept se baje a la tarjeta del FPGA. Una vez hecho se esto, se realizan las pruebas en la computadora para su comprensin.

CATEDRATICO: ING MIGUEL ANGEL PEREZ SOLANO

INSTITUTO TECNOLOGICO DE OAXACA

VI.- Fuentes de informacin (Referencias bibliogrficas y sitios internet)

www.xilinx.com www.ti.com

LIBRO: Fundamentos de lgica digital con diseo VHDL Stephen Brown, Zwonko Vranesivc Mc Graw Hill.

SUERTE MUCHACHOS USTEDES PUEDEN LOGRAR LO QUE SE PROPONEN PRINCIPALMENTE SON JOVENESSolano

VII.- ANEXOS (colocar ac datos que requieran agregar, extra al trabajo)

CATEDRATICO: ING MIGUEL ANGEL PEREZ SOLANO

INSTITUTO TECNOLOGICO DE OAXACA

You might also like