You are on page 1of 161

Ph. D.

DISSERTATION
Modeling of Quantum Transport in
Nano-Scale MOSFET Devices
(1'. . MOSFET .^'
_ 7 _ '. - )
BY
Seonghoon Jin
FEBRUARY 2006
SCHOOL OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE
COLLEGE OF ENGINEERING
SEOUL NATIONAL UNIVERSITY
Modeling of Quantum Transport in Nano-Scale
MOSFET Devices
(1'. . MOSFET .^'
_ 7 _ '. - )
OZ 1 `
^ ` 3 3 .~.
2005 10_
'-3 3 13
Y
Y 33 `
2005 12_
a 5 ()
9 a 1 ` ()
()
()
()
Modeling of Quantum Transport in Nano-Scale
MOSFET Devices
by
Seonghoon Jin
Submitted to the School of Electrical Engineering and
Computer Science
in partial fulllment of the requirements for the degree of
Ph.D. in Electrical Engineering
at
SEOUL NATIONAL UNIVERSITY
February 2006
c _ Seoul National University 2006
Committee in Charge:
Hong Shick Min, Chairman
Young June Park, Vice-Chairman
Byung-Gook Park
Hyungcheol Shin
Keunho Lee
To my family
Abstract
Based on the nonequilibrium Greens function (NEGF) formalism, we have developed a
three-dimensional (3D) simulation framework capable of handling quantum transport
in nano-scale MOSFET devices within the eective mass and Hartree approximations.
We include electron-phonon interactions in our simulation framework to study the
inuence of microscopic scattering mechanisms on the electronic transport of nano-scale
MOSFET devices. We obtain the spatially local self-energy functions for the intravalley
and intervalley phonon scattering mechanisms from the deformation potential theory
and the self-consistent Born approximation. To make the 3D quantum simulation
practicable, we reduce the computational complexity of the NEGF formalism by using
the mode space approach, which is appropriate for the devices whose cross section is
relatively uniform along the transport direction.
We rst consider two extreme cases of our NEGF formalism: the long channel limit
and the short channel limit. For the long channel limit, we derive an expression for the
low eld mobility from the NEGF formalism, and verify that our derived expression
is consistent with the Kubo-Greenwood formula. Using the expression, we calculate
the low eld mobility in the inversion layer of nanowire transistors, and study its gate
bias and temperature dependence. For the short channel limit, we study the ballistic
transport of nanowire transistors using the NEGF formalism and the semi-classical
Boltzmann transport equation, which gives the maximum available performance of
i
nano-scale MOSFET devices. Finally, we study the quantum transport of nanowire
transistors in the presence of the electron-phonon scattering mechanisms. We study
the inuence of the electron-phonon interactions on the performance of the nanowire
transistor in detail.
Keywords
MOSFET, quantum transport, nonequilibrium Greens function formalism, electron-
phonon interactions, scattering, semiconductor devices, device simulations, quasi-ballistic
transport, nanowire transistor
Student Number: 2001-21577
ii
Contents
1 Introduction 1
1.1 Scaling of CMOS Devices and Modeling Issues . . . . . . . . . . . . . . 1
1.2 Classication of Transport Models . . . . . . . . . . . . . . . . . . . . . 2
1.3 Overview of NEGF Formalism . . . . . . . . . . . . . . . . . . . . . . . 4
1.4 Outline of the Thesis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
2 Quantum Theory of Electron Transport in Silicon Including Electron-
Phonon Interactions 8
2.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
2.2 Second Quantization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
2.3 Hamiltonian of the System . . . . . . . . . . . . . . . . . . . . . . . . . 11
2.3.1 Hamiltonian of Noninteracting Electrons . . . . . . . . . . . . . . 11
2.3.2 Hamiltonian of Free Phonons . . . . . . . . . . . . . . . . . . . . 13
2.3.3 Electron-Phonon Interaction Hamiltonian . . . . . . . . . . . . . 13
2.4 Introduction to Contour-Ordered Green Function . . . . . . . . . . . . . 14
2.4.1 Schrodinger, Interaction, and Heisenberg Pictures . . . . . . . . . 15
2.4.2 Density Operator and Expectation Value of Observables . . . . . 17
2.4.3 Contour-Ordered Green Function and Dyson Equation . . . . . . 18
2.5 Steady-State Quantum Kinetic Equations . . . . . . . . . . . . . . . . . 25
iii
CONTENTS
2.5.1 Derivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
2.5.2 Fourier Transformation of Time Variables . . . . . . . . . . . . . 27
2.5.3 Properties of Green Functions and Self-Energy Functions . . . . 28
2.5.4 Relation to Observable Quantities . . . . . . . . . . . . . . . . . 30
2.6 Treatment of Electron-Phonon Scattering . . . . . . . . . . . . . . . . . 32
2.6.1 Self-Energy for Intravalley Phonon Scattering . . . . . . . . . . . 34
2.6.2 Self-Energy for Intervalley Phonon Scattering . . . . . . . . . . . 37
2.7 Quantum Kinetic Equations Applied to Electron-Phonon Scattering . . 38
2.7.1 Quantum Kinetic Equations . . . . . . . . . . . . . . . . . . . . . 38
2.7.2 Current Density . . . . . . . . . . . . . . . . . . . . . . . . . . . 39
2.7.3 Low Bias Condition and Linear Response . . . . . . . . . . . . . 41
2.8 A Simple Example: Innite 1D Wire . . . . . . . . . . . . . . . . . . . . 42
2.8.1 Retarded Green Function . . . . . . . . . . . . . . . . . . . . . . 42
2.8.2 Linear Response of Current in a Uniform Electric Field . . . . . 43
2.9 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
3 Implementation of Quantum Transport Model for the 3D Simulation
of MOSFET Devices 48
3.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48
3.2 Mode Space Representation . . . . . . . . . . . . . . . . . . . . . . . . . 49
3.2.1 Separation of Hamiltonian and Mode Space Basis Function . . . 51
3.2.2 Equation for Retarded Green Function . . . . . . . . . . . . . . . 51
3.2.3 Observable Quantities . . . . . . . . . . . . . . . . . . . . . . . . 55
3.2.4 Self-Energy Functions for Phonon Scattering . . . . . . . . . . . 56
3.3 Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57
3.3.1 Finite-Dimensional Equation for the Retarded Green Function . 57
3.3.2 Retarded Green Function in the Semi-Innite Lead . . . . . . . . 60
iv
CONTENTS
3.3.3 Observable Quantities . . . . . . . . . . . . . . . . . . . . . . . . 62
3.4 Calculation of 2D Bound States in the Cross-Section . . . . . . . . . . . 63
3.5 Self-Consistent Calculation . . . . . . . . . . . . . . . . . . . . . . . . . 67
3.6 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70
4 Calculation of Low Field Mobility in the Inversion Layer of Silicon
Nanowire Transistors 72
4.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
4.2 Calculation of Low Field Mobility . . . . . . . . . . . . . . . . . . . . . . 73
4.2.1 Low Field Mobility in the Uniform Nanowire . . . . . . . . . . . 73
4.2.2 NEGF Approach . . . . . . . . . . . . . . . . . . . . . . . . . . . 74
4.2.3 Semi-Classical Approach . . . . . . . . . . . . . . . . . . . . . . . 75
4.2.4 Relation Between Momentum Relaxation Time in the Semi-Classical
Formalism and Phase Relaxation Time in the NEGF Formalism 78
4.3 Simulation Results and Discussion . . . . . . . . . . . . . . . . . . . . . 79
4.4 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81
5 Simulation of Ballistic Transport in Nanowire Transistors 87
5.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87
5.2 Boltzmann Transport Equation in the Ballistic Limit . . . . . . . . . . . 88
5.3 Structure of Nanowire Transistor . . . . . . . . . . . . . . . . . . . . . . 92
5.4 Simulation Results and Discussion . . . . . . . . . . . . . . . . . . . . . 94
5.5 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96
6 Simulation of Quantum Transport in Nanowire Transistor including
Electron-Phonon Scattering 107
6.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107
6.2 Simulation Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108
v
CONTENTS
6.3 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112
7 Conclusion 124
7.1 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124
7.2 Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125
vi
List of Tables
1.1 ITRS prediction of feature size and physical gate length in the long term
year. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2
2.1 Model parameters for the intravalley phonon scattering in silicon. . . . . 36
2.2 Model parameters for the intervalley phonon scattering in silicon. . . . . 36
vii
List of Figures
1.1 Classication of existing transport models. . . . . . . . . . . . . . . . . . 3
1.2 Calculation procedure of the NEGF formalism. . . . . . . . . . . . . . . 5
2.1 Ellipsoidal band structure and the eective masses for silicon conduction
band. In this work, we use the parabolic, ellipsoidal band, and the
momentum space and the real space are aligned. . . . . . . . . . . . . . 12
2.2 The contour C
t
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
2.3 The contour C. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19
2.4 Feynman diagram of (a) the perturbation expansion of the contour-
ordered Green function, (b) the Dyson equation within the self-consistent
Born approximation, and (c) the self-energy function within the self-
consistent Born approximation. . . . . . . . . . . . . . . . . . . . . . . . 20
2.5 The Keldysh contour C
K
. . . . . . . . . . . . . . . . . . . . . . . . . . . 21
2.6 Four dierent cases about the locations t and t

in C
K
: (a) t C
+
, t


C
+
, (b) t C

, t

C
+
, (c) t C
+
, t

, and (d) t C

, t

. . 22
2.7 Feynman diagram for the electron-phonon interaction within the self-
consistent Born approximation. . . . . . . . . . . . . . . . . . . . . . . . 32
2.8 Two kinds of selection rules for the intervalley phonon scattering mech-
anisms: (a) g-type and (b) f-type. . . . . . . . . . . . . . . . . . . . . . . 36
viii
LIST OF FIGURES
2.9 Calculation of net current ux from
1
to
2
through . . . . . . . . . . 41
2.10 (a) The real part and (b) the imaginary part of the retarded Green
function of the innite 1D wire. . . . . . . . . . . . . . . . . . . . . . . . 44
2.11 (a) The absolute value of the retarded Green function and (b) the den-
sity of states of the innite 1D wire. The classical density of states
corresponds to the limit when . The density of states are getting
smoother as the phase relaxation time decreases. . . . . . . . . . . . . . 45
3.1 Schematics of the 3D domain for the quantum simulation. Whereas
the domain has arbitrary shape in the x-y cross-section, it is relatively
uniform along the z-direction (the shape of the x-y cross-section does not
change). The domain is partitioned into a device (
0
) and semi-innite
source and drain leads (
s
and
d
). In the source and drain leads, the
potential energy are uniform along the z-direction, and each lead is in
equilibrium state with Fermi-energy equal to
s
and
d
, respectively.
The boundaries of the device region between the source and drain leads
are
s
and
d
, and the rest of boundary is denoted by
0
. . . . . . . . . 50
3.2 Discretization of the domain along the z-direction. Uniform grid of spac-
ing a is used. The number of z-slices within the device region is N
z
. . . 52
3.3 (a) The original innite dimensional matrix including source lead, device,
and drain lead, and (b) equivalent nite dimensional matrix including
only the device with open boundary condition. . . . . . . . . . . . . . . 58
3.4 The real and imaginary parts of (a) two poles (W
1
and W
2
) and (b)
ta 0, m[ G
s
(E) [0, m) as we increase the real part of . In the calculation,
we choose t and /2
m
equal to 0.6096 and 0.0033 eV, respectively. . . . 60
ix
LIST OF FIGURES
3.5 (a) Triangulation of 2D domain, (b) linear shape function
i
for node
i, (c) Acute triangular mesh element, and (d) obtuse triangular mesh
elements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
3.6 Iteration between the Poisson equation and the transport model for ob-
taining the self-consistent solution of the electrostatic potential and elec-
tron density. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68
3.7 Flow chart illustrating the simulation procedure of the quantum trans-
port using the mode-space approach. . . . . . . . . . . . . . . . . . . . . 71
4.1 Structure of simulated DGFET and its 2D cross-section. The gate ma-
terial is the ideal n
+
polysilicon whose work function is equal to the
conduction band edge. The oxide thickness is 1nm and the p-type sub-
strate doping is 210
16
/cm
3
. The thickness and the width of the silicon
substrate are denoted by t
si
and w
si
, respectively, and four cases of t
si
/w
si
(15nm/15nm, 10nm/10nm, 5nm/5nm, and 3nm/3nm) are considered. . 82
4.2 Electron density at the x-y cross-section of the DGFETs with t
si
/w
si
equal to (a) 5nm/5nm, (b) 10nm/10nm, and (c)15nm/15nm when V
G
=
1 V. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83
4.3 Eective phonon-limited mobility as a function of (a) gate bias and
(b) eective eld for the DGFETs with t
si
/w
si
equal to 15nm/15nm,
10nm/10nm, 5nm/5nm, and 3nm/3nm. We also plot the measured uni-
versal phonon-limited mobility in the planar MOSFET device. . . . . . 84
4.4 Temperature dependence of eective phonon-limited mobility for the
DGFETs with t
si
/w
si
= 10 nm/10 nm. We also plot the measured
temperature dependence in the planar MOSFET device. . . . . . . . . . 85
x
LIST OF FIGURES
4.5 The density of states and the energy spectrum of electron density of
the DGFETs with t
si
/w
si
equal to (a) 5nm/5nm, (b) 10nm/10nm, and
(c)15nm/15nm. In the graph, the Fermi-energy is the reference of energy
( = 0 eV). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86
5.1 Schematic diagram of the (a) subband energy level along the z direction
and (b) the phase portrait of the electron motion in the subband, where
z
p
is the z position at the peak of the subband energy level. . . . . . . . 90
5.2 Occupation probability of electrons in the ballistic limit when (a) z < z
p
and k
z
> 0, (b) z < z
p
and k
z
< 0, (c) z > z
p
and k
z
> 0, and (d) z > z
p
and k
z
< 0. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91
5.3 The structure of the nanowire transistor considered in this chapter. . . . 93
5.4 I
D
versus V
G
characteristics of the nanowire transistor with (a) L
G
=
7 nm and (b) L
G
= 15 nm in the ballistic limit obtained from the NEGF
formalism and the BTE. . . . . . . . . . . . . . . . . . . . . . . . . . . . 97
5.5 I
D
versus V
D
characteristics of the nanowire transistor with (a) L
G
=
7 nm and (b) L
G
= 15 nm in the ballistic limit obtained from the NEGF
formalism and the BTE. . . . . . . . . . . . . . . . . . . . . . . . . . . . 98
5.6 The electron density along the z direction for the nanowire transistor
with (a) L
G
= 7 nm and (b) L
G
= 15 nm obtained from the NEGF
formalism and the BTE. . . . . . . . . . . . . . . . . . . . . . . . . . . . 99
5.7 The average electron velocity along the z direction for the nanowire
transistor with (a) L
G
= 7 nm and (b) L
G
= 15 nm obtained from the
NEGF formalism and the BTE. . . . . . . . . . . . . . . . . . . . . . . . 100
5.8 Squared magnitude of the wavefunctions of three valleys and ve modes
at the source section. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101
xi
LIST OF FIGURES
5.9 Subband energy levels of the nanowire transistor along the z-direction
in the (a) valley 1 and (b) valley 3 (L
G
= 7 nm). . . . . . . . . . . . . . 102
5.10 1D subband electron density of the nanowire transistor along the z-
direction in the (a) valley 1 and (b) valley 3 (L
G
= 7 nm). . . . . . . . . 103
5.11 The cross sections of three nanowire transistors that have slightly dier-
ent gate shape. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104
5.12 Inuence of the gate shape on the (a) I
D
versus V
G
and (b) I
D
versus
V
D
characteristics of the nanowire transistor (L
G
= 7 nm). The results
are obtained from the NEGF formalism. . . . . . . . . . . . . . . . . . . 105
5.13 Conduction band edge in the y-z plane (x=0 nm) and x-y plane (z=0
nm) of device A, B, and C when V
G
= 0.4 V and V
D
= 0.5 V. . . . . . 106
6.1 Phonon-limited low eld mobility of electrons in the inversion layer of
the nanowire transistor in the long channel limit (solid curve) and the
corresponding electron density (dashed curve). We consider two dierent
values of the deformation potential constant (8.93 and 14.6 eV). . . . 113
6.2 Comparison of the I
D
versus V
G
characteristics of the nanowire transistor
in the ballistic limit (dashed curve) and in the presence of the phonon
scattering mechanisms (solid curve) when V
D
= 0.5 V. . . . . . . . . . . 114
6.3 Comparison of the I
D
versus V
D
characteristics of the nanowire tran-
sistor in the ballistic limit (dashed curve) and in the presence of the
phonon scattering mechanisms (solid curve) for three dierent gate volt-
ages (V
G
= 0.1, 0.2, and 0.3 V). . . . . . . . . . . . . . . . . . . . . . . 115
6.4 The gate length dependence of the on-current (V
G
= 0.3 V and V
D
=
0.5 V) in the ballistic limit (dashed curve) and in the presence of the
phonon scattering (solid curve). The ratio of the on-current is also plot-
ted (solid curve with square symbol). . . . . . . . . . . . . . . . . . . . . 116
xii
LIST OF FIGURES
6.5 Average electron velocity and the electron density of the nanowire tran-
sistor along the z-direction in the ballistic limit (dashed curve) and in
the presence of the phonon scattering mechanisms (solid curve) when
V
G
= 0.3 V and V
D
= 0.5 V. . . . . . . . . . . . . . . . . . . . . . . . . . 117
6.6 Subband energy levels of the nanowire transistor along the z-direction in
the presence of the phonon scattering mechanisms when V
G
= 0.3 V and
V
D
= 0.5 V. Note that the subbands in the valley 1 (solid curve) and
2 (crosses) are nearly degenerate. Also, the second and third subbands
as well as the fourth and fth subbands in the valley 3 (triangles) are
nearly degenerate. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118
6.7 One-dimensional subband electron density of the nanowire transistor
along the z-direction (a) in the ballistic limit and (b) in the presence of
the phonon scattering mechanisms when V
G
= 0.3 V and V
D
= 0.5 V.
Note that the subband electron densities in the ballistic limit for the
valley 3 and mode 4 and 5 are not shown, since they are too small (less
than 10
2
/cm). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119
6.8 Local density of states [in (10
10
/eV m)] of the nanowire transistor along
the z-direction (a) in the ballistic limit and (b) in the presence of the
phonon scattering mechanisms when V
G
= 0.3 V and V
D
= 0.5 V. . . . . 120
6.9 Energy spectrum of the one-dimensional electron density [in (10
8
/eV m)]
in the nanowire transistor along the z-direction (a) in the ballistic limit
and (b) in the presence of the phonon scattering mechanisms when
V
G
= 0.3 V and V
D
= 0.5 V. . . . . . . . . . . . . . . . . . . . . . . . . . 121
6.10 Occupation probability of electrons at the source contact and at the
drain contact in the presence of the phonon scattering mechanisms when
V
G
= 0.3 V and V
D
= 0.5 V. . . . . . . . . . . . . . . . . . . . . . . . . . 122
xiii
LIST OF FIGURES
6.11 Comparison of the energy spectrum of the current in the ballistic limit
(solid curve) and in the presence of the phonon scattering mechanisms
at the source contact (dashed curve) and at the drain contact (dotted
curve) when V
G
= 0.3 V and V
D
= 0.5 V. Note that the energy spectrum
of the current is independent of z coordinate in the ballistic limit. . . . . 123
xiv
Chapter 1
Introduction
1.1 Scaling of CMOS Devices and Modeling Issues
In the integrated circuit (IC) technology, the exponential down-scaling of CMOS device
dimensions has been consistently pursued over the last 30 years to increase the packing
density and the performance of ICs [1, 2]. According to the ITRS roadmap, the MPU
physical gate length will be scaled down as small as 7 nm by the year 2018 as shown
in table 1.1 [3].
As the device feature size enters into the nano-scale, we are confronted with var-
ious challenges in the modelling and simulation of carrier transport in MOSFET de-
vices. First, three-dimensional (3D) simulation capability is strongly required, since
the conventional planar, single-gate structure is gradually being replaced by several
kinds of non-planar, multiple-gate structures [412] to suppress various short-channel
eects such as the drain-induced barrier lowering (DIBL) and the threshold voltage roll-
o [13]. Moreover, as the various characteristic dimensions of MOSFET devices begin
to be comparable to the size of the wave packet of electrons, we must include quan-
tum mechanical eects in the calculation of carrier transport. Since most of currently
1
Classication of Transport Models
Table 1.1: ITRS prediction of feature size and physical gate length in the long term
year.
Year of production 2012 2013 2014 2015 2016 2017 2018
MPU half pitch (nm) 35 32 28 25 22 20 18
Physical gate length (nm) 14 13 11 10 9 8 7
available transport models for the device simulation are derived from the semi-classical
Boltzmann transport equation [1425], they have to be corrected to include some im-
portant features of the quantum eects, or totally replaced by more rigorous quantum
transport models. Also, as the channel length of nano-scale MOSFET devices becomes
comparable to the mean free path, a signicant portion of electrons injected from the
source to channel do not experience scattering before they exit the channel [2528].
Therefore, the carrier transport is quasi-ballistic, and the carrier distribution func-
tion inside the channel in the operation condition is far from that of the equilibrium
condition. Therefore, the information contained in the macroscopic variables is not
sucient to reproduce the highly nonequilibrium distribution function observed in the
quasi-ballistic transport condition, and it is very dicult to obtain satisfactory results
from the macroscopic transport models [1424, 27, 29].
1.2 Classication of Transport Models
In Fig. 1.1, we classify existing transport models according to their applicability and
whether they are derived from the quantum mechanical formalism or semi-classical
formalism. Roughly speaking, when the channel length is larger than 0.1 m, we can
apply the macroscopic transport models that involve macroscopic variables such as
the average electron density, velocity, energy, and electron temperature. Among the
semi-classical transport models, the drift-diusion model, hydrodynamic model, and
2
Classication of Transport Models
obtain pure state (r,t)
-NEGF (no scattering)
-Schrdinger equation
obtain mixed state
(r
1
,t
1
;r
2
,t
2
)
-NEGF
-Wigner function
-Pauli master equation
obtain n, v, E, T, V
q
, etc.
-density-gradient
-effective potential
Quantum
mechanical
description
obtain distribution
f(r,k,t) from ballistic
BTE
-analytic solution
-moment equation
obtain distribution
f(r,k,t) from BTE
-MC simulation
-direct calculation
obtain n, v, E, T, etc.
-drift-diffusion
-hydrodynamic
-six moments equation
Semi-classical
description
L < 10 nm
Microscopic variable
Intermediate
Microscopic variable
L > 0.1 m
Macroscopic variable
Figure 1.1: Classication of existing transport models.
six moments equation can be included in this category [1417, 2024, 27, 2931], which
can be obtained from the moments of the Boltzmann transport equation (BTE). On
the other hand, the quantum mechanical macroscopic models can be obtained from the
moments of the Wigner distribution function, which gives quantum correction models
such as the density-gradient model, eective potential approach, and quantum hydro-
dynamic model [3240]. If the channel length is smaller than 0.1 m, the assumptions of
the macroscopic transport models are generally not valid any more. Therefore, we have
to rely on the microscopic transport models. Semi-classically, the BTE should be solved
by the Monte Carlo method [18, 19] or the direct method [4144] to obtain the distri-
bution function f (r, k, t). Quantum mechanically, we have to nd out the information
on the mixed states that is represented by the density operator (r
1
, t
1
; r
2
, t
2
). We can
obtain this information from the nonequilibrium Greens function (NEGF) [4551] or
the Wigner distribution function [5254]. Also, if the chosen basis functions for the
density operator are diagonal with respect to the noninteracting Hamiltonian, we can
3
Overview of NEGF Formalism
use the Pauli master equation that gives the information on the diagonal elements of
the density operator [55, 56]. If the channel length is further scaled down to sub-ten
nanometers, the inuence of the microscopic scattering mechanism becomes less im-
portant. In this limit, we can use the ballistic transport models. Semi-classically, we
can directly obtain the analytical solution of the ballistic Boltzmann transport equa-
tion (also called the Vlasov equation), or we can calculate the moments of the ballistic
Boltzmann transport equation [26, 28, 57]. For the quantum mechanical description, we
can apply the NEGF formalism or directly solve the Schrodinger equation in the open
boundary condition [5862] to obtain the coherent current carrying states.
Since the main purpose of this thesis is making a reliable simulation framework for
the nano-scale MOSFETs, we have to consider microscopic transport model based on
the quantum mechanical formalism, and we will concentrate on the NEGF formalism
in this work.
1.3 Overview of NEGF Formalism
Our quantum transport model is based on the NEGF formalism independently devel-
oped by Keldysh [45] and by Kadano and Baym [46] in 1960s to solve the nonequi-
librium problems in statistical physics, and it is based on the contour-ordered Green
function rst introduced by Martin and Schwinger [63]. To my knowledge, the NEGF
formalism is the most rigorous approach among the existing quantum transport for-
malisms.
The objective of the NEGF formalism is to get the several one-particle Green func-
tions. In the steady-state condition, the governing equations for the retarded (G
r
),
lesser (G
<
), and greater (G
>
) Green functions can be written as
[E H (r
1
)] G
r
(r
1
, r
2
; E)
_
dr
r
(r
1
, r; E) G
r
(r, r
2
; E) = (r
1
r
2
) , (1.1)
4
Overview of NEGF Formalism
Calculation of retarded Green function
Calculation of correlation functions
Calculation of self-energy functions
Converged?
Yes
No
Obtain the observables
Figure 1.2: Calculation procedure of the NEGF formalism.
G

(r
1
, r
2
; E) =
_
dr
_
dr

G
r
(r
1
, r; E)

_
r, r

; E
_
G
r
_
r
2
, r

; E
_
, (1.2)
where H (r) is the one-electron Hamiltonian, and
r
,
>
, and
<
are the self-energy
functions related with the scattering mechanisms. We spend most of the simulation
time to obtain the retarded Green function from (1.1), which contains the information
on the propagation of the electron wavefunction excited by the delta function source in
a space-time. As we can see in (1.1), the propagation of the wavefunction is described
by the Schrodinger-like equation, where the additional term involving the retarded
self-energy function is present due to the scattering mechanism. Since the scattering
mechanism destroys the coherence of the wavefunction, the total probability of the
wavefunction decreases as the wave propagates. Since the number of particles should
be conserved, the loss of wavefunction in one state by the scattering mechanism should
be compensated by the generation of new states. This transition involves an incoherent
5
Outline of the Thesis
process, and the equations for the lesser and greater Green functions (1.2) describe this
process. Therefore, these equations can be interpreted as the quantum kinetic equa-
tions, which are similar to the semi-classical Boltzmann transport equation. After we
obtain the lesser and the greater Green functions from the quantum kinetic equations,
we can obtain the electron and hole density from the diagonal elements of the lesser
and the greater Green functions.
Since the self-energy functions depend on the lesser and the greater Green functions,
iteration procedure is needed to obtain the self-consistent solution. We summarize the
calculation procedure of the NEGF formalism in Fig. 1.2.
1.4 Outline of the Thesis
This thesis is organized as follows. In chapter 2, we review the quantum theory of elec-
tronic transport based on the NEGF formalism within the eective mass and Hartree
approximations. Starting from the Hamiltonian of the electron-phonon system, we de-
rive the quantum kinetic equations, and study their properties. Also, we derive the
necessary self-energy functions for the electron-phonon interactions from the deforma-
tion potential theory within the self-consistent Born approximation. We also provide a
simple 1D wire example, and obtain several expressions that will be used in chapter 4.
In chapter 3, we explain the implementation details of our quantum device sim-
ulator. We describe the mode space approach together with the nite dierence dis-
cretization along the transport direction. Then, we derive the open boundary condi-
tions for the semi-innite source and drain leads. We also explain the nite element
discretization of the Schrodinger equation along the 2D cross-section. Finally, we ex-
plain the iteration scheme between the Poisson and transport equations to obtain the
self-consistent solution.
In chapter 4 and 5, we consider two extreme cases of our NEGF formalism: the long
6
Outline of the Thesis
channel limit and the short channel limit. In chapter 4, we study the low eld mobility
in the inversion Layer of silicon nanowire transistors in the long channel limit. We
derive an expression for the low eld mobility from the NEGF formalism, and verify
that the derived expression is consistent with the Kubo-Greenwood formula. Using
the derived expression, we calculate the low eld mobility in the inversion layer of
nanowire transistors, and study its dependence on the area of the cross-section, the
gate bias, and the lattice temperature. In chapter 5, we study the ballistic transport
in the short-channel nanowire transistors using the NEGF formalism and the semi-
classical formalism. For the semi-classical formalism, we derive the analytic solution of
the ballistic Boltzmann transport equation. We study the similarities and dierences
of the quantum mechanical and semi-classical transport models in the ballistic limit.
We also consider the inuence of gate geometry on the performance of the devices.
In chapter 6, we study the quantum transport of nanowire transistors in the presence
of the electron-phonon scattering mechanisms. We study the inuence of the electron-
phonon interactions on the performance of the nanowire transistor by comparing the
results with those in the ballistic limit.
In chapter 7, conclusions and suggestions for further study will be made.
7
Chapter 2
Quantum Theory of Electron
Transport in Silicon Including
Electron-Phonon Interactions
2.1 Introduction
Various quantum mechanical formulations have been used for the modelling of the
carrier transport in semiconductor devices. These formulations are based either on
the Schrodinger equation [5860, 62], the Pauli master equation [55, 56], the density
matrix [64], the Wigner function [5254], or the Green function [45, 46]. Among these
approaches, the NEGF formalism was rst introduced by Keldysh [45] and by Kadano
and Baym [46] to solve the nonequilibrium problems in statistical physics, which is
based on the contour-ordered Green function formalism rst introduced by Martin and
Schwinger [63].
There are a number of previous researches on the application of NEGF formalism
to the electronic transport problems in semiconductor devices such as the mesoscopic
8
Introduction
systems [65] and the 1D resonant tunneling heterostructures [6668]. Recently, the
NEGF formalism is also applied to the simulation of the electronic transport in the
two- or three-dimensional nano-scale MOSFET devices [57, 61, 6979].
Although there have been many works on the application of the NEGF formalism
to the electronic transport in the semiconductor devices, two-dimensional or three-
dimensional simulation including the microscopic scattering mechanisms still remains
a dicult problem. In [61, 72, 77], a phenomenological model is proposed to mimic the
eects of the scattering mechanisms in terms of the B uttiker probes [80]. In this model,
however, the relation between the microscopic scattering mechanisms and the self-
energy functions is missing. In [6668], the microscopic electron-phonon interactions
are taken into account within the self-consistent Born approximation [48, 50] for the
one-dimensional simulation of heterostructures. In a similar way, the two-dimensional
simulation of MOSFETs is performed including the electron-phonon interactions in
[73] with an additional assumption that the scattering rate does not depend on the
transverse momentum in order to simplify the self-energy functions.
In this chapter, we describe the microscopic quantum theory of electron transport
in silicon devices based on the NEGF formalism. We review the NEGF formalism and
derive its key equations. Especially, we derive spatially local self-energy functions for
the intravalley and intervalley phonon scattering mechanisms using the deformation
potential theory and the self-consistent Born approximation. Several assumptions are
made in this work. We use the eective mass approximation with the ellipsoidal,
parabolic band structure. We only consider the steady-state condition and neglect the
transient eects. Also, we only include the electron-phonon interactions and neglect the
other scattering mechanisms such as the impurity scattering and the surface roughness
scattering. For the electron-electron interactions, we use the Hartree approximation
that assumes that each electron moves independently and sees only the average eld
9
Second Quantization
generated by all the other electrons, where the average eld is obtained from the self-
consistent solution of the Poisson equation. Note that the exchange and correlation
eects are neglected.
This chapter is organized as follows. In section 2.2, we briey introduce the second
quantization. In section 2.3, we describe the Hamiltonian of the system composed of
electrons and phonons. In section 2.4, we introduce the contour-ordered Green function
and derive the Dyson equation. In section 2.5, we derive the quantum kinetic equations
and study their properties. The electron-phonon interactions will be treated in section
2.6, and we apply the obtained self-energy functions to the quantum kinetic equations
in section 2.7. A simple innite 1D wire example will be discussed in section 2.8. In
section 2.9, we conclude this chapter.
2.2 Second Quantization
Since we consider the system composed of many electrons and phonons, it is more
convenient to describe it in terms of the second-quantized operators, which operate in
the abstract occupation-number Hilbert space [81]. The eld operators operating on
this space are dened by
(r)

k
u
k
(r) c
k
, (2.1)

(r)

k
u

k
(r) c

k
, (2.2)
where the operator c
k
annihilates a particle in state k, and the operator c

k
creates
a particle in state k. The wavefunctions u
k
(r) form a complete set of single-particle
eigenfunctions with quantum number k. Therefore, (r) removes a particle from the
state at r and

(r) creates a particle to the state at r.


The operators c
k
and c

satisfy the commutation relations as [81]


[c
k
, c

=
k,k
, (2.3)
10
Hamiltonian of the System
[c
k
, c
k
]

= [c

k
, c

= 0, (2.4)
where [A, B]
+
= AB + BA, [A, B]

= AB BA,
k,k
denotes the Kronecker delta,
and the plus sign refers to Fermions and the minus sign refers to Bosons . Therefore,
the eld operators also satisfy the commutation relations as
[ (r) ,
_
r

=
_
r r

_
, (2.5)
[ (r) ,
_
r

_
]

= [ (r)

,
_
r

= 0, (2.6)
where (r) denotes the Dirac delta function.
2.3 Hamiltonian of the System
The Hamiltonian of the considered system, H, is composed of three dierent compo-
nents as
H = H
e
+H
p
+H
ep
, (2.7)
where H
e
is the Hamiltonian of noninteracting electrons, H
p
is the Hamiltonian of free
phonons, and H
ep
is the electron-phonon interaction Hamiltonian. In this section, we
introduce these components one by one.
2.3.1 Hamiltonian of Noninteracting Electrons
In this work, the Hartree approximation is used to simplify the electron-electron inter-
action. Therefore, the Hamiltonian of noninteracting electrons can be written as [81]
H
e
=
_
dr

(r) [T (r) +U (r)] (r) , (2.8)


where T (r) is the one-electron kinetic energy operator and U (r) is the self-consistent
electrostatic potential energy. Note that H
e
can be diagonalized, which makes it pos-
sible to apply Wicks theorem.
11
Hamiltonian of the System
k
x
k
y
k
z
1
1
2
2
3 3
0.98m
0
0.19m
0
0.19m
0
3
0.19m
0
0.98m
0
0.19m
0
2
0.19m
0
0.19m
0
0.98m
0
1
m
z
m
y
m
x
valley
y
z (transport)
x
Figure 2.1: Ellipsoidal band structure and the eective masses for silicon conduction
band. In this work, we use the parabolic, ellipsoidal band, and the momentum space
and the real space are aligned.
12
Hamiltonian of the System
The expression for the one-electron kinetic energy operator is obtained from the
eective mass approximation. Since we consider the transport of electrons in the con-
duction band of silicon, we use the usual parabolic, ellipsoidal energy band structure,
which is found to be a reasonable approximation even in the nanoscale devices [82].
As shown in Fig. 2.1, there exist six equivalent minima in the conduction band, which
can be grouped into three degenerate valleys according to their eective masses. Also,
we suppose that the momentum space and the real space are aligned. Therefore, the
one-electron kinetic energy operator in the valley v (= 1, 2, 3) can be written as [18]
T
v
(r) =

2
2

x
_
1
m
v
x

x
_


2
2

y
_
1
m
v
y

y
_


2
2

z
_
1
m
v
z

z
_
, (2.9)
where is the reduced Plank constant, and m
v
x
, m
v
y
, and m
v
z
are the eective masses
along the x, y, and z directions in the valley v, respectively.
2.3.2 Hamiltonian of Free Phonons
The Hamiltonian of free phonons can be written as [83]
H
p
=

q
_
a

q
a
q
+
1
2
_
, (2.10)
where
q
, a

q
, and a
q
are the angular frequency, the creation operator, and the
annihilation operator for mode and wavevector q, respectively.
2.3.3 Electron-Phonon Interaction Hamiltonian
In the rst quantization picture, the electron-phonon interaction Hamiltonian felt by
the electrons in the conduction band can be obtained from the deformation potential
theory as [18, 84]
(r) =
y (r)
r
=
3

l=1
3

j=1

lj
y
j
r
l
, (2.11)
13
Introduction to Contour-Ordered Green Function
where y/r is the deformation of the crystal due to the phonons, and is a tensor
representing the shifts of the electron band per unit deformation. In the continuous
medium approximation, the ion displacement eld y can be written in terms of the
phonon creation a

q
and annihilation a
q
operators as
y (r) =

q
_

2V
q
_
1/2 _
a
q
+a

q
_
e
iqr

, (2.12)
where V is the volume of the sample, the density of the crystal, and

its polariza-
tion of mode . Inserting (2.12) into (2.11), we obtain the single-electron interaction
Hamiltonian in terms of the phonon creation and annihilation operators as
(r) =
1

q
M
q
_
a
q
+a

q
_
e
iqr
, (2.13)
where the electron-phonon matrix element M
q
is
M
q
= i
_

2
q
_
1/2 3

l=1
3

j=1

lj
q
l

j
, (2.14)
which has the following property: M
q
= M

q
.
From the obtained single-electron operator for the electron-phonon interaction Hamil-
tonian (2.13), we obtain the second quantized electron-phonon interaction Hamiltonian
as [45, 47, 48, 81]
H
ep
=
_
dr

(r) (r) (r) . (2.15)


2.4 Introduction to Contour-Ordered Green Function
In this section, we introduce the contour-ordered Green function [45, 46, 50, 63, 83,
85], and its perturbation expansion. We also introduce the Dyson equation using the
convenient notation introduced by Craig [86]. Since the valley index does not have any
signicant role in this section, we will suppress it to simplify the notation.
14
Introduction to Contour-Ordered Green Function
2.4.1 Schr odinger, Interaction, and Heisenberg Pictures
As a preliminary step, we introduce the Schrodinger, interaction, and Heisenberg pic-
tures that will be frequently used as we introduce the contour-ordered Green function.
We consider the Hamiltonian of the system as the sum of two terms
H = H
0
+H

, (2.16)
where H
0
acting alone yields a soluble problem. For our electron-phonon system,
H
0
= H
e
+H
p
and H

= H
ep
.
In the Schrodinger picture, the state vectors are time dependent, whereas the op-
erators are time independent if it has no explicit time dependence. In this case, the
Schrodinger equation takes the form [81]
i

t
[
S
(t)) = H[
S
(t)) . (2.17)
If we know the initial condition of the state vector [
S
(t
0
)) at t
0
, the formal solution
can be written as
[
S
(t)) = exp
_

H (t t
0
)
_
[
S
(t
0
)) . (2.18)
In the interaction picture, the state vector is dened as [81]
[
I
(t)) exp
_
i

H
0
(t t
0
)
_
[
S
(t)) , (2.19)
and, the equation of motion of this state vector can be written as
i

t
[
I
(t)) = H

I
(t) [
I
(t)) , (2.20)
where
H

I
(t) = exp
_
i

H
0
(t t
0
)
_
H

exp
_

H
0
(t t
0
)
_
. (2.21)
To preserve any matrix element, an operator in the interaction picture is dened by
O
I
(t) = exp
_
i

H
0
(t t
0
)
_
O
S
exp
_

H
0
(t t
0
)
_
. (2.22)
15
Introduction to Contour-Ordered Green Function
Finally, the state vector in the Heisenberg picture is dened as
[
H
(t)) exp
_
i

H (t t
0
)
_
[
s
(t)) = [
s
(t
0
)) [
H
) , (2.23)
which is time independent. The time dependence is included in the operator as
O
H
(t) = exp
_
i

H (t t
0
)
_
O
S
exp
_

H (t t
0
)
_
. (2.24)
Note that the three pictures preserve an arbitrary matrix element as

s
(t)

O
S
[
s
(t)) =

I
(t)

O
I
(t) [
I
(t)) =

O
H
(t) [
H
) . (2.25)
Using (2.22) and (2.24), we can express the operator in the Heisenberg picture by
the operator in the interaction picture as
O
H
(t) = U

(t, t
0
) O
I
(t) U (t, t
0
) , (2.26)
where the unitary operator U is dened as
U (t, t
0
) = exp
_
i

H
0
(t t
0
)
_
exp
_

H (t t
0
)
_
. (2.27)
The unitary operator satises a dierential equation as
i

t
U (t, t
0
) = H

I
(t) U (t, t
0
) (2.28)
with the boundary condition U (t
0
, t
0
) = 1. Therefore, (2.28) with the boundary con-
dition can be expressed by an integral equation as
U (t, t
0
) = 1
i

_
t
t
0
dt

I
_
t

_
U
_
t

, t
0
_
, (2.29)
and its formal solution is [81]
U (t, t
0
) =

n=0
_

_
n
1
n!
_
t
t
0
dt
1

_
t
t
0
dt
n
T
_
H

I
(t
1
) H

I
(t
n
)
_
= T
_
exp
_

_
t
t
0
dt

I
(t)
__
, (2.30)
16
Introduction to Contour-Ordered Green Function
time axis
t
0
t C
t
Figure 2.2: The contour C
t
.
where T represents the time-ordering operator, which puts the operator at the latest
time farthest to the left. If we introduce the contour C
t
as shown in Fig. 2.2, (2.26)
can be expressed as [47, 50]
O
H
(t) = T
C
t
_
exp
_

_
C
t
dH

I
()
_
O
I
(t)
_
, (2.31)
where the contour-ordering operator T
C
t
means that the operators with time labels
that occur later on the contour have to stand left to operators with earlier time labels.
2.4.2 Density Operator and Expectation Value of Observables
The density operator represents the mixed state characterized by the ensemble of states
[
i
) with their occupations w
i
(w
i
> 0), which can be dened as [87]

i
w
i
[
i
)
i
[ , (2.32)
where [
i
) need not to be orthogonal. Then, the expectation value of any observable
O can be written as
O) =

i
w
i

i
[ O[
i
) =

w
i

i
[

_
b

_
b

[
i
)
=

i
w
i
[
i
)
i
[

_
b

_
= tr [O] , (2.33)
17
Introduction to Contour-Ordered Green Function
where [b) is the complete set of basis functions and tr [A] means the trace of operator
A.
In thermodynamic equilibrium, the mixed state becomes the grand canonical en-
semble, and the density operator becomes
(H) = exp[ (H)] , (2.34)
where is the free energy and = 1/k
B
T. In our electron-phonon system, it is
assumed that the interaction Hamiltonian H

vanishes for times t < t


0
. Therefore, the
system is in thermodynamic equilibrium with the Hamiltonian H
0
before t = t
0
. In
this case, the density operator for times t < t
0
can be written as
(H
0
) = exp[ (H
0
)] . (2.35)
Also, if we know the density operator (t
0
) at time t
0
, we can calculate the expectation
values O(t)) of physical observable for times t > t
0
as
O(t)) = tr [ (t
0
) O
H
(t)] . (2.36)
2.4.3 Contour-Ordered Green Function and Dyson Equation
We dene the contour-ordered Green function as
G
_
x, x

_

i

T
C
_

H
(x)

H
_
x

_
_
) =
i

tr
_
(H
0
) T
C
_

H
(x)

H
_
x

_
__
, (2.37)
where x and x

denote space-time points (r, t) and (r

, t

), and C is the contour along


the real-time axis that starts and ends at t
0
and passes through t and t

once as shown
in Fig. 2.3. From (2.31), we can express the contour-ordered Green function as
G
_
x, x

_
=
i

T
C
_
S
C

I
(x)

I
_
x

_
_
), (2.38)
where
S
C
= exp
_

_
C
dH

I
()
_
. (2.39)
18
Introduction to Contour-Ordered Green Function
time axis
t
0
t C t
Figure 2.3: The contour C.
The contour-ordered Green function has the same perturbation expansion as the cor-
responding equilibrium time-ordered Green function, and its disconnected Feynman
diagram gives the phase factor T
C
[S
C
]), which is equal to 1. Therefore, (2.38) can be
written in a series form as
G
_
x, x

_
=
i

n=0
_

_
n
1
n!
_
C
dt
1

_
C
dt
n
T
C
_

I
(x)

I
_
x

_
H

I
(t
1
) H

I
(t
n
)
_
)
connected
. (2.40)
For example, (2.40) can be expanded up to n = 2 with the help of the Wick theorem
as
G
_
x, x

_
=
i

T
C
_

I
(x)

I
_
x

_
_
)
+
_

_
3
_
C
dt
1
_
dr
1
_
C
dt
2
_
dr
2
T
C
[
I
(x
1
)
I
(x
2
)])
T
C
_

I
(x)

I
(x
1
)
_
)T
C
_

I
(x
1
)

I
(x
2
)
_
)T
C
_

I
(x
2
)

I
_
x

_
_
)
+ . (2.41)
If we dene the unperturbed electron and phonon Green functions as
G
0
_
x, x

_

i

T
C
_

I
(x)

I
_
x

_
_
) (2.42)
D
_
x, x

_
T
C
_

I
(x)
I
_
x

_
), (2.43)
19
Introduction to Contour-Ordered Green Function
= +
+ +
+ +
+

= +
(a)
(b) (c)
Figure 2.4: Feynman diagram of (a) the perturbation expansion of the contour-ordered
Green function, (b) the Dyson equation within the self-consistent Born approximation,
and (c) the self-energy function within the self-consistent Born approximation.
(2.41) can be written as
G
_
x, x

_
= G
0
_
x, x

_
+
_
C
dt
1
_
dr
1
_
C
dt
2
_
dr
2
G
0
(x, x
1
) G
0
(x
1
, x
2
) D(x
1
, x
2
) G
0
_
x
2
, x

_
+ . (2.44)
Fig. 2.4 (a) shows the Feynman diagram of a few perturbation expansion terms for
the contour-ordered Green function. From these perturbation expansion terms, we can
obtain the Dyson equation as
G
_
x, x

_
= G
0
_
x, x

_
+
_
C
dt
1
_
dr
1
_
C
dt
2
_
dr
2
G
0
_
x, x

_
(x
1
, x
2
) G
_
x
2
, x

_
,
(2.45)
20
Introduction to Contour-Ordered Green Function
time axis
C
K
Figure 2.5: The Keldysh contour C
K
.
where (x, x

) is the irreducible self-energy function for the contour-ordered Green


function. In this work, we do not attempt to keep all the perturbation terms. Instead,
we only retain the terms that can be generated from the Feynman diagram as shown in
Fig. 2.4 (b), which corresponds to the self-consistent Born approximation, and the cor-
responding Feynman diagram of the irreducible self-energy function is shown in Fig. 2.4
(c). Therefore, the self-energy function within the self-consistent Born approximation
can be written as
(x
1
, x
2
) = G(x
1
, x
2
) D(x
1
, x
2
) . (2.46)
Now, we introduce the Keldysh contour by making t
0
go to , cutting the contour
C to the right of the point lying on the extreme right, and inserting into it a piece
which goes to + and returns. In Fig. 2.5, we draw the resultant Keldysh contour
C
K
that traverses the whole time axis from to +and then back again from +
to [45]. Since the two time labels t and t

in G(x, x

) can be located on either


of the two branches of the Keldysh contour as shown in Fig. 2.6, (2.38) contains four
dierent functions as
G
_
x, x

_
=
_

_
G
T
(x, x

) t C
+
, t

C
+
G
>
(x, x

) t C

, t

C
+
G
<
(x, x

) t C
+
, t

T
(x, x

) t C

, t

, (2.47)
21
Introduction to Contour-Ordered Green Function
t t
(a)
t
t
(b)
t
t
(c) (d)
t t
C
+
C
+
C
-
C
-
C
+
C
-
C
+
C
-
Figure 2.6: Four dierent cases about the locations t and t

in C
K
: (a) t C
+
, t

C
+
,
(b) t C

, t

C
+
, (c) t C
+
, t

, and (d) t C

, t

.
22
Introduction to Contour-Ordered Green Function
where C
+
represents the upper branch where t goes from to and C

represents
the lower branch where t goes from to . In the above equation, we introduce
the time-ordered Green function G
T
,
G
T
_
x, x

_

i

T
_

H
(x)

H
_
x

_
_
)
=
i

_
t t

H
(x)

H
_
x

_
) +
i

_
t

t
_

H
_
x

H
(x)),(2.48)
thegreater Green function G
>
,
G
>
_
x, x

_

i

H
(x)

H
_
x

_
), (2.49)
the lesser Green function G
<
,
G
<
_
x, x

H
_
x

H
(x)), (2.50)
and the anti-time-ordered Green function G

T
,
G

T
_
x, x

_

i

T
_

H
(x)

H
_
x

_
_
)
=
i

_
t t

H
_
x

H
(x))
i

_
t

t
_

H
(x)

H
_
x

_
). (2.51)
Similarly, the Dyson equation (2.45) can be decomposed into four equations accord-
ing to the locations of t and t

in C
K
. We rst introduce the operational inverse of
G
0
(x, x

) that satises the following relation:


_
C
K
dt
1
_
dr
1
G
1
0
(x, x
1
) G
0
_
x
1
, x

_
=
_
r r

C
K
_
t t

_
, (2.52)
where

C
K
_
t t

_
=
_

_
(t t

) t C
+
, t

C
+
0 t C

, t

C
+
or t C
+
, t

(t t

) t C

, t

. (2.53)
23
Introduction to Contour-Ordered Green Function
From (2.52), we can identify that G
1
0
(x, x

) can be written as
G
1
0
_
x, x

_
=
_
i

t
H
e
(r)
_

_
r r

C
K
_
t t

_
. (2.54)
If we apply G
1
0
(x, x

) on (2.45), we obtain
_
i

t
H
e
(r)
_
G
_
x, x

_
C
K
dt
1
_
dr
1
(x, x
1
) G
_
x
1
, x

_
=
_
r r

C
K
_
t t

_
.
(2.55)
According to the locations of t and t

in C
K
, (2.55) can be written in terms of 2 2
matrix equation as
_
i

t
H
e
(r)
_
G
_
x, x

dt
1
_
dr
1
(x, x
1
) G
_
x
1
, x

_
=
_
x x

_
, (2.56)
where (x x

) = (r r

) (t t

),
G =
_
_
G
T
G
<
G
>
G

T
_
_
, =
_
_

T

<

>

T
_
_
, and =
_
_
1 0
0 1
_
_
. (2.57)
In the above equation, the denitions of the self-energy functions are similar to those of
the Green functions, and the time-ordered and anti-time-ordered self-energy functions

T
and

T
are related to
>
and
<
as

T
(x
1
, x
2
) = (t
1
t
2
)
>
(x
1
, x
2
) + (t
2
t
1
)
<
(x
1
, x
2
) , (2.58)

T
(x
1
, x
2
) = (t
1
t
2
)
<
(x
1
, x
2
) + (t
2
t
1
)
>
(x
1
, x
2
) . (2.59)
Finally, if we dene G, , and I as
G =
_
_
G
T
G
<
G
>
G

T
_
_
, =
_
_

T

<

>

T
_
_
, and I =
_
_
1 0
0 1
_
_
, (2.60)
(2.56) can be rewritten as [47, 48, 86, 88]
_
i

t
H
e
(r)
_
G
_
x, x

_
dr
1
_

dt
1
(x, x
1
) G
_
x
1
, x

_
=
_
x x

_
I. (2.61)
24
Steady-State Quantum Kinetic Equations
2.5 Steady-State Quantum Kinetic Equations
In this section, we derive the quantum kinetic equations in the steady-state condition
using the Dyson equation obtained in the previous section [47,48,86,88]. We also study
the properties of the Green functions, and relate the Green functions to the observable
quantities.
2.5.1 Derivation
We rst dene the retarded and advanced Green functions and self-energy functions as
G
r
(x
1
, x
2
) = (t
1
t
2
)
_
G
>
(x
1
, x
2
) G
<
(x
1
, x
2
)

, (2.62)
G
a
(x
1
, x
2
) = (t
2
t
1
)
_
G
<
(x
1
, x
2
) G
>
(x
1
, x
2
)

, (2.63)

r
(x
1
, x
2
) = (t
1
t
2
)
_

>
(x
1
, x
2
)
<
(x
1
, x
2
)

, (2.64)

a
(x
1
, x
2
) = (t
2
t
1
)
_

<
(x
1
, x
2
)
>
(x
1
, x
2
)

. (2.65)
Note that the retarded functions can be nonzero only if t
1
> t
2
, whereas the advanced
functions can be nonzero only if t
1
< t
2
. From the above denitions, we can easily
show that the Green functions and self-energy functions satisfy the following relations:
G
T
= G
r
+G
<
= G
a
+G
>
, (2.66)
G

T
= G
r
+G
>
= G
a
+G
<
, (2.67)

T
=
r
+
<
=
a
+
>
, (2.68)

T
=
r
+
>
=
a
+
<
. (2.69)
25
Steady-State Quantum Kinetic Equations
Since the Dyson equation (2.61) is a 2 2 matrix equation, it is equivalent to four
separate equations as follows:
G
1
0
G
T
= I +
T
G
T

<
G
>
, (2.70)
G
1
0
G
<
=
T
G
<

<
G

T
, (2.71)
G
1
0
G

T
= I +
>
G
<

T
G

T
, (2.72)
G
1
0
G
>
=
>
G
T

T
G
>
, (2.73)
where the product of two functions is interpreted as a matrix product in the internal
space and time variables. Eliminating G
T
, G

T
,
T
and

T
using (2.66)-(2.69), we
obtain
G
1
0
_
G
r
+G
<
_
= I +
r
G
r
+
r
G
<
+
<
G
a
, (2.74)
G
1
0
G
<
=
r
G
<
+
<
G
a
, (2.75)
G
1
0
_
G
a
+G
<
_
= I
a
G
a
+
r
G
<
+
<
G
a
, (2.76)
G
1
0
G
>
=
r
G
>
+
>
G
a
. (2.77)
Subtracting (2.75) from (2.74) and (2.76), we obtain
_
G
1
0

r
_
G
r
= I, (2.78)
_
G
1
0

a
_
G
a
= I, (2.79)
and from (2.75), (2.77), and (2.78), G
<
and G
>
can be written as
G
<
= G
r

<
G
a
, (2.80)
G
>
= G
r

>
G
a
, (2.81)
where we neglect the boundary terms irrelevant to the steady-state solution. We can
rewrite (2.78), (2.79), (2.80), and (2.81) in terms of the original notation as
_
i

t
1
H
e
(r
1
)
_
G
r
(x
1
, x
2
)
_
dr
_

dt
r
(x
1
, x) G
r
(x, x
2
) = (x
1
x
2
) , (2.82)
26
Steady-State Quantum Kinetic Equations
_
i

t
1
H
e
(r
1
)
_
G
a
(x
1
, x
2
)
_
dr
_

dt
a
(x
1
, x) G
a
(x, x
2
) = (x
1
x
2
) , (2.83)
G
<
(x
1
, x
2
) =
_
dr
_

dt
_
dr

dt

G
r
(x
1
, x)
<
_
x, x

_
G
a
_
x

, x
2
_
, (2.84)
G
>
(x
1
, x
2
) =
_
dr
_

dt
_
dr

dt

G
r
(x
1
, x)
>
_
x, x

_
G
a
_
x

, x
2
_
. (2.85)
Equation (2.82) and (2.83) give us the information on the propagation of electron
wavefunction, and (2.84) and (2.85) are the quantum kinetic equations.
2.5.2 Fourier Transformation of Time Variables
Any Green function or self-energy function F (x
1
, x
2
) can be written as a function of
the center of mass time T (t
1
+t
2
) /2 and the relative time t (t
1
t
2
). Since we
only consider the steady-state condition, the function is independent of the center of
mass time. Therefore, (2.82), (2.83), (2.84), and (2.85) can be rewritten as
_
i

t
H
e
(r
1
)
_
G
r,a
(r
1
, r
2
; t)
_
dr
_
dt

r,a
_
r
1
, r; t t

_
G
r,a
_
r, r
2
; t

_
= (r
1
r
2
) (t) , (2.86)
G

(r
1
, r
2
; t) =
_
dr
_
dt

G
r
_
r
1
, r; t t

_
dr

_
dt

_
r, r

; t

_
G
a
_
r

, r
2
; t

_
. (2.87)
Since the above equations contain the convolution of self-energy functions and Green
functions, it is more convenient to transform these equations by introducing the Fourier
transform, which is dened by [89]
F (E) =
_

dte
iEt/
F (t) , (2.88)
and the corresponding inverse Fourier transform is dened by
F (t) =
_

dE
2
e
iEt/
F (E) . (2.89)
27
Steady-State Quantum Kinetic Equations
If we apply the Fourier transformation to (2.86) and (2.87), we obtain
[E H
e
(r
1
)] G
r,a
(r
1
, r
2
; E)
_
dr
r,a
(r
1
, r; E) G
r,a
(r, r
2
; E) = (r
1
r
2
) , (2.90)
G

(r
1
, r
2
; E) =
_
dr
_
dr

G
r
(r
1
, r; E)

_
r, r

; E
_
G
a
_
r

, r
2
; E
_
, (2.91)
where the original convolutions in the time domain are changed to the multiplications
in the energy domain after the Fourier transformation.
2.5.3 Properties of Green Functions and Self-Energy Functions
We can derive general relation between the retarded and the advanced Green functions,
and similar relation between the retarded and the advanced self-energy functions. From
(2.62), (2.63), and the denition of the step function as [89]
(t) = lim
0
+
_

dE
2i
e
iEt/
E i
, (2.92)
the Fourier transformed retarded and advanced Green functions satisfy [46, 50]
G
r,a
(r
1
, r
2
; E) =
_

dE

2
A(r
1
, r
2
; E

)
E E

i
=
i
2
A(r
1
, r
2
; E) + P
_

dE

2
A(r
1
, r
2
; E

)
E E

, (2.93)
where the upper sign refers to G
r
, the lower sign refers to G
a
, P is the principal part,
and A(r
1
, r
2
; E) is the spectral function dened by
A(r
1
, r
2
; E) = i
_
G
>
(r
1
, r
2
; E) G
<
(r
1
, r
2
; E)

= i [G
r
(r
1
, r
2
; E) G
a
(r
1
, r
2
; E)] . (2.94)
From the relations as [88]
G
>
(x
1
, x
2
) =
i

H
(x
1
)

H
(x
2
)) =
i

H
(x
2
)

H
(x
1
))

= G
>
(x
2
, x
1
)

, (2.95)
G
<
(x
1
, x
2
) =
i

H
(x
2
)
H
(x
1
)) =
i

H
(x
1
)
H
(x
2
))

= G
<
(x
2
, x
1
)

, (2.96)
28
Steady-State Quantum Kinetic Equations
and from (2.88) and (2.89), we can easily show that
G

(r
1
, r
2
; E) = G

(r
2
, r
1
; E)

. (2.97)
Therefore, the Hermitian conjugate of the spectral function becomes
A(r
1
, r
2
; E)

= i
_
G
>
(r
2
, r
1
; E)

G
<
(r
2
, r
1
; E)

= i
_
G
>
(r
1
, r
2
; E) G
<
(r
1
, r
2
; E)

= A(r
1
, r
2
; E) , (2.98)
which means that the spectral function is a Hermitian matrix. From this relation, the
Hermitian conjugate of the retarded Green function becomes
G
r
(r
1
, r
2
; E)

=
_

dE

2
A(r
1
, r
2
; E)
E E

i
= G
a
(r
1
, r
2
; E) , (2.99)
which is an important relation since we can obtain the advanced Green function using
this relation if we know the retarded Green function.
We can obtain similar relation to (2.99) for the retarded and advanced self-energy
functions. From (2.64) and (2.65), the Fourier transformed retarded and advanced
self-energy functions can be written as

r,a
(r
1
, r
2
; E) =
_

dE

2
(r
1
, r
2
; E

)
E E

i
=
i
2
(r
1
, r
2
; E) + P
_

dE

2
(r
1
, r
2
; E

)
E E

, (2.100)
where the upper sign refers to
r
and the lower sign refers to
a
, and (r
1
, r
2
; E) is
the broadening function dened by
(r
1
, r
2
; E) = i
_

>
(r
1
, r
2
; E)
<
(r
1
, r
2
; E)

= i [
r
(r
1
, r
2
; E)
a
(r
1
, r
2
; E)] . (2.101)
29
Steady-State Quantum Kinetic Equations
From (2.91), (2.97), and (2.99), we can show that

(r
1
, r
2
; E) =

(r
2
, r
1
; E)

. (2.102)
Therefore, the broadening function is a Hermitian matrix, and the retarded and ad-
vanced self-energy functions also satisfy the relation as

r
(r
1
, r
2
; E)

=
a
(r
1
, r
2
; E) . (2.103)
From the above relation and (2.100), we can show that i/2 is the anti-Hermitian
part of
r
, and the principal integration part is the Hermitian part of
r
. If we know
, we can calculate the Hermitian part of
r
by using the integration in (2.100). In the
actual numerical calculation, however, the Hermitian part is usually neglected since its
eect is minor compared with the self-consistent electrostatic potential energy. In [73],
it was reported that the error introduced in the terminal current by the neglect of the
Hermitian part is less than 2%, and we also neglect it in this work. Therefore, the
retarded self-energy function can be written as

r
(r
1
, r
2
; E)
i
2
(r
1
, r
2
; E) . (2.104)
2.5.4 Relation to Observable Quantities
We can obtain the energy spectrum of the electron and hole density in each valley of
the conduction band from the lesser and greater Green functions as
n(r; E) = 4
i
2
G
<
(r, r; E) , (2.105)
p (r; E) = 4
i
2
G
>
(r, r; E) , (2.106)
where the factor 4 in front of the right hand side occurs due to the valley and spin
degeneracies. Also, the local density of states is dened by the diagonal element of the
30
Steady-State Quantum Kinetic Equations
spectral function as
N
0
(r; E) 4
1
2
A(r, r; E) =
4

Im[G
r
(r, r; E)] , (2.107)
where Im(x) refers to the imaginary part of x. The local density of states is also related
to the spectrum of the electron and hole density as
N
0
(r; E) = n(r; E) +p (r; E) . (2.108)
In equilibrium condition, G
>
and G
<
satisfy the following relation: [46, 50]
G
>
_
r, r

; E
_
= e
(E)/k
B
T
G
<
_
r, r

; E
_
. (2.109)
From this relation, we obtain
iG
<
_
r, r

; E
_
= f (E) A
_
r, r

; E
_
, (2.110)
iG
>
_
r, r

; E
_
= [1 f (E)] A
_
r, r

; E
_
, (2.111)
where f (E) is the Fermi-Dirac distribution function as
f (E) =
1
e
(E)/k
B
T
+ 1
. (2.112)
Equations (2.110) and (2.111) are called the uctuation-dissipation theorem, which
says that the correlation functions (G
<
and G
>
) are proportional to the dissipative
part A. From the uctuation-dissipation theorem, the energy spectrum of electron and
hole density can be written in terms of the local density of states as
n(r; E) = N
0
(r; E) f (E) , (2.113)
p (r; E) = N
0
(r; E) [1 f (E)] . (2.114)
Also, we can obtain the energy spectrum of the current density in the direction (=x,
y, and z) from the lesser Green function as [47, 48, 50, 88]
J

(r; E) = 4
q
4m

lim
r

r
_

_
G
<
_
r, r

; E
_
. (2.115)
31
Treatment of Electron-Phonon Scattering
x
x
1
x
2
x
(a) unperturbed electron Green function (b) free phonon Green function
( )
0,
, '
v
x x = G
x x
( )
, '
v
x x = G
x x
( )
, ' x x = D x x
=
(c) Dyson equation and self-energy in self-consistent Born approximation
( ) ( ) ( )
1 2 ' 1 2 1 2
, , ,
v v
x x x x x x = G D
x x
+
v
v
v v ' v v
valley index
Figure 2.7: Feynman diagram for the electron-phonon interaction within the self-
consistent Born approximation.
2.6 Treatment of Electron-Phonon Scattering
In this section, we derive the self-energy functions for the electron-phonon interactions.
Since there exist the intervalley phonon scattering mechanisms that involve multiple
valleys, we will explicitly write down the valley index when it is necessary. In the
derivation, we assume that the phonon system is in thermal equilibrium state, and
we use the self-consistent Born approximation as shown in Fig. 2.7. Therefore, the
greater and lesser self-energy functions for the electrons in the valley v due to the
electron-phonon interaction can be written as [50]

v
(x
1
, x
2
) = G

(x
1
, x
2
) D

(x
1
, x
2
) , (2.116)
where the greater and lesser free-phonon Green functions can be written as
D
>
(x
1
, x
2
) =
I
(x
1
)
I
(x
2
)), (2.117)
32
Treatment of Electron-Phonon Scattering
D
<
(x
1
, x
2
) =
I
(x
2
)
I
(x
1
)). (2.118)
After applying the Fourier transform with respect to t
1
t
2
to (2.116), we obtain

v
(r
1
, r
2
; E) =
_
dE

2
G

_
r
1
, r
2
; E

_
D

_
r
1
, r
2
; E E

_
. (2.119)
From (2.13), the single-electron interaction Hamiltonian in the interaction picture
can be written as

I
(r, t) =
1

q
M
q
_
a
q
e
i
q
t
+a

q
e
i
q
t
_
e
iqr
. (2.120)
Inserting (2.120) into (2.117) and (2.118), we obtain
D

(x
1
, x
2
) =

q
[M
q
[
2
V
_
(N
q
+ 1) e
i
q
(t
1
t
2
)
+N
q
e
i
q
(t
1
t
2
)
_
e
iq(r
1
r
2
)
,
(2.121)
where the upper sign refers to D
>
and the lower sign refers to D
<
, and N
q
is the
phonon number that follows the Bose-Einstein distribution as
N
q
=
1
e

q
/k
B
T
1
, (2.122)
where k
B
is the Boltzmann constant and T the lattice temperature. In the derivation
of (2.121), we use the following expectation values satised by the phonon creation and
annihilation operators in the thermal equilibrium condition:
a
q
a

) =
q,q

,
(N
q
+ 1) , (2.123)
a

q
a
q

) =
q,q

,
N
q
, (2.124)
a
q
a
q

) = 0, (2.125)
a

q
a

) = 0. (2.126)
After Fourier transforming (2.121) with respect to t
1
t
2
, we obtain
D

(r
1
, r
2
; E) =

q
2 [M
q
[
2
V
[(N
q
+ 1) (E
q
) +N
q
(E
q
)] e
iq(r
1
r
2
)
,
(2.127)
33
Treatment of Electron-Phonon Scattering
and inserting (2.127) into (2.119), the greater and lesser self-energy functions become

v
(r
1
, r
2
; E) =

q
[M
q
[
2
V
e
iq(r
1
r
2
)
[(N
q
+ 1) G

(r
1
, r
2
; E
q
)
+N
q
G

(r
1
, r
2
; E
q
)]. (2.128)
Finally, the summation can be replaced by an integration using the following rule:

V
(2)
3
_
dq, (2.129)
which will be used in the subsequent sections.
2.6.1 Self-Energy for Intravalley Phonon Scattering
Although there exists the anisotropy of the deformation-potential interaction between
electrons and acoustic phonons [90], we assume the usual scalar deformation potential
for the intravalley phonon scattering [18]. In [90], it was reported that the eect of the
anisotropy is cancelled by that of the nonparabolicity. If we use the scalar deformation
potential, the matrix element vanishes for the transverse acoustic modes, and the matrix
element for the longitudinal acoustic (LA) mode becomes
[M
q
[
2
=
(q)
2
2
q
=

2
q
2u
l
, (2.130)
where q = [q[, u
l
is the sound velocity, and we use the dispersion relation of the LA
mode as
q
= u
l
q. In the intravalley acoustic phonon scattering, the phonon energy
q
is usually much smaller than the thermal energy k
B
T. Therefore, we can approximate
the phonon number (2.122) as
N (
q
) N (
q
) + 1
k
B
T
u
l
q
. (2.131)
From (2.127), the Green functions for the LA phonons can be written as
D

ac
(r
1
, r
2
; E) 2

2
k
B
T
u
2
l
(E) (r
1
r
2
) , (2.132)
34
Treatment of Electron-Phonon Scattering
where we suppose that the intravalley scattering process is nearly elastic by neglecting
the phonon energy in the delta function (E
q
) of (2.127), and use the following
relation:
1
(2)
3
_
dqe
iq(r
1
r
2
)
= (r
1
r
2
) . (2.133)
Therefore, the scattering due to the LA phonons becomes local and elastic process.
Therefore, the self-energy functions

ac,v
for the intravalley acoustic phonon scattering
can be written as

>
ac,v
(r
1
, r
2
; E) =
i

v
ac,n
(r
1
; E)
(r
1
r
2
) , (2.134)

<
ac,v
(r
1
, r
2
; E) =
i

v
ac,p
(r
1
; E)
(r
1
r
2
) , (2.135)
where
1

v
ac,n
(r; E)
=
2

2
k
B
T
u
2
l
p
v
(r; E)
4
, (2.136)
1

v
ac,p
(r; E)
=
2

2
k
B
T
u
2
l
n
v
(r; E)
4
. (2.137)
In the above equations, n
v
(r; E) and p
v
(r; E) are the electron and hole volume density
per unit energy in the valley v of the conduction band, and they are related to the
lesser and the greater Green functions as
n
v
(r, E) = 4
i
2
G
<
v
(r, r; E) , (2.138)
p
v
(r, E) = 4
i
2
G
>
v
(r, r; E) , (2.139)
where the factor 4 in front of the right hand side occurs due to the valley and spin
degeneracies. The model parameters for the intravalley phonon scattering in silicon
are summarized in table 2.1, which is obtained from [18].
35
Treatment of Electron-Phonon Scattering
Table 2.1: Model parameters for the intravalley phonon scattering in silicon.
u
l

2.329 g/cm
3
9.04 10
5
cm/s 8.9322 eV
Table 2.2: Model parameters for the intervalley phonon scattering in silicon.
j Mode D
t
K
j
_
10
8
eV/cm
_

j
( meV) selection rule
1 TA 0.5 12 g
2 LA 0.8 19 g
3 LO 11.0 62 g
4 TA 0.3 19 f
5 LA 2.0 47 f
6 TO 2.0 59 f
k
x
k
y
k
z
1
1
2
2
3 3
k
x
k
y
k
z
1
1
2
2
3 3
g-type f-type
(a) (b)
Figure 2.8: Two kinds of selection rules for the intervalley phonon scattering mecha-
nisms: (a) g-type and (b) f-type.
36
Treatment of Electron-Phonon Scattering
2.6.2 Self-Energy for Intervalley Phonon Scattering
Electron transitions between states in two dierent equivalent valleys can be induced
by both acoustic and optical phonon scattering mechanisms. In the silicon, there
are six kinds of intervalley phonon scattering mechanisms according to the involving
modes and selection rules as shown in table 2.2, which is obtained from [18]. The
dierences between the f-type and g-type selection rules are shown in Fig. 2.8. In
the j-th intervalley phonon scattering (j = 1, . . . , 6), the momentum transfer (q) is
approximated by the momentum dierence between the minimum energy states of the
two valleys (K
j
), and the energy transfer (
q
) is approximated by
j
, where
j
is
the phonon energy corresponding to the phonon momentum K
j
that can be obtained
from the dispersion relation of the involving phonon mode. Therefore,
q
=
j
and
(q)
2
= (D
t
K
j
)
2
can be regarded as independent of q [18], and the matrix element can
be written as
[M
q
[
2
=
(D
t
K
j
)
2
2
j
. (2.140)
Inserting (2.140) into (2.127), the phonon Green functions for intervalley scattering
become
D

j
(r
1
, r
2
; E) = 2
2
(D
t
K
j
)
2
2
j
[(N
j
+ 1) (E
j
) +N
j
(E
j
)] (r
1
r
2
) ,
(2.141)
where
N
j
=
1
e

j
/k
B
T
1
. (2.142)
The self-energy functions for intervalley phonon scattering can be written as

>
j,v
(r
1
, r
2
; E) =
i

v
j,n
(r
1
; E)
(r
1
r
2
) , (2.143)

<
j,v
(r
1
, r
2
; E) =
i

v
j,p
(r
1
; E)
(r
1
r
2
) , (2.144)
37
Quantum Kinetic Equations Applied to Electron-Phonon Scattering
where
1

v
j,n
(r; E)
=
(D
t
K
j
)
2
4
j
[(N
j
+ 1) p
v
(r; E
j
) +N
j
p
v
(r; E +
j
)] , (2.145)
1

v
j,p
(r; E)
=
(D
t
K
j
)
2
4
j
[(N
j
+ 1) n
v
(r; E +
j
) +N
j
n
v
(r; E
j
)] (2.146)
for g-type scattering and
1

v
j,n
(r; E)
=
(D
t
K
j
)
2
2
j

=v
_
(N
j
+ 1) p
v

(r; E
j
) +N
j
p
v

(r; E +
j
)
_
, (2.147)
1

v
j,p
(r; E)
=
(D
t
K
j
)
2
2
j

=v
_
(N
j
+ 1) n
v

(r; E +
j
) +N
j
n
v

(r; E
j
)
_
(2.148)
for f-type scattering.
2.7 Quantum Kinetic Equations Applied to Electron-Phonon
Scattering
In the previous section, we derive the explicit forms of self-energy functions for the
electron-phonon scattering, which are found to be diagonal in the real space represen-
tation. Therefore, the quantum kinetic equations (2.90) and (2.91) can be simplied.
In this section, we apply the obtained self-energy functions to the quantum kinetic
equations.
2.7.1 Quantum Kinetic Equations
The self-energy functions for the elections in the valley v due to the mentioned all kinds
of the electron-phonon scattering mechanisms can be written as

v
(r
1
, r
2
; E) =
i

v
n,p
(r
1
; E)
(r
1
r
2
) , (2.149)

r,a
v
(r
1
, r
2
; E) =
i

v
(r
1
; E)
(r
1
r
2
) , (2.150)
38
Quantum Kinetic Equations Applied to Electron-Phonon Scattering
where
1

v
n,p
(r; E)
=
1

v
ac,n,p
(r; E)
+
6

j=1
1

v
j,n,p
(r; E)
, (2.151)
1

v
(r; E)
=
1

v
n
(r; E)
+
1

v
p
(r; E)
. (2.152)
We can think of 1/
v
n
as the electron out-scattering rate, 1/
v
p
as the hole out-scattering
rate, and 1/
v
as the total phase relaxation rate [67]. Applying (2.149) and (2.150) to
(2.90) and (2.91), we obtain [88]
_
E H
v
(r)
i
2
v
(r; E)
_
G
r,a
v
_
r, r

; E
_
=
_
r r

_
, (2.153)
n
v
(r; E) = 4

2
_
dr

[G
r
v
(r, r

; E)[
2

v
p
(r

; E)
, (2.154)
p
v
(r; E) = 4

2
_
dr

[G
r
v
(r, r

; E)[
2

v
n
(r

; E)
, (2.155)
where the factor 4 in front of the right hand side occurs due to the valley and spin
degeneracies. Equation (2.153) is similar to the conventional Schrodinger equation
except for the imaginary self-energy function and the delta function in the right hand
side. Note that the probability is not conserved due to the imaginary self-energy
function, which represents the loss of coherent wavefunction by the scattering process.
2.7.2 Current Density
We can also obtain the current density in the device using the o-diagonal components
of the retarded Green function. From (2.91) and (2.149), the lesser Green function can
be written as
G
<
_
r, r

; E
_
= i
_
dr

G
r
v
(r, r

; E) G
r
v
(r

, r

; E)

v
p
(r

; E)
. (2.156)
39
Quantum Kinetic Equations Applied to Electron-Phonon Scattering
Inserting (2.156) into (2.115), the current density becomes
J

(r; E) = 4
q
2
2m

_
dr

v
p
(r

; E)
Im
_
G
r
_
r, r

; E
_

G
r
(r, r

; E)

_
= 4

2
_
dr

v
p
(r

; E)
J

_
r, r

; E
_
, (2.157)
where
J

_
r, r

; E
_
=
q
m

G
r
_
r, r

; E
_

2
(r, r

; E)

, (2.158)
G
r
_
r, r

; E
_
=

G
r
_
r, r

; E
_

exp
_
i
_
r, r

; E
_
. (2.159)
The energy spectrum of current ux from
1
to
2
through the surface (I

) as shown
in Fig. 2.9 can be written as
I

(E) =
_

J(r; E) ndr, (2.160)


where n is the outward normal vector. Alternatively, we can calculate I

(E) using the


dierent physical interpretation as [88]
I

(E) = 4q

2
_

1
dr
1
_

2
dr
2
_
[G
r
(r
2
, r
1
; E)[
2
(r
2
; E)
p
(r
1
; E)

[G
r
(r
1
, r
2
; E)[
2
(r
1
; E)
p
(r
2
; E)
_
. (2.161)
In the above equation, the term
4q
2
|G
r
(r
2
,r
1
;E)|
2
dr
2
dr
1
(r
2
;E)
p
(r
1
;E)
represents the average current
ux of electrons that are injected at the volume dr
1
and scattered at the volume
dr
2
(see (2.154) and note that 1/ represent the phase relaxation time). Similarly,

4q
2
|G
r
(r
1
,r
2
;E)|
2
dr
1
dr
2
(r
1
;E)
p
(r
2
;E)
represents the average current ux of electrons that are injected
at the volume dr
2
and scattered at the volume dr
1
. Therefore, the dierence between
these two terms represents net current ux of electrons from dr
1
to dr
2
. Integrating r
1
over
1
and integrating r
2
over
1
, we obtain the net current ux of electrons crossing
.
40
Quantum Kinetic Equations Applied to Electron-Phonon Scattering

r
1
dr
1 r
2
dr
2
n
Figure 2.9: Calculation of net current ux from
1
to
2
through .
2.7.3 Low Bias Condition and Linear Response
In low bias conditions, we can assume that the energy spectrum of electron density can
be written in a similar form to that of equilibrium condition as [88]
n(r; E) = N
0
(r; E) f (r; E) , (2.162)
where
f (r; E) =
1
e
[E(r)]/k
B
T
+ 1
. (2.163)
Then, the hole density can be written as
p (r; E) = N
0
(r; E) [1 f (r; E)] . (2.164)
If the above relation holds, we can show that
n(r; E)

n
(r; E)
=
p (r; E)

p
(r; E)
, (2.165)
41
A Simple Example: Innite 1D Wire
which is a detailed balance condition. From the above equation, we obtain
1

p
(r; E)
=
f (r; E)
(r; E)
. (2.166)
Therefore, in low bias conditions, (2.161) can be written as
I

(E) =
2q

1
dr
1
_

2
dr
2
[T (r
2
, r
1
; E) f (r
1
; E) T (r
1
, r
2
; E) f (r
2
; E)] , (2.167)
where
T (r
2
, r
1
; E) =

2
[G
r
(r
2
, r
1
; E)[
2
(r
2
; E) (r
1
; E)
(2.168)
is the transmission coecient. In linear response theory, it is assumed that the deviation
of f (r; E) from its equilibrium value f
0
(E) is small enough that we can expand f (r; E)
in a Taylor series about =
0
as
f (r; E) = f
0
(E)
f
0
E
[(r)
0
] . (2.169)
Inserting the above equation to (2.167) and integrating over E, we obtain
I

=
2q

1
dr
1
_

2
dr
2
[T (r
2
, r
1
) (r
1
) T (r
1
, r
2
) (r
2
)] , (2.170)
where
T (r
2
, r
1
) =
_

dE
_

f
0
E
_
T (r
2
, r
1
; E) . (2.171)
2.8 A Simple Example: Innite 1D Wire
2.8.1 Retarded Green Function
As an example, we consider an innite 1D wire with a uniform potential energy U and
the retarded self energy i/2. Several results of this section will be applied to the
calculation of electron mobility in the nanowire transistors to be considered in chapter
4. In an innite 1D wire, the retarded Green function satises
(E H +i/2) G
r
_
z, z

; E
_
=
_
z z

_
, (2.172)
42
A Simple Example: Innite 1D Wire
where
H =

2
2m
z

2
x
2
+U. (2.173)
We can obtain the retarded Green function from the above equation by expanding
above equation in terms of the plane wave states as [49]
G
r
_
z, z

; E
_
=
1
2
_

dk
e
ik(zz

)
E U
2
k
2
/2m
z
+i/2
. (2.174)
Using the contour integration technique, we can obtain
G
r
_
z, z

; E
_
= i
m
z

2
k
e
ik|zz

|
, (2.175)
where
k =
_
2m
z
(E U +i/2)

2
. (2.176)
Since the imaginary part of k is always positive, the magnitude of the retarded Green
function decreases exponentially as the distance between z and z

increases. The local


density of states can be obtained from (2.107) and (2.174) as
N
0
(z; E) =
4

Im[G
r
(z, z; E)]
=
2

Re [k]
_
(E U)
2
+
2
/4
2
_
1/2
. (2.177)
Fig. 2.10 and 2.11 show the retarded Green function and the density of states of the
1D wire.
2.8.2 Linear Response of Current in a Uniform Electric Field
From (2.157), the current at position z in the 1D wire can be written as
I (z; E) = 4
q
2
2m
z
_

dz

p
Im
_
G
r
_
z, z

; E
_

G
r
(z, z

; E)
z
_
. (2.178)
From (2.174),
Im
_
G
r
_
z, z

; E
_

G
r
(z, z

; E)
z
_
= Re [k]

G
r
_
z, z

; E
_

2
, (2.179)
43
A Simple Example: Innite 1D Wire
z z (nm)
-
R
e
[

G
(
z
,
z

;
E
)

]

(
/
m
-
e
V
)
E - U (eV)
(a)
(b)
z z (nm)
-
I
m
[

G
(
z
,
z

;
E
)

]

(
/
m
-
e
V
)
E - U (eV)
m
z
= m
0
, = 0.1 ps
m
z
= m
0
, = 0.1 ps
Figure 2.10: (a) The real part and (b) the imaginary part of the retarded Green function
of the innite 1D wire.
44
A Simple Example: Innite 1D Wire
(a)
(b)
z z (nm)
|

G
(
z
,
z

;
E
)

|

(
/
m
-
e
V
)
E - U (eV)
-0.05 0.00 0.05 0.10
0
2
4
6
D
e
n
s
i
t
y

o
f

S
t
a
t
e
s

(
/
m
-
e
V
)
E - U (eV)
Classical
=0.1 ps
=0.05 ps
m
z
=m
0
m
z
= m
0
, = 0.1 ps
Figure 2.11: (a) The absolute value of the retarded Green function and (b) the density
of states of the innite 1D wire. The classical density of states corresponds to the limit
when . The density of states are getting smoother as the phase relaxation time
decreases.
45
A Simple Example: Innite 1D Wire
where the upper sign refers to the case when z > z

and the lower sign when z < z

.
The squared magnitude of the retarded Green function can be written as

G
r
_
z, z

; E
_

2
=
m
z
2
2
exp(2Im[k] [z z

[)
_
(E U)
2
+
2
/4
2
. (2.180)
If we apply a very small constant electric eld F, the chemical potential becomes
(z) = qFz +, and the distribution function becomes
f (z, E) f (E)
f
E
(qFz) . (2.181)
Therefore, the current at z = 0 can be written as
I (0; E) =
2q
2
m
z
2

f
E
qFRe [k]
_

0
dz

G
r
_
0, z

; E
_

2
=
2q
2
F

f
E
Re [k]
(2Im[k])
2
_
(E U)
2
+
2
/4
2
_
1/2
. (2.182)
We can also calculate the same current starting from (2.167) as
I (0; E) =
2q

_
0

dz
1
_

0
dz
2
[T (z
2
, z
1
; E) f (z
1
; E) T (z
1
, z
2
; E) f (z
2
; E)] ,
(2.183)
where
T
_
z, z

; E
_
=

2

G
r
_
z, z

; E
_

2
. (2.184)
Inserting (2.181) to (2.183), we obtain
I (0; E) =
2q
2
F

f
E
_
0

dz
1
_

0
dz
2
T (z
2
, z
1
; E) (z
1
z
2
)
=
2q
2
F

f
E
m
z
2
2
_
(E U)
2
+
2
/4
2
_
1/2

_
0

dz
1
_

0
dz
2
(z
2
z
1
) e
2Im[k](z
2
z
1
)
=
2q
2
F

f
E
m
z

1
(2Im[k])
3
_
(E U)
2
+
2
/4
2
_
1/2
. (2.185)
From (2.176), we obtain
k
2
= Re [k]
2
Im[k]
2
+ 2Re [k] Im[k] i =
2m
z
(E U +i/2)

2
. (2.186)
46
Conclusion
Therefore,
Re [k] =
m
z

1
2Im[k]
, (2.187)
and inserting it to (2.182), we can verify that (2.182) and (2.185) are equivalent. In-
serting the expression for the local density of states (2.177) to (2.182) or (2.185) and
integrating over E, we obtain the expression for the total current in the 1D wire as
I =
q
2
F
k
B
T
_

dE (E)
_
Re [k]
m
z
_
2
N
0
(E) f (E) [1 f (E)] , (2.188)
where we have used the relation as
f
E
=
f (E) [1 f (E)]
k
B
T
. (2.189)
It is very interesting that (2.188) is actually very similar to the current expression
obtained from the Boltzmann transport equation, where Re [k] /m
z
corresponds to
the group velocity and (E) to the momentum relaxation time. We will revisit this
subject in chapter 4.
2.9 Conclusion
In this chapter, we reviewed the NEGF formalism. We derived the quantum kinetic
equations starting from the Hamiltonian of the electron-phonon system, and we also
derived the self-energy functions for the electron-phonon interactions, which is found
to be spatially local. As a result, we obtained the simplied quantum kinetic equa-
tions (2.153), (2.154), and (2.155), which will constitute the basis of our study on the
quantum transport in the semiconductor devices. We also introduced two kinds of
expressions for the current density, and the linear response of the current in the near
equilibrium condition. Finally, we introduced a simple innite 1D wire example, and
applied the NEGF formalism to obtain the analytic expressions for the retarded Green
function and the other physical quantities.
47
Chapter 3
Implementation of Quantum
Transport Model for the 3D
Simulation of MOSFET Devices
3.1 Introduction
In the previous chapter, we introduced the quantum kinetic equations including the
electron-phonon interactions. Implementation of these quantum kinetic equations for
the 3D simulation of MOSFET devices requires special attention, since the size of
the quantum kinetic equations after the discretization of the 3D spatial domain is
prohibitively large. When the scattering process is neglected, our quantum kinetic
equations are equivalent to the Schrodinger equation, and there exist several previous
works that directly solve the Schrodinger equation in the 2D spatial domain [58, 62,
71]. Our problem, however, is much more complicated, since we have to solve the
quantum kinetic equations including the microscopic scattering process in 3D spatial
domain. To reduce the computational burden, the mode space approach was proposed
48
Mode Space Representation
in [57, 61, 71, 74, 77], which can be viewed as a basis transformation from the real
space representation to the mode space representation. Although this transformation
itself does not involve any approximation and the problem size does not change, we
can reduce the problem size by truncating high energy modes in the end, since the
occupation probability decreases rapidly as the mode number increases. Also, we can
neglect the coupling between the modes when the geometry of the device is relatively
uniform along the transport direction. These two considerations greatly reduce the
computational complexity without introducing signicant error.
In this chapter, we rst derive the quantum kinetic equations in the mode space
representation, which reduces the original 3D quantum kinetic equations to coupled
quasi-1D equations. Then, we include the eects of the semi-innite source and drain
leads by introducing self-energy functions, which enables us to consider only the device
region excluding the semi-innite leads. We also explain the procedure to obtain 2D
bound states in each cross section, which involves the nite-element discretization.
Finally, we explain the iteration between the Poisson and the transport equations to
obtain the self-consistent solution and conclude this chapter.
3.2 Mode Space Representation
We consider 3D structures as shown in Fig. 3.1. Whereas the domain has arbitrary
shape in the x-y cross-section, it is relatively uniform along the z-direction (the shape
of the cross-section does not change). The domain is partitioned into a device (
0
)
and semi-innite source and drain leads (
s
and
d
). In the source and drain leads,
the potential energy are uniform along the z-direction, and each lead is in equilibrium
state with Fermi-energy equal to
s
and
d
, respectively. The boundaries of the device
region between the source and drain leads are
s
and
d
, and the other boundaries are
denoted by
0
.
49
Mode Space Representation

0
y
z x
(a) 3D view
(b) y-z cross-section
(c) x-y cross-section
y
x
z

0
source lead
(
s
)
device
(
0
)
drain lead
(
d
)
source contact (
s
) drain contact (
d
)

s

d

0
source contact (
s
) drain contact (
d
)
y
x z
source lead
(
s
)
device
(
0
)
drain lead
(
d
)
z
s
z
d

Figure 3.1: Schematics of the 3D domain for the quantum simulation. Whereas the
domain has arbitrary shape in the x-y cross-section, it is relatively uniform along the
z-direction (the shape of the x-y cross-section does not change). The domain is par-
titioned into a device (
0
) and semi-innite source and drain leads (
s
and
d
). In
the source and drain leads, the potential energy are uniform along the z-direction, and
each lead is in equilibrium state with Fermi-energy equal to
s
and
d
, respectively.
The boundaries of the device region between the source and drain leads are
s
and
d
,
and the rest of boundary is denoted by
0
.
50
Mode Space Representation
3.2.1 Separation of Hamiltonian and Mode Space Basis Function
In the mode space approach [57, 61, 71, 74, 77], we rst decompose the 3D one-electron
Hamiltonian into the local and nonlocal parts with respect to the z-coordinate as
H
v
3D
(x, y, z) = H
v
2D
(x, y, z)

2
2

z
_
1
m
v
z

z
_
, (3.1)
where the 2D Hamiltonian H
v
2D
(x, y, z) can be written as
H
v
2D
(x, y, z) =

2
2

x
_
1
m
v
x

x
_


2
2

y
_
1
m
v
y

y
_
+U (x, y, z) . (3.2)
In the bounded 2D domain
z
corresponding to the x-y cross-section of the device at
z, we can solve the 2D Schrodinger equation as
H
v
2D
(x, y, z)
v,n
z
(x, y) = E
v,n
z

v,n
z
(x, y) , (3.3)
where
v,n
z
(x, y) is the n-th 2D bound state and E
v,n
z
its energy level. The obtained
2D bound states are real functions that satisfy the orthonormality condition as
_

v,m
z
(x, y)
v,n
z
(x, y) dxdy =
m,n
. (3.4)
Therefore, we can use these 2D bound states as the basis functions to expand the Green
functions described in chapter 2.
3.2.2 Equation for Retarded Green Function
Using the 2D bound states at z and z

, we can expand the retarded Green function as


G
r
v
_
r, r

; E
_
=
M

m=1
M

n=1
g
m,z
n,z

v,m
z
(x, y)
v,n
z

_
x

, y

_
, (3.5)
where M is the number of 2D bound states and the coecient g
m,z
n,z

is dened by
g
m,z
n,z

=
_

z
dxdy
_

dx

dy

v,m
z
(x, y) G
r
v
_
r, r

; E
_

v,n
z

_
x

, y

_
. (3.6)
51
Mode Space Representation
(a)
(b)
(c)

0
y
z x

i
y
x
z

0
source lead
(
s
)
drain lead
(
d
)
z-slice

0
y
x z
z
s
(z
1
) z
d
(z
NZ
) z
i
a
z
i-1
z
i+1

Figure 3.2: Discretization of the domain along the z-direction. Uniform grid of spacing
a is used. The number of z-slices within the device region is N
z
.
52
Mode Space Representation
Inserting (3.5) into (2.153), we obtain

m,n
_

2
2m
z

2
z
2
+E E
m
z
+
i
2 (r; E)
_
g
m,z
n,z

m
z
(x, y)
n
z

_
x

, y

_
=
_
r r

_
, (3.7)
where we suppress the valley index for clarity and assume that the eective mass in
the z direction is independent of z position. Multiplying
n
z

(x

, y

) in both sides of the


above equation and integrating over x

and y

, we obtain
M

m=1
_

2
2m
z

2
z
2
+E E
m
z
+
i
2 (r; E)
_
g
m,z
n,z

m
z
(x, y) =
_
z z

n
z
(x, y) . (3.8)
After dividing the z coordinate using the uniform grid of spacing a as shown in Fig. 3.2,
we integrate (3.8) with respect to z from z
i
a/2 to z
i
+a/2. Then, we apply the control
volume discretization method with z = z
i
and z

= z
i
to obtain
M

m=1
a
_
tg
m,i+1
n,i


m
i+1
+tg
m,i1
n,i


m
i1
+
_
E E
m
i
+
i
2
2t
_
g
m,i
n,i

m
i
_
=
i,i

n
i
, (3.9)
where t =
2
/
_
2m
z
a
2
_
, g
m,i
n,j
= g
m,z
i
n,z
j
, and
m
i
=
m
z
i
(x, y). Multiplying
l
z
i
(x, y) and
integrating over x and y, we obtain
M

m=1
at
_
c
m,l
i
g
m,i+1
n,i

+c
l,m
i1
g
m,i1
n,i

_
+a
_
E E
l
i
+
i
2
l
(i; E)
2t
_
g
l,i
n,i

=
i,i

l,n
, (3.10)
where c
m,l
i
is the nonlocal mode coupling coecient as
c
m,l
i
=
_

m
i+1
(x, y)
l
i
(x, y) dxdy, (3.11)
and
1

l
(i; E)
=
_

l
i
(x, y)
2
(x, y, z
i
; E)
dxdy. (3.12)
In the above equation, we neglect cross terms by assuming
_

l
i
(x, y)
m
i
(x, y)
(r; E)
dxdy 0 if l ,= m, (3.13)
53
Mode Space Representation
which is a reasonable assumption, since 1/ (r; E) is relatively uniform positive quantity
in the x-y cross-section. We can express (3.10) in the matrix form as
a
_
E H
r
p
(E)

G
r
(E) = I, (3.14)
and the element of each matrix can be written as
i, m[ H[j, n) = tc
n,m
i

i+1,j
tc
m,n
i1

i1,j
+ (2t +E
m
i
)
i,j

m,n
, (3.15)
i, m[
r
p
[j, n) =
i
2
m
(i; E)

i,j

m,n
, (3.16)
i, m[ I [j, n) =
i,j

m,n
, (3.17)
where < i, j < and 1 m, n M. When the shape of the z-slice is uniform
and the potential energy varies smoothly along the z direction, we can assume that
c
n,m
i

n,m
, which is called the decoupled mode space approximation [57]. In this
case, the element of the Hamiltonian matrix becomes
i, m[ H[j, n) = [t
i+1,j
+t
i1,j
(2t +E
m
i
)
i,j
]
m,n
, (3.18)
and (3.14) can be solved independently with respect to dierent modes.
If we choose M equal to the number of nodes in the x-y plane (N
xy
), the mode
space discretization becomes equivalent to the real space discretization. In the actual
applications, however, M can be chosen much less than N
xy
because the occupation
probability decreases rapidly as the mode number increases and high energy modes do
not contribute to the electron density. Therefore, the mode space discretization is much
more ecient than the real space discretization for the considered device structure.
54
Mode Space Representation
3.2.3 Observable Quantities
We also have to express the electron and hole density and the terminal current in terms
of the mode space representation. Inserting (3.5) into (2.154), we obtain
n(r; E) =
2

m,n

k,l
_
dr

_
g
m,z
n,z

g
k,z
l,z

m
z
(x, y)
n
z

(x

, y

)
k
z
(x, y)
l
z

(x

, y

p
(r

; E)
=
2

m,n

k
_

dz

_
g
m,z
n,z

g
k,z
n,z

m
z
(x, y)
k
z
(x, y)

n
p
(z

; E)
. (3.19)
Integrating (3.19) over x and y, we obtain
n
1D
(z; E) =
2

m,n
_

dz

n
p
(z

; E)

g
m,z
n,z

2
, (3.20)
where n
1D
(z; E) is the 1D electron density per unit energy. Since n
1D
(z; E) is the sum
of 1D electron density per energy at each mode as
n
1D
(z; E) =

m
n
m
1D
(z; E) , (3.21)
the 1D electron density per energy at the mode m can be written as
n
v,m
1D
(z
i
; E) =
2a

n=1

j=
[i, m[ G
r
(E) [j, n)[
2

v,n
p
(j; E)
. (3.22)
In a similar way, the 1D hole density per unit energy at the mode m can be written as
p
v,m
1D
(z
i
; E) =
2a

n=1

j=
[i, m[ G
r
(E) [j, n)[
2

v,n
n
(j; E)
. (3.23)
The electron and hole volume density per unit energy can be obtained from the 1D
electron and hole density per unit energy at each mode as
n
v
(r; E) =
M

m=1
n
v,m
1D
(z; E)
v,m
z
(x, y)
2
, (3.24)
p
v
(r; E) =
M

m=1
p
v,m
1D
(z; E)
v,m
z
(x, y)
2
, (3.25)
55
Mode Space Representation
and the total electron and hole volume density can be written as
n
v
(r) =
M

m=1

v,m
z
(x, y)
2
_

n
v,m
1D
(z; E) dE, (3.26)
p
v
(r) =
M

m=1

v,m
z
(x, y)
2
_

p
v,m
1D
(z; E) dE. (3.27)
Similarly, we can also obtain the energy spectrum of current between k and (k + 1)-th
cross sections from (2.161) as
I
k
(E) =
2qa
2

i=

j=k+1
M

m,n
_
[j, n[ G
r
(E) [i, m)[
2

v,n
(j; E)
v,m
p
(i; E)

[i, m[ G
r
(E) [j, n)[
2

v,m
(i; E)
v,n
p
(j; E)
_
,
(3.28)
and the total current between k and (k + 1)-th cross sections can be written as
I
k
=
_

I
k
(E) dE. (3.29)
Due to the current continuity, I
k
should be independent of k.
3.2.4 Self-Energy Functions for Phonon Scattering
From (3.12), we can obtain the explicit expressions for the electron and hole out-
scattering rate in the mode space representation. The electron and hole out-scattering
rate due to the intravalley phonon scattering can be written as
1

v,m
ac,n
(z; E)
=
2

2
k
B
T
4u
2
l
M

n=1
p
v,n
1D
(z; E) F
v,m
v,n
(z) , (3.30)
1

v,m
ac,p
(z; E)
=
2

2
k
B
T
4u
2
l
M

n=1
n
v,n
1D
(z; E) F
v,m
v,n
(z) , (3.31)
where the form factor F
v,m
v

,n
is dened by
F
v,m
v

,n
(z) =
_

,n
z
(x, y)
2

v,m
z
(x, y)
2
dxdy. (3.32)
56
Boundary Conditions
Similarly, the electron and hole out-scattering rate due to the g-type intervalley phonon
scattering can be written as
1

v,m
j,n
(z; E)
=
(D
t
K
j
)
2
4
j
M

n=1
F
v,m
v,n
(z)

_
(N
j
+ 1) p
v,n
1D
(z; E
j
) +N
j
p
v,n
1D
(z; E +
j
)

, (3.33)
1

v,m
j,p
(z; E)
=
(D
t
K
j
)
2
4
j
M

n=1
F
v,m
v,n
(z)

_
(N
j
+ 1) n
v,n
1D
(z; E +
j
) +N
j
n
v,n
1D
(z; E
j
)

, (3.34)
and the electron and hole out-scattering rate due to the f-type intervalley phonon
scattering can be written as
1

v,m
j,n
(z; E)
=
(D
t
K
j
)
2
2
j

=v
M

n=1
F
v,m
v

,n
(z)

_
(N
j
+ 1) p
v

,n
1D
(z; E
j
) +N
j
p
v

,n
1D
(z; E +
j
)
_
, (3.35)
1

v,m
j,p
(z; E)
=
(D
t
K
j
)
2
2
j

=v
M

n=1
F
v,m
v

,n
(z)

_
(N
j
+ 1) n
v

,n
1D
(z; E +
j
) +N
j
n
v

,n
1D
(z; E
j
)
_
. (3.36)
3.3 Boundary Conditions
3.3.1 Finite-Dimensional Equation for the Retarded Green Function
Since (3.14) is an innite-dimensional matrix equation including the z-slices in the semi-
innite source and drain leads, we cannot obtain the solutions numerically. However, we
can obtain the retarded Green functions in the semi-innite leads analytically, since the
leads have uniform potential energy along the z-direction and they are in equilibrium
conditions. Therefore, instead of solving (3.14) in the entire domain, we can solve it
only within the device region by giving appropriate boundary conditions on
s
and
d
.
57
Boundary Conditions
device source lead drain lead

m=2
m=1
r
p

device source lead drain lead


m=2
m=1
r
s

r
d

r
p

(a) infinite matrix (b) finite matrix with boundary condition


Figure 3.3: (a) The original innite dimensional matrix including source lead, device,
and drain lead, and (b) equivalent nite dimensional matrix including only the device
with open boundary condition.
To obtain appropriate boundary conditions on
s
and
d
, we rst partition the
z-coordinate into three sub-domains: source lead ( < i < 1), device (1 i N
z
),
and drain lead (N
z
< i < ). Then, we can express (3.14) in terms of sub-matrices as
a
_

_
_
EI
1

r
ps
H
1
_
T

1
0
T
1
_
EI
2

r
p
H
2
_
T
3
0 T

3
_
EI
3

r
pd
H
3
_
_

_
_

_
G
r
1
G
r
12
0
G
r
21
G
r
2
G
r
23
0 G
r
32
G
r
3
_

_
=
_

_
I
1
0 0
0 I
2
0
0 0 I
3
_

_
, (3.37)
58
Boundary Conditions
where
i, m[
r
ps
[j, n) =
i
2
m
(1; E)

i,j

m,n
, (3.38)
i, m[
r
p
[j, n) =
i
2
m
(i; E)

i,j

m,n
, (3.39)
i, m[
r
pd
[j, n) =
i
2
m
(N
z
; E)

i,j

m,n
, (3.40)
i, m[ H
1
[j, n) = [t
i+1,j
+t
i1,j
(2t +E
m
1
)
i,j
]
m,n
, (3.41)
i, m[ H
2
[j, n) = tc
n,m
i

i+1,j
tc
m,n
i1

i1,j
+ (2t +E
m
i
)
i,j

m,n
, (3.42)
i, m[ H
3
[j, n) =
_
t
i+1,j
+t
i1,j

_
2t +E
m
N
z
_

i,j

m,n
, (3.43)
i, m[ T
1
[j, n) = t
i,1

j,0

m,n
, (3.44)
i, m[ T
3
[j, n) = t
i,N
z

j,N
z
+1

m,n
. (3.45)
From straightforward matrix algebra, we can obtain the MN
z
MN
z
matrix equation
for the retarded Green function in the device region as
a
_
EI
2
H
2

r
p
(E)
r
s
(E)
r
d
(E)

G
r
2
(E) = I
2
, (3.46)
where the self-energy functions for the source and drain leads can be written as

r
s
= aT
1
G
s
T
+
1
, (3.47)

r
d
= aT
3
G
d
T
+
3
, (3.48)
and their matrix elements are as follows:
i, m[
r
s
[j, n) = at
2
0, m[ G
s
[0, m)
i,1

j,1

m,n
, (3.49)
i, m[
r
d
[j, n) = at
2
N
z
+ 1, m[ G
d
[N
z
+ 1, m)
i,N
z

j,N
z

m,n
. (3.50)
In the above equations, G
s
and G
d
are retarded Green functions in the semi-innite
source and drain leads that satisfy
a
_
EI
1

r
ps
H
1
_
G
s
= I
1
, (3.51)
a
_
EI
3

r
pd
H
3
_
G
d
= I
3
. (3.52)
59
Boundary Conditions
-2 -1 0 1 2
-1.5
-1.0
-0.5
0.0
0.5
1.0
1.5
Re[] increasing direction
W
1
I
m
a
g
i
n
a
r
y

P
a
r
t
Real Part
W
2
Pole inside unit circle
-2 -1 0 1 2
-1.5
-1.0
-0.5
0.0
0.5
1.0
1.5
I
m
a
g
i
n
a
r
y

P
a
r
t
Real Part
Re[] increase
ta<0,m|G
s
|0,m>
(a) W
1
and W
2
(b) <0,m|G
s
|0,m>
Figure 3.4: The real and imaginary parts of (a) two poles (W
1
and W
2
) and (b)
ta 0, m[ G
s
(E) [0, m) as we increase the real part of . In the calculation, we choose t
and /2
m
equal to 0.6096 and 0.0033 eV, respectively.
3.3.2 Retarded Green Function in the Semi-Innite Lead
The retarded Green functions G
s
and G
d
in the semi-innite source and drain leads can
be obtained analytically. We rst note that each mode is decoupled in the semi-innite
leads, which enables us to calculate the Green functions for each mode separately. We
start from the expanded form of the retarded Green function at the source lead for
mode m as
i, m[ G
s
(E) [j, m) =

(z
i
)

(z
j
)
E E

+i/2
m
(1; E)
, (3.53)
where

and E

are the eigenfunction and eigenenergy that satisfy


H
1

(z) = E

(z) . (3.54)
Since the potential energy is uniform in the z-direction, the eigenfunction and eigenen-
ergy can be written as

k
(z
i
) =
1

2L
_
e
ika(i1)
e
ika(i1)
_
, (3.55)
60
Boundary Conditions
E
k
= 2t [1 cos (ka)] +E
m
1
, (3.56)
where L = Na is the length of source lead and N is the number of source sites. Note
that
k
(z
1
) = 0. Inserting (3.55) and (3.56) to (3.53), and replacing the summation
with integral by making N go to innity, we obtain
i, m[ G
s
(E) [j, m) =
1
2
_
/a
0
dk
_
e
ika(i1)
e
ika(i1)
_
e
ika(j1)
e
ika(j1)

E +i/2
m
(1; E) 2t E
m
1
+ 2t cos (ka)
.
(3.57)
As shown in (3.49), we are only interested in 0, m[ G
s
(E) [0, m) that can be written
as
0, m[ G
s
(E) [0, m) =
1
2
_
/a
0
dk
2 e
2ika
e
2ika
E +i/2
m
(1; E) 2t E
m
1
+ 2t cos (ka)
=
1
4ta
_

d
1 e
2i
+ cos
, (3.58)
where we introduce and to simplify the notation as
(E +i/2
m
(1; E) 2t E
m
1
) /2t, (3.59)
ka. (3.60)
If we substitute for W e
i
in (3.58), we obtain
0, m[ G
s
(E) [0, m) =
1
2ita
_
dW
1 W
2
W
2
+ 2W + 1
=
1
2ita
_
dW
1 W
2
(W W
1
) (W W
2
)
, (3.61)
where W
1
= +
_

2
1 and W
2
=
_

2
1. Therefore
0, m[ G
s
(E) [0, m) =
_

_
(ta)
1
_
+
_

2
1
_
when [W
1
[ < 1
(ta)
1
_

_

2
1
_
when [W
2
[ < 1
. (3.62)
Fig. 3.4 shows the real and imaginary parts of two poles (W
1
and W
2
) and ta 0, m[ G
s
(E) [0, m)
as we increase the real part of .
61
Boundary Conditions
3.3.3 Observable Quantities
Using the obtained boundary condition, we can also express the energy spectrum of
electron and hole density and the current in terms of nite-dimensional expressions.
The 1D electron density per energy at the mode m can be written as
n
v,m
1D
(z
i
; E) =
2a

n=1
N
z

j=1
[i, m[ G
r
(E) [j, n)[
2

v,n
p
(j; E)
+
2a

n=1
[i, m[ G
r
(E) [1, n)[
2
1, n[
in
s
[1, n)
+
2a

n=1
[i, m[ G
r
(E) [N
z
, n)[
2
N
z
, n[
in
d
[N
z
, n) , (3.63)
where
in
s
and
in
d
are dened by
i, m[
in
s
[j, n) = 2Im[1, m[
r
s
[1, m)] f
s
(E)
i,1

j,1

m,n
, (3.64)
i, m[
in
d
[j, n) = 2Im[N
z
, m[
r
s
[N
z
, m)] f
d
(E)
i,N
z

j,N
z

m,n
. (3.65)
If we dene
in
p
and
in
as
i, m[
in
p
(E) [j, n) =

v,m
p
(i; E)

i,j

m,n
, (3.66)

in
=
in
p
+
in
s
+
in
d
, (3.67)
equation (3.63) can be written as
n
v,m
1D
(z
i
; E) =
2a

i, m[ G
r
(E)
in
(E) G
a
(E) [i, m) . (3.68)
In a similar way, the 1D hole density per unit energy at the mode m can be written as
p
v,m
1D
(z
i
; E) =
2a

i, m[ G
r
(E)
out
(E) G
a
(E) [i, m) , (3.69)
where

out
=
out
p
+
out
s
+
out
d
, (3.70)
62
Calculation of 2D Bound States in the Cross-Section
i, m[
out
s
[j, n) = 2Im[1, m[
r
s
[1, m)] [1 f
s
(E)]
i,1

j,1

m,n
, (3.71)
i, m[
out
d
[j, n) = 2Im[N
z
, m[
r
s
[N
z
, m)] [1 f
d
(E)]
i,N
z

j,N
z

m,n
, (3.72)
i, m[
out
p
(E) [j, n) =

v,m
n
(i; E)

i,j

m,n
. (3.73)
The energy spectrum of current between k and (k + 1)-th cross sections when 1 k <
N
z
can be written as
I
k
(E) =
2qa
2

i=1
N
z

j=k+1
M

m,n
_
j, n[ (E) [j, n) [j, n[ G
r
(E) [i, m)[
2
i, m[
in
(E) [i, m)
i, m[ (E) [i, m) [i, m[ G
r
(E) [j, n)[
2
j, n[
in
(E) [j, n)
_
, (3.74)
where
(E) =
in
(E) +
out
(E) . (3.75)
Also, the energy spectrum of terminal current at the source and drain can be written
as
I
s
(E) =
2qa
2

tr
_
(
p
+
d
) G
r

in
s
G
a

s
G
r
_

in
p
+
in
d
_
G
a

=
2qa
2

tr
_
G
r

in
s
G
a

s
G
r

in
G
a

, (3.76)
I
d
(E) =
2qa
2

tr
_

d
G
r
_

in
s
+
in
p
_
G
a
(
s
+
p
) G
r

in
d
G
a

=
2qa
2

tr
_

d
G
r

in
G
a
G
r

in
d
G
a

, (3.77)
where
s
=
in
s
+
out
s
,
d
=
in
d
+
out
d
, and
p
=
in
p
+
out
p
.
3.4 Calculation of 2D Bound States in the Cross-Section
In this section, we will describe the nite element discretization of 2D Schrodinger
equation for the 2D bound states that are the basis functions of the mode space repre-
63
Calculation of 2D Bound States in the Cross-Section
sentation. We rewrite the 2D Schrodinger equation with the boundary condition:
_

2
2

x
_
1
m
x

x
_


2
2

y
_
1
m
y

y
_
+U (x, y)
_
(x, y) = E (z) (x, y) , (3.78)
(x, y) = 0 on
0
, (3.79)
where we dropped the mode index and the z-coordinate for clarity. To obtain the
weak variational form of the above equation, we multiply an arbitrary test function
that also obeys the same boundary condition ( = 0 on
0
) and integrate over the 2D
domain as [58, 62]

2
2
_

x
1
m
x

x
+

y
1
m
y

y
_
dr +
_

(U E) dr = 0. (3.80)
As shown in Fig. 3.5, lets suppose that the 2D domain is decomposed into the tri-
angular mesh elements with N
xy
nodal points r
1
, r
2
, . . . , r
N
xy
, and there exist global
shape functions
i
(r) that have the following property:

i
(r
j
) =
i,j
. (3.81)
Then, we can expand the wavefunction in terms of the shape functions as
(r) =
N
xy

i=1

i
(r)
i
, (3.82)
where
i
= (r
i
). The test function is also expanded in a similar way as
(r) =
N
xy

i=1

i
(r)
i
. (3.83)
Then, (3.80) becomes
N
xy

i=1

i
N
xy

j=1
_

2
2
_

i
x
1
m
x

j
x
+

i
y
1
m
y

j
y
_
dr +
_

i
(U E)
j
dr
_

j
= 0.
(3.84)
64
Calculation of 2D Bound States in the Cross-Section
(a) triangulation

0
y
x

i
j
k
i
i
j
k

i
(x, y)
j
k
x
y

i
(x, y)
(b) linear shape function
i (x
i
, y
i
)
j (x
j
, y
j
)
k (x
k
, y
k
)

k

j
w
j
l
j
l
k
l
i
w
i
(d) obtuse triangular element
i (x
i
, y
i
)
j (x
j
, y
j
)
k (x
k
, y
k
)

j
l
k
l
i
l
j
w
k
w
j
w
i
(c) acute triangular element

i
+
j
+
k
=
Figure 3.5: (a) Triangulation of 2D domain, (b) linear shape function
i
for node i, (c)
Acute triangular mesh element, and (d) obtuse triangular mesh elements.
65
Calculation of 2D Bound States in the Cross-Section
If this equation is to hold for arbitrary
i
, the coecient of
i
must vanish for every i,
which gives
N
xy

j=1
_

2
2
_

i
x
1
m
x

j
x
+

i
y
1
m
y

j
y
_
dr +
_

i
(U E)
j
dr
_

j
= 0. (3.85)
In a matrix form, it can be written as
(T +V ) [) = E[) , (3.86)
where
i[ T [j) =

2
2
_

i
x
1
m
x

j
x
+

i
y
1
m
y

j
y
_
dr, (3.87)
i[ V [j) =
_

i
U
j
dr, (3.88)
i[ [j) =
_

j
dr. (3.89)
For a certain triangular mesh element t that contains nodes i, j, and k as shown in
Fig. 3.5, we calculate the contribution of T using the linear shape function

( = i,
j, and k) which has unity value at node and zero value at the other two nodes as

(x, y) = 1 +
b

(x x

) +c

(y y

)
2
, (3.90)
where
= [(x
j
x
k
) y
i
+ (x
k
x
i
) y
j
+ (x
i
x
j
) y
k
] /2 (3.91)
is the area of the triangle and
b
i
= y
k
y
j
b
j
= y
i
y
k
b
k
= y
j
y
i
c
i
= x
j
x
k
c
j
= x
k
x
i
c
k
= x
i
x
j
. (3.92)
66
Self-Consistent Calculation
On the other hand, V and are obtained from the conventional control volume method.
As a result, T, V , and can be written as
T =

i,jt
[i) j[

2
2
1
4
_
b
i
b
j
m
x
+
c
i
c
j
m
y
_
, (3.93)
V =

it
[i) i[ U
i

i
, (3.94)
=

it
[i) i[
i
, (3.95)
and the index i and j run over the node indexes in the triangular mesh element t.
Equation (3.86) is a generalized eigenvalue problem for a real, symmetric matrix of
size N
xy
N
xy
. The matrix is very sparse, and we only have to calculate the lowest
M eigenvalues and eigenfunctions. This class of problem can be solved eciently by
the implicitly restarted Lanczos method using ARPACK package [91], which consists
of Fortran77 subroutines designed to solve large scale eigenvalue problems.
3.5 Self-Consistent Calculation
For a given electrostatic potential energy, the electron density and terminal current are
obtained from the NEGF formalism as we discussed in the previous sections. Since
the electron has the negative charge, the change in the electron density also aects
the electrostatic potential through the Poisson equation. Therefore, we have to nd
the self-consistent solution of the transport model and Poisson equation as shown in
Fig. 3.6.
The self-consistent iteration procedure can be regarded as a Newton iteration to
obtain the solution of the nonlinear Poisson equation as
T
U
U q
2
_
p (U) n[U] +N
+
d
N

= 0, (3.96)
where is the permittivity of the material, N
+
D
is the ionized donor density, and N

A
is the ionized acceptor density. In this work, we assume that holes in the valence band
67
Self-Consistent Calculation
Linearized Poisson Equation
,
n
n
U

( )
U r
NEGF
, , , , , ,
s p d s d
H U
( )
, n I r
Figure 3.6: Iteration between the Poisson equation and the transport model for ob-
taining the self-consistent solution of the electrostatic potential and electron density.
68
Self-Consistent Calculation
(minority carriers) are semi-classical particles in thermal equilibrium regardless of the
bias condition. With this assumption, the hole density p becomes a local function of
the electrostatic potential as
p (r) = N
v
F
1/2
_
U (r)
k
B
T
_
, (3.97)
where N
v
is the eective density of states in the valence band and F
j
is the Fermi-Dirac
integral of order j. In (3.96), the electron density is a functional of the electrostatic
potential energy, which is dened implicitly in terms of the NEGF formalism. For
each Newton iteration, we update the electrostatic potential by solving the linearized
Poisson equation as
[U (r)] q
2
_
p (r)
U (r)
U (r)
_

n
U
_
r, r

_
U
_
r

_
dr

_
= T
U
(U) , (3.98)
where n
U
(r, r

) dr

represents the response of the electron density at position r due


to the unit change of potential energy at position r

. Although the explicit expression


of n
U
(r, r

) can be obtained in principle, the actual calculation of this term is very


complicated and time consuming. Therefore, instead of solving (3.98) exactly, which
corresponds to the standard Newton iteration, we solve an approximate version of
(3.98) to update the electrostatic potential, where the complicated integration term
is substituted by a simple local term. Then, we calculate the electron density from
NEGF method using the updated potential energy. Compared with the quadratic
convergence behavior of the standard Newton scheme, the convergence behavior of this
self-consistent iteration procedure is inevitably degraded and largely aected by the
accuracy of the approximations used to simplify (3.98).
In this work, we use a semiclassical approximation to obtain the local expression of
the integration term as
_

n
U
_
r, r

_
U
_
r

_
dr


N
c
k
B
T
F
1/2
_
E
loc
F
(r) U (r)
k
B
T
_
U (r) , (3.99)
69
Conclusion
where N
c
is the eective density of states in the conduction band and E
loc
F
(r) is the
local Fermi energy that is dened to satisfy the following relation for a given density
and potential energy:
n(r) = N
c
F
1/2
_
E
loc
F
U (r)
k
B
T
_
. (3.100)
To summarize, we show the overall simulation procedure for the quantum transport
model in Fig. 3.7. We rst calculate the initial guess of the electrostatic potential and
electron density. Then, we obtain the 2D bound states by solving the 2D Schrodinger
equation for each valley and z-slice. Then, we calculate
n
,
p
, and for each electron-
phonon scattering mechanisms. For each valley, mode, and energy, we calculate the
retarded Green function, and update the electron and hole densities as well as the
current density. Then, the electrostatic potential is updated by solving the Poisson
equation. Repeating this procedure until the electrostatic potential converges, we ob-
tain the self-consistent solution for a given bias. We repeat the procedure for dierent
bias using the previous solution as an initial guess.
3.6 Conclusion
In this chapter, we derived the quantum kinetic equations in the mode space repre-
sentation and appropriate boundary condition. We also derived the expression for
the carrier density and the terminal current in this representation. We explained the
procedure to obtain 2D bound states in each cross section, which involves the nite-
element discretization. Finally, we explained the iteration between the Poisson and the
transport equations to obtain the self-consistent solution.
70
Conclusion
Guess initial potential and electron density
For each valley and z-slice
Solve 2D Schrdinger equation
to obtain
v,m
(z) and E
v,m
(z)
Update , , and
Update potential energy from the Poisson equation
No
Next bias: update gate electrode potential,
s
, and
d
For each valley, mode, and energy
Update
n
v,m
(z;E),
p
v,m
(z;E), and
v,m
(z;E)
save the results for the current bias condition

n
v,m
(z;E),
p
v,m
(z;E) converged?
No
Calculate retarded Green function: G
v,m
(z, z;E)
For each valley, mode, and energy
For each z-slice, update form factor
,
',
( )
v m
v n
F z
,
1
( ; )
v m
D
n z E
,
1
( ; )
v m
D
p z E
potential energy converged?
Yes
( ; ) I z E
Yes
More bias step? End
No
Yes
Figure 3.7: Flow chart illustrating the simulation procedure of the quantum transport
using the mode-space approach.
71
Chapter 4
Calculation of Low Field
Mobility in the Inversion Layer
of Silicon Nanowire Transistors
4.1 Introduction
The low eld mobility in the inversion layer of a MOSFET device has been one of
the key parameters that determine the current drivability of the device. Although
its role is less signicant in the nanoscale MOSFET devices than in the long channel
devices, it is still very important to validate our NEGF formalism by testing whether
it can reproduce the available measured low eld mobility data in the long channel
limit [92]. It is well known that the inversion layer mobility in the planar MOSFET
devices follows the universal mobility curve when we plot the mobility as a function of
eective eld [92], and this dependency is related with the quantum connement eect
due to a quantum well formed by the Si-SiO
2
interface and the substrate [9395].
A lot of theoretical works have been done to study the inversion layer mobility of
72
Calculation of Low Field Mobility
planar MOSFETs [90, 96100] or double-gate FETs (DGFETs) [101, 102], which are
basically the study of the linear response of the electronic system from the equilib-
rium condition to a small electric eld. In the literature, the electronic system in
thermal equilibrium condition is usually obtained from the Fermi statistics and the
self-consistent solution of the 1D Poisson equation and the 1D Schrodinger equation
along the depth direction of inversion layer, and the linear response of the current to
the electric eld is calculated from the Boltzmann transport equation.
In this chapter, we study the phonon-limited low eld mobility in the inversion
layer of nanowire transistors. Although there exist other scattering mechanisms such
as the impurity scattering and the surface roughness scattering in the inversion layer,
the phonon scattering is most important in the usual gate bias condition of MOSFET
devices. Although we follow the similar procedure to the above mentioned conven-
tional approach to calculate the inversion layer mobility, our approach is based on the
NEGF formalism rather than the semi-classical Boltzmann transport equation. Also,
we consider 2D cross-section rather than 1D, since we consider 3D nanowire transis-
tors. We also introduce the semi-classical approach based on the Boltzmann transport
equation, and show the similarity as well as the dierence between our approach and
the semi-classical one.
4.2 Calculation of Low Field Mobility
4.2.1 Low Field Mobility in the Uniform Nanowire
We consider an innite nanowire that is homogeneous along the transport (z) direction,
whereas it can have an arbitrary cross-section in the x-y plane. If we apply uniform
electric eld F along the z direction, the low eld mobility for electrons in the valley v
73
Calculation of Low Field Mobility
and mode m can be obtained from the following equation:

v,m
= lim
F0
_
I
v,m
qn
v,m
1D
F
_
, (4.1)
where I
v,m
is the electron current in the valley v and mode m, and n
v,m
1D
is the corre-
sponding 1D electron density. Since the magnitude of the electric eld goes to zero,
the linear response of the current is only needed to obtain the low eld mobility. The
eective mobility of the nanowire is the average of all the mobility for electrons in
dierent valleys and modes as
=
3

v=1
M

m=1
n
v,m
1D

v,m
n
1D
, (4.2)
where
n
1D
=
3

v=1
M

m=1
n
v,m
1D
. (4.3)
4.2.2 NEGF Approach
Since the nanowire is uniform along the transport direction, the quantum kinetic equa-
tions can be solved for each mode independently, and the eects of the other modes
are only indirectly included in the
v,m
n
(E) and
v,m
p
(E). Therefore, the problem of
uniform nanowire is equivalent to those of several uniform 1D wires, and we can make
use of the equations for the density of states, energy spectrum of electron and hole den-
sities, and the linear response of the current derived in section 2.8, which are rewritten
here for convenience as
N
v,m
1D
(E) =
2

Re [k]
_
(E E
v,m
)
2
+

2
4
v,m
(E)
2
_
1/2
, (4.4)
n
v,m
1D
(E) = N
v,m
1D
(E) f (E) , (4.5)
p
v,m
1D
(E) = N
v,m
1D
(E) [1 f (E)] , (4.6)
I
v,m
=
q
2
F
k
B
T
_

dE
v,m
(E)
_
Re [k]
m
v
z
_
2
N
v,m
1D
(E) f (E) [1 f (E)] ,(4.7)
74
Calculation of Low Field Mobility
where
k =
_
2m
v
z

2
_
E E
v,m
+i

2
v,m
(E)
_
1/2
. (4.8)
From (4.1) and (4.7), we can easily obtain the low eld mobility for electrons in the
valley v and mode m as

v,m
=
1
n
v,m
1D
q
k
B
T
_

dE
v,m
(E)
_
Re [k]
m
v
z
_
2
N
v,m
1D
(E) f (E) [1 f (E)] , (4.9)
where
n
v,m
1D
=
_

dEn
v,m
1D
(E) . (4.10)
4.2.3 Semi-Classical Approach
In the semi-classical approach, we start from the linearized Boltzmann transport equa-
tion in the presence of uniform electric eld along the z-direction within the relaxation
time approximation as
qFv
z
f (E)
E
=
f
ne
(k) f (E)
(E)
, (4.11)
where f (E) is the Fermi-Dirac distribution function, f
ne
(k) is the unknown nonequi-
librium distribution function, v
z
is the group velocity in the z-direction, and (E) is the
energy-dependent relaxation time. Rearranging (4.11), the nonequilibrium distribution
function can be written as
f
ne
(k) = f (E) +qF (E) v
z
f (E)
E
. (4.12)
Using the above expression for the nonequilibrium distribution function, we can express
the current in the valley v and mode m as
I
v,m
= 4
q
2
_

dkv
z
(k) f
v,m
(k)
= q
2
F
_

E
v,m
dE
v,m
(E) v
2
z

v,m
1D
(E)
f (E)
E
=
q
2
F
k
B
T
_

E
v,m
dE
v,m
(E) v
2
z

v,m
1D
(E) f (E) [1 f (E)] , (4.13)
75
Calculation of Low Field Mobility
where the square of the z-directional velocity and the semi-classical 1D density of states

v,m
1D
can be written as a function of energy as
v
2
z
=
2 (E E
v,m
)
m
v
z
, (4.14)

v,m
1D
(E) = 4
1

m
v
z
2 (E E
v,m
)
. (4.15)
We call (4.15) the semi-classical 1D density of states to distinguish it from the quantum-
mechanical 1D density of states (4.4) obtained from the NEGF formalism. From the
above equation, the electron mobility of the valley v and mode m can be written as

v,m
=
1
n
v,m
1D
q
k
B
T
_

E
v,m
dE
v,m
(E) v
2
z

v,m
1D
(E) f (E) [1 f (E)] . (4.16)
The above equation is called Kubo-Greenwood formula [103,104], and the calculation of
the low eld mobility is usually based on this equation. Note that (4.16) is very similar
to (4.9) derived from our NEGF formalism. We can see that the momentum relaxation
time, v
z
, and
v,m
1D
in (4.16) correspond to the phase relaxation time (
v,m
n
+
v,m
p
),
Re [k] /m
z
, and N
v,m
1D
in (4.9).
The explicit expression for the momentum relaxation time in (4.16) originated from
the electron-phonon scattering mechanisms can be obtained from the scattering oper-
ator of the Boltzmann transport equation as
_
f
t
_
c
=

_
S
_
x, x

_
f
ne
_
x

_
[1 f
ne
(x)] S
_
x

, x
_
f
ne
(x)
_
1 f
ne
_
x

__
,(4.17)
where x an abridged notation for the electron state (v, m, k
z
), and S (x

, x) is the
transition rate from a state x to a state x

. Using the detailed balance condition as


S
_
x, x

_
f
_
E

_
[1 f (E)] = S
_
x

, x
_
f (E)
_
1 f
_
E

_
, (4.18)
we can obtain the expression for the momentum relaxation time as [99]
1
(x)
=

S
_
x

, x
_
1 f (E

)
1 f (E)
_
1
v

z
(x

)
v
z
(x)
_
. (4.19)
76
Calculation of Low Field Mobility
For the electron-phonon scattering mechanisms considered in this work, the transition
rate is isotropic with respect to k
z
, which can be expressed by
S
__
v

, n

, k

z
_
, (v, m, k
z
)

= S
__
v

, n

, k

z
_
, (v, m, k
z
)

. (4.20)
Therefore, the momentum relaxation time can be written as
1

v,m
(E)
=

,n,k

z
S
__
v

, n, k

z
_
, (v, m, k
z
)

1 f (E

)
1 f (E)
, (4.21)
which is independent of the sign of k
z
.
For the intravalley acoustic phonon scattering mechanism, the momentum relax-
ation time can be written as
1

v,m
ac
(E)
=
2

2
k
B
T
4u
2
l
M

n=1

v,n
1D
(E) F
v,m
v,n
. (4.22)
For the intervalley phonon scattering mechanisms, the momentum relaxation time for
the g-type transition can be written as
1

v,m
j
(E)
=
(D
t
K
j
)
2
4
j
M

n=1
F
v,m
v,n
_
(N
j
+ 1)
v,n
1D
(E
j
)
1 f (E
j
)
1 f (E)
+ N
j

v,n
1D
(z; E +
j
)
1 f (E +
j
)
1 f (E)
_
, (4.23)
and the momentum relaxation time for the f-type transition can be written as
1

v,m
j
(z; E)
=
(D
t
K
j
)
2
2
j

=v
M

n=1
F
v,m
v

,n
_
(N
j
+ 1)
v

,n
1D
(E
j
)
1 f (E
j
)
1 f (E)
+ N
j

,n
1D
(E +
j
)
1 f (E +
j
)
1 f (E)
_
. (4.24)
Finally, the overall momentum relaxation time for electrons in a state (v, m, E) can be
written as
1

v,m
(E)
=
1

v,m
ac
(E)
+

j
1

v,m
j
(E)
. (4.25)
77
Calculation of Low Field Mobility
4.2.4 Relation Between Momentum Relaxation Time in the Semi-
Classical Formalism and Phase Relaxation Time in the NEGF
Formalism
In equilibrium condition, we can verify that the phase relaxation time in the NEGF
formalism and the momentum relaxation time in the semi-classical formalism are equiv-
alent. The only dierence comes from the dierence in the density of states (4.4) and
(4.15). We will explicitly show this equivalence for each scattering mechanism.
For the intravalley phonon scattering, the phase relaxation time is the sum of (3.30)
and (3.31) as
1

v,m
ac
(E)
=
2

2
k
B
T
4u
2
l
M

n=1
N
v,n
1D
(E) F
v,m
v,n
. (4.26)
We can see that (4.26) is equal to (4.22) if the local density of states obtained from
NEGF formalism is changed by the semi-classical 1D density of states.
In a similar way, we can verify that the phase relaxation time for the intervalley
phonon scattering is equal to the momentum relaxation time. In equilibrium condition,
the following equation holds
p
1D
(E
j
) +
N
j
N
j
+ 1
n
1D
(E
j
) = N
1D
(E
j
)
1 f (E
j
)
1 f (E)
, (4.27)
and from this relation, the equivalence can be easily shown. To show the above relation,
we use the following relations:
p
1D
(E
j
) = N
1D
(E
j
) [1 f (E
j
)] , (4.28)
n
1D
(E
j
) = N
1D
(E
j
) f (E
j
) , (4.29)
N
j
/ (N
j
+ 1) = e

j
/k
B
T
. (4.30)
78
Simulation Results and Discussion
If we insert the above equations to the left hand side of (4.27), it becomes
l.h.s. = N
1D
(E
j
) [1 f (E
j
)]
_
1 +e

j
/k
B
T
f (E
j
)
1 f (E
j
)
_
= N
1D
(E
j
) [1 f (E
j
)]
_
1 +e
(E)/k
B
T
_
= N
1D
(E
j
) [1 f (E
j
)] / [1 f (E)] , (4.31)
which is equal to the right hand side of (4.27). From this relation, we can easily show
that the phase relaxation time and the momentum relaxation time are also equivalent in
the intervalley phonon scattering mechanism if we interchange the quantum-mechanical
density of states with the semi-classical one.
4.3 Simulation Results and Discussion
We consider the 2D cross-sections of the narrow-width double gate eld eect tran-
sistors (DGFETs) that have symmetric top and bottom gates as shown in Fig. 4.1.
The gate material is the ideal n
+
polysilicon whose work function is equal to the con-
duction band edge. The oxide thickness is 1nm and the p-type substrate doping is
2 10
16
/cm
3
. The thickness and the width of the silicon substrate are denoted by t
si
and w
si
, respectively.
Fig. 4.2 shows the electron density at the x-y cross-section of the DGFETs with
four dierent t
si
and w
si
pairs when V
G
= 1 V. When the area of the cross-section is
small, the electrons are accumulated at the center of the channel, which is also called
the volume inversion. As the area of the cross-section increases, the inversion layer
forms only at the vicinity of the top and bottom interfaces. When the inversion layer
forms only at the surface region, the dependence of electron mobility on the gate bias
is expected to be similar to that of planar MOSFET devices.
In Fig. 4.3, we plot the calculated eective phonon-limited mobility as a function
of gate bias and eective eld for the DGFETs with t
si
/w
si
equal to 15nm/15nm,
79
Simulation Results and Discussion
10nm/10nm, 5nm/5nm, and 3nm/3nm. We dene the eective eld of the double-gate
transistor as
E
e
=
1
2
si
w
si
_
[Q
n
[
2
+[Q
d
[
_
, (4.32)
where Q
n
and Q
d
are the electron and depletion charge per unit length. In the g-
ure, we also plot the measured universal phonon-limited mobility of planar MOS-
FET devices [92]. The calculated mobility decreases as the gate voltage increases,
and it also decreases as the area of the cross section decreases. These dependencies
are consistent with the previously reported theoretical and experimental results about
DGFETs [101,102]. We rst expect that if we plot the calculated mobility as a function
of eective eld, it should follow the measured universal phonon-limited mobility of pla-
nar MOSFET devices as the area of the cross-section increases. We nd, however, that
there exists large dierence between the measured and calculated mobility, where the
calculated mobility of the largest area case is about two times larger than the universal
mobility. We nd that this inconsistency is not due to our quantum formalism but due
to the incomplete theory of phonon scattering [90, 95]. We nd that the inconsistency
is also present in other theoretical works [90,95,96,98,100], and we think that it reects
that current understanding of the phonon scattering mechanism in the inversion layer
is not complete.
In Fig. 4.4, we plot the temperature dependence of eective phonon-limited mobility
of the DGFETs with t
si
/w
si
= 10 nm/10 nm together with the measured temperature
dependence of eective phonon-limited mobility of planar MOSFET devices [92]. Al-
though the calculated mobility is larger than the measured one, they have similar
temperature dependencies.
In Fig. 4.5, we compare the density of states and the energy spectrum of electron
density obtained from the NEGF formalism with those from the semiclassical formal-
ism. The singularities present in the semiclassical results are smoothed out in the
80
Conclusion
NEGF calculation. This characteristic is related with the scattering mechanism, and
the broadening eect becomes larger as the scattering process is enhanced. Also, the
density of states is getting close to that of bulk as the area of the cross-section increases.
4.4 Conclusion
In this chapter, we have studied the phonon-limited low eld mobility in the inversion
layer of nanowire transistors using the NEGF formalism. We have shown the close
relation between our approach and the semi-classical formalism. The calculated eec-
tive mobility is found to be larger than the measured universal mobility curve, which
is actually a known problem that has been also reported in the semi-classical calcu-
lations [90, 95, 96, 98, 100], and it reects that current understanding of the phonon
scattering mechanism in the inversion layer is not complete. In spite of the inconsis-
tency, we obtain similar dependencies of eective mobility on the gate bias and the
lattice temperature.
81
Conclusion
y
x
z
y
z x
gate
gate
oxide
t
si
w
si
silicon: N
a
=210
16
/cm
3
silicon
t
ox
Figure 4.1: Structure of simulated DGFET and its 2D cross-section. The gate material
is the ideal n
+
polysilicon whose work function is equal to the conduction band edge.
The oxide thickness is 1nm and the p-type substrate doping is 2 10
16
/cm
3
. The
thickness and the width of the silicon substrate are denoted by t
si
and w
si
, respectively,
and four cases of t
si
/w
si
(15nm/15nm, 10nm/10nm, 5nm/5nm, and 3nm/3nm) are
considered.
82
Conclusion
(b) t
si
/w
si
=5nm/5nm (a) t
si
/w
si
=3nm/3nm
(c) t
si
/w
si
=10nm/10nm (d) t
si
/w
si
=15nm/15nm
V
G
=1 V, T=300 K
Figure 4.2: Electron density at the x-y cross-section of the DGFETs with t
si
/w
si
equal
to (a) 5nm/5nm, (b) 10nm/10nm, and (c)15nm/15nm when V
G
= 1 V.
83
Conclusion
(a) V
G
vs.
eff
(b) E
eff
vs.
eff
0.0 0.2 0.4 0.6 0.8 1.0
800
900
1000
1100
1200
1300
1400
1500
1600
T
si
/W
si
15n/15n
10n/10n
5n/5n
3n/3n
M
o
b
i
l
i
t
y

(
c
m
2
/
V
-
s
e
c
)
Gate Voltage (V)
T=300 K
10
5
10
6
400
600
800
1000
1200
1400
1600
T=300 K
T
si
/W
si
15n/15n
10n/10n
5n/5n
3n/3n
M
o
b
i
l
i
t
y

(
c
m
2
/
V
-
s
e
c
)
Effective Field (V/cm)
Universal phonon-limited mobility
Figure 4.3: Eective phonon-limited mobility as a function of (a) gate bias and (b) ef-
fective eld for the DGFETs with t
si
/w
si
equal to 15nm/15nm, 10nm/10nm, 5nm/5nm,
and 3nm/3nm. We also plot the measured universal phonon-limited mobility in the
planar MOSFET device.
84
Conclusion
100 200 300 400 500
10
2
10
3
10
4
T
si
/W
si
=10n/10n
Measurement: Takagi
M
o
b
i
l
i
t
y

(
c
m
2
/
V
-
s
e
c
)
Temperature (K)
Simulation
E
eff
=0.1 MV/cm
N
s
= 10
12
/cm
2
(b)
(a)
10
5
10
6
1000
2000
3000
4000
5000
6000
7000

T=150 K
400 K
350 K
300 K
250 K
M
o
b
i
l
i
t
y

(
c
m
2
/
V
-
s
e
c
)
Effective Field (V/cm)
200 K
T
si
/W
si
=10n/10n
Figure 4.4: Temperature dependence of eective phonon-limited mobility for the
DGFETs with t
si
/w
si
= 10 nm/10 nm. We also plot the measured temperature depen-
dence in the planar MOSFET device.
85
Conclusion
(a) t
si
/w
si
=5nm/5nm
(b) t
si
/w
si
=10nm/10nm
(c) t
si
/w
si
=15nm/15nm
0.0 0.2 0.4 0.6 0.8
0
5
10
15
Semi-Classical
NEGF
D
e
n
s
i
t
y

o
f

S
t
a
t
e
s

(
1
0
1
0
/
m
-
e
V
)
Energy (eV)
t
si
/w
si
=5nm/5nm
V
G
=0 V, T=300 K
0.0 0.1 0.2 0.3
0.00
0.02
0.04
0.06
0.08
0.10
Semi-Classical
NEGF
t
si
/w
si
=5nm/5nm
V
G
=0 V, T=300 K
E
l
e
c
t
r
o
n

D
e
n
s
i
t
y

(
1
0
1
0
/
m
-
e
V
)
Energy (eV)
0.0 0.2 0.4 0.6 0.8
0
5
10
15
20
25
30
35
Semi-Classical
NEGF
t
si
/w
si
=10nm/10nm
V
G
=0 V, T=300 K
D
e
n
s
i
t
y

o
f

S
t
a
t
e
s

(
1
0
1
0
/
m
-
e
V
)
Energy (eV)
0.0 0.1 0.2 0.3
0.0
0.1
0.2
0.3
0.4
Semi-Classical
NEGF
t
si
/w
si
=10nm/10nm
V
G
=0 V, T=300 K
E
l
e
c
t
r
o
n

D
e
n
s
i
t
y

(
1
0
1
0
/
m
-
e
V
)
Energy (eV)
0.0 0.2 0.4 0.6 0.8
0
5
10
15
20
25
30
35
40
45
50
55
60
65
70
75
80
Semi-Classical
NEGF
t
si
/w
si
=15nm/15nm
V
G
=0 V, T=300 K
D
e
n
s
i
t
y

o
f

S
t
a
t
e
s

(
1
0
1
0
/
m
-
e
V
)
Energy (eV)
0.0 0.1 0.2 0.3
0.0
0.1
0.2
0.3
0.4
0.5
t
si
/w
si
=15nm/15nm
V
G
=0 V, T=300 K
Semi-Classical
NEGF
E
l
e
c
t
r
o
n

D
e
n
s
i
t
y

(
1
0
1
0
/
m
-
e
V
)
Energy (eV)
Figure 4.5: The density of states and the energy spectrum of electron density of the
DGFETs with t
si
/w
si
equal to (a) 5nm/5nm, (b) 10nm/10nm, and (c)15nm/15nm. In
the graph, the Fermi-energy is the reference of energy ( = 0 eV).
86
Chapter 5
Simulation of Ballistic Transport
in Nanowire Transistors
5.1 Introduction
In the scaling limit of MOSFET devices, the physical gate length is expected to be
smaller than 10 nm. In this limit, the inuence of the carrier scattering process becomes
less important, and we can approximate the electronic transport as ballistic. Therefore,
the self-energy function for the scattering mechanisms can be neglected in the NEGF
formalism. In the semi-classical formalism, the ballistic Boltzmann transport equation
can be solved analytically [26, 57]. Therefore, both the quantum and semi-classical
transport models can be simplied in the ballistic limit. Since the actual performance
of MOSFET devices is always less than their ballistic limit, the simulation of ballistic
transport only gives the maximum available performance of MOSFET devices.
In this chapter, we take the short-channel limit, where the carrier scattering can
be neglected altogether, and study the performance of the silicon nanowire transistor
in the ballistic limit using the NEGF formalism and the semi-classical formalism em-
87
Boltzmann Transport Equation in the Ballistic Limit
phasizing their similarities and dierences. The silicon nanowire transistor is chosen,
since it is considered as an ideal structure for the suppression of the short-channel ef-
fects [2], and it is being explored as a candidate for the future device substituting the
planar MOSFET [7, 8, 11, 12]. We also study the inuence of the gate geometry on the
performance of the nanowire transistor.
This chapter is organized as follows. In section 5.2, we briey introduce the semi-
classical ballistic transport model based on the one-dimensional Boltzmann transport
equation. In section 5.3, we introduce the device structure of three nanowire transistors
that have dierent gate geometry. In section 5.4, we show the simulation results, and
conclude this chapter in section 5.5.
5.2 Boltzmann Transport Equation in the Ballistic Limit
We can describe the semi-classical electronic transport in nanowire transistors using
the decoupled mode space approach (also called adiabatic approximation) and the one-
dimensional (1D) semi-classical Boltzmann transport equation (BTE) for each mode.
In the valley v and mode m whose energy level along the z direction is schematically
shown in Fig. 5.1 (a), the 1D BTE can be written as
f (z, k
z
, t)
t
+v
z
f (z, k
z
, t)
z

1

E
v,m
z
f (z, k
z
, t)
k
z
=
_
f
t
_
c
, (5.1)
where v
z
k/(m
v
z
) is the group velocity and (f/t)
c
is the changing rate of the
distribution function due to collision. In the steady-state condition and in the ballistic
limit, the above equation is reduced to
v
z
f (z, k
z
)
z

1

E
v,m
z
f (z, k
z
)
k
z
= 0, (5.2)
and any function f (E) of total energy E (z, k
z
)
2
k
2
z
/ (2m
v
z
) +E
v,m
(z) satises the
above equation. To determine the explicit form of f, we rst introduce six subsets of
88
Boltzmann Transport Equation in the Ballistic Limit
the phase space (z, k
z
) as follows: Z

= z < z
p
, Z
+
= z z
p
, K

= k
z
< 0,
K
+
= k
z
0, E

= E < E
v,m
(z
p
), and E
+
= E > E
v,m
(z
p
). From the
phase portrait of the electron motion in the subband as shown in Fig. 5.1 (b), we
can nd that the electrons injected from the source contact determines the distribu-
tion function in S (Z

K
+
)

(Z

(Z
+

K
+

E
+
) and the elec-
trons injected from the drain contact determines the distribution function in D
(Z
+

(Z
+

K
+

(Z

E
+
). If we assume that the source and
drain leads are maintained in local equilibrium conditions, the electrons injected from
the source and drain contacts follow the Fermi-Dirac distribution with the Fermi energy

s
and
d
, respectively. Therefore, the solution f (z, k
z
) can be written as
f (z, k
z
) =
_
exp
_
E (z, k
z
)
s
k
B
T
_
+ 1
_
1
when (z, k
z
) S, (5.3)
and
f (z, k
z
) =
_
exp
_
E (z, k
z
)
d
k
B
T
_
+ 1
_
1
when (z, k
z
) D, (5.4)
which can be plotted according to z and k
z
as shown in Fig. 5.2.
From the solution of the ballistic BTE, we can obtain the electron density along
the z direction from the following expression:
n
v,m
1D
(z) =
4
2
_

f (z, k
z
) dk
z
, (5.5)
which gives
n
v,m
1D
(z) =
_

_
n
v
0
_
F
1/2
(
s
)
F
1/2
(
s
,
p
)
2
+
F
1/2
(
d
,
p
)
2
_
when z < z
p
n
v
0
_
F
1/2
(
d
)
F
1/2
(
d
,
p
)
2
+
F
1/2
(
s
,
p
)
2
_
when z > z
p
, (5.6)
89
Boltzmann Transport Equation in the Ballistic Limit

d
E
v,m
(z)
E
v,m
(z
p
)
z
p
z
E
(a)
(b)
z
p
z
k
z
injected from source
injected from drain
Figure 5.1: Schematic diagram of the (a) subband energy level along the z direction
and (b) the phase portrait of the electron motion in the subband, where z
p
is the z
position at the peak of the subband energy level.
90
Boltzmann Transport Equation in the Ballistic Limit
-0.8 -0.6 -0.4 -0.2 0.0 0.2 0.4
10
-16
10
-14
10
-12
10
-10
10
-8
10
-6
10
-4
10
-2
10
0
E
v,m
(z
p
)
s
O
c
c
u
p
a
t
i
o
n

P
r
o
b
a
b
i
l
i
t
y

(
%
)
Energy (eV)

d
z < z
p
, k
z
< 0
-0.8 -0.6 -0.4 -0.2 0.0 0.2 0.4
10
-16
10
-14
10
-12
10
-10
10
-8
10
-6
10
-4
10
-2
10
0
E
v,m
(z
p
)
s
O
c
c
u
p
a
t
i
o
n

P
r
o
b
a
b
i
l
i
t
y

(
%
)
Energy (eV)

d
z < z
p
, k
z
> 0
-0.8 -0.6 -0.4 -0.2 0.0 0.2 0.4
10
-16
10
-14
10
-12
10
-10
10
-8
10
-6
10
-4
10
-2
10
0
E
v,m
(z
p
)
s
O
c
c
u
p
a
t
i
o
n

P
r
o
b
a
b
i
l
i
t
y

(
%
)
Energy (eV)

d
z > z
p
, k
z
< 0
-0.8 -0.6 -0.4 -0.2 0.0 0.2 0.4
10
-16
10
-14
10
-12
10
-10
10
-8
10
-6
10
-4
10
-2
10
0
E
v,m
(z
p
)
s
O
c
c
u
p
a
t
i
o
n

P
r
o
b
a
b
i
l
i
t
y

(
%
)
Energy (eV)

d
z > z
p
, k
z
> 0
(a) (b)
(c) (d)
Figure 5.2: Occupation probability of electrons in the ballistic limit when (a) z < z
p
and k
z
> 0, (b) z < z
p
and k
z
< 0, (c) z > z
p
and k
z
> 0, and (d) z > z
p
and k
z
< 0.
91
Structure of Nanowire Transistor
where
n
v
0
= 4
_
m
v
z
k
B
T
2
2
, (5.7)

s
(z) =

s
E
v,m
(z)
k
B
T
, (5.8)

d
(z) =

d
E
v,m
(z)
k
B
T
, (5.9)

p
(z) =
E
v,m
(z
p
) E
v,m
(z)
k
B
T
, (5.10)
and F
1/2
(x) and F
1/2
(x, b) are the Fermi-Dirac integral of order 1/2 and the
incomplete Fermi-Dirac integral of order 1/2, which can be dened as
F
1/2
(x)
1

_

0
dt
t
1/2
exp(t x) + 1
, (5.11)
F
1/2
(x, b)
1

_

b
dt
t
1/2
exp(t x) + 1
, (5.12)
and their numerical implementation can be found in [105].
Also, the electron current at position z can be obtained from the following expression
I
v,m
(z) =
4q
2m
v
z
_

k
z
f (z, k
z
) dk
z
, (5.13)
which is independent of z as
I
v,m
=
2qk
B
T

_
ln
_
exp
_

s
E
v,m
(z
p
)
k
B
T
_
+ 1
_
ln
_
exp
_

d
E
v,m
(z
p
)
k
B
T
_
+ 1
__
.
(5.14)
5.3 Structure of Nanowire Transistor
We consider a three-dimensional nanowire transistor composed of a silicon body, oxide,
and gate electrode as shown in Fig. 5.3. The cylindrical silicon body is divided into
n
+
source (N
d
= 1.0 10
20
/ cm
3
), p

channel (N
a
= 1.0 10
12
/ cm
3
), and n
+
drain
(N
d
= 1.0 10
20
/ cm
3
) regions. The lengths of the source and drain regions (L
S
and
92
Structure of Nanowire Transistor
n
+
source n
+
drain p
-
channel 0 V V
D
V
G
L
S
L
G
L
D
(a)
(c) source/drain
(b)
y
z
x
y
x
z
t
ox
silicon
oxide
X Position (nm)
Y

P
o
s
i
t
i
o
n

(
n
m
)
gate
Z Position (nm)
t
si
y
x
z
(d) channel
Figure 5.3: The structure of the nanowire transistor considered in this chapter.
93
Simulation Results and Discussion
L
D
) are equal to 15 nm, whereas the channel length L
G
can be varied. The diameter
of the silicon body t
si
is 5 nm, and the gate oxide thickness t
ox
is 0.8 nm. The left
and right ends of the transistor are in contact with the semi-innite source and drain
leads maintained in local equilibrium conditions with the Fermi energy
s
and
d
,
respectively, and the net ux of electrons from the source to drain contact exists when
the condition
s
>
d
is maintained by the external positive drain voltage V
D
. Also,
the ideal metallic gate electrode whose work function is equal to the conduction band
edge electrostatically controls the magnitude of the electron ux by the external gate
voltage V
G
(the gate tunneling current is neglected). The x-y plane is discretized by
the triangular mesh with 474 nodes, and the z coordinate is discretized by the uniform
grid with mesh spacing a = 0.25 nm. The number of modes M is 5 for each valley,
which gives 15 subbands in total. In the simulation, the lattice temperature is xed to
300 K, the drain voltage is changed from 0 to 0.5 V, and the gate voltage is changed
from -0.4 to 0.3 V.
5.4 Simulation Results and Discussion
In Figs. 5.4 and 5.5, we compare the calculated I
D
versus V
G
and I
D
versus V
D
charac-
teristics of the nanowire transistor obtained from the NEGF formalism and the semi-
classical formalism. When the channel length is 7 nm, the NEGF formalism gives
larger subthreshold leakage current compared with the semi-classical formalism, which
is due to the source to drain tunneling current. In the above threshold region, the
dierence in the drain current is less signicant. As the channel length increases to
15 nm, the source to drain tunneling current becomes negligible, and the I
D
-V
G
char-
acteristics of these two models agree very well. Therefore, we can expect that the
inuence of the quantum eects along the transport direction on the current-voltage
characteristics are not signicant if the channel length is longer than 10 nm. In Figs.
94
Simulation Results and Discussion
5.6 and 5.7, we also compare the 1D electron density and the average electron velocity
along the z direction obtained from the NEGF formalism and the semi-classical formal-
ism. When L
G
= 7 nm, the electron density in the o-state (V
G
= 0.4 V) obtained
from the NEGF formalism is signicantly larger inside the channel compared with the
electron density obtained from the BTE, whereas both the quantum mechanical and
semi-classical formalisms predict similar electron density in the on-state (V
G
= 0.3 V).
Also, the penetration depth of the electrons into the channel is not changed signicantly
when the channel length is changed. Therefore, the overall dierences between the two
models become smaller as the channel length increases. Also, both models give similar
average electron velocity in the on-state, and the slight dierence is also due to the
nite penetration of electrons into the channel in the quantum mechanical formalism.
In Fig. 5.8, we show the squared magnitude of the wavefunctions (probability den-
sity) and their energy levels for the rst ve 2D bound states in the three dierent
valleys. Note that the subband energy levels of the rst and second valleys are nearly
degenerate. In the third valley, the energy levels of the second and third subbands are
very close, and those of the fourth and fth subbands are also very close. The degen-
eracy is mainly due to the cylindrical shape of the silicon body and the dierences in
the eective masses along the x and y directions. Figs. 5.9 and 5.10 show the subband
energy levels and electron densities of the nanowire transistor with L
G
= 7 nm along
the z-direction obtained from the NEGF formalism and the BTE. The two models give
nearly equal subband energy levels, whereas the subband enectron densities are dier-
ent due to the source to drain tunneling. The inuence of the source to drain tunneling
is more signicant in the rst valley, since the eective mass along the z-direction is
small.
We also study the inuence of the gate geometry on the performance of the nanowire
transistor. We consider three dierent gate geometries as shown in Fig. 5.11. Fig. 5.12
95
Conclusion
shows the obtained I
D
-V
G
and I
D
-V
D
characteristics of three dierent nanowire tran-
sistors with L
G
= 7 nm. As expected, the subthreshold leakage current decreases and
the on-current increases as the silicon body is more surrounded by the gate electrode.
We also plot the conduction band edge of three dierent devices in the o-state in
Fig. 5.13, which clearly shows that the gate controllability increases as the silicon body
is more surrounded by the gate electrode.
5.5 Conclusion
In this chapter, we have studied the ballistic transport of the nanowire transistor using
the NEGF formalism and the semi-classical BTE. We found that the both formalisms
give similar current-voltage characteristics when the channel length is larger than 10
nm, and the quantum eect along the transport direction (the source to drain tunneling)
starts to aect the subthreshold leakage current when the channel length is smaller than
10 nm. We also studied the inuence of the gate geometry on the performance of the
nanowire transistor, and we found that the subthreshold leakage current decreases and
the on-current increases as the silicon body is more surrounded by the gate electrode.
96
Conclusion
(a)
(b)
-0.4 -0.3 -0.2 -0.1 0.0 0.1 0.2 0.3
10
-4
10
-3
10
-2
10
-1
10
0
10
1
0
5
10
15
20
25
NEGF, Ballistic
BTE, Ballistic

D
r
a
i
n

C
u
r
r
e
n
t

(

A
)
Gate Voltage (V)
T=300 K, V
D
=0.5 V
L
G
=7 nm

D
r
a
i
n

C
u
r
r
e
n
t

(

A
)
-0.4 -0.3 -0.2 -0.1 0.0 0.1 0.2 0.3
10
-7
10
-6
10
-5
10
-4
10
-3
10
-2
10
-1
10
0
10
1
0
5
10
15
20
NEGF, Ballistic
BTE, Ballistic

D
r
a
i
n

C
u
r
r
e
n
t

(

A
)
Gate Voltage (V)
T=300 K, V
D
=0.5 V
L
G
=15 nm

D
r
a
i
n

C
u
r
r
e
n
t

(

A
)
Figure 5.4: I
D
versus V
G
characteristics of the nanowire transistor with (a) L
G
= 7 nm
and (b) L
G
= 15 nm in the ballistic limit obtained from the NEGF formalism and the
BTE.
97
Conclusion
0.0 0.1 0.2 0.3 0.4 0.5
0
5
10
15
20
25
L
G
=7 nm
T=300 K
NEGF, Ballistic
BTE, Ballistic
V
G
=0.3 V
V
G
=0.2 V
V
G
=0.1 V
D
r
a
i
n

C
u
r
r
e
n
t

(

A
)
Drain Voltage (V)
V
G
=0 V
(a)
(b)
0.0 0.1 0.2 0.3 0.4 0.5
0
5
10
15
20
L
G
=15 nm
T=300 K
NEGF, Ballistic
BTE, Ballistic
V
G
=0.3 V
V
G
=0.2 V
V
G
=0.1 V
D
r
a
i
n

C
u
r
r
e
n
t

(

A
)
Drain Voltage (V)
V
G
=0 V
Figure 5.5: I
D
versus V
D
characteristics of the nanowire transistor with (a) L
G
= 7 nm
and (b) L
G
= 15 nm in the ballistic limit obtained from the NEGF formalism and the
BTE.
98
Conclusion
-10 -5 0 5 10
10
0
10
1
10
2
10
3
10
4
10
5
10
6
10
7
10
8
V
G
=0.3 V
Device B
L=7 nm
T=300 K
V
D
=0.5 V
NEGF, Ballistic
BTE, Ballistic 1
D

E
l
e
c
t
r
o
n

D
e
n
s
i
t
y

(
/
c
m
)
Z Position (nm)
V
G
=-0.4 V
-15 -10 -5 0 5 10 15
10
-2
10
-1
10
0
10
1
10
2
10
3
10
4
10
5
10
6
10
7
10
8
V
G
=0.3 V
Device B
L=15 nm
T=300 K
V
D
=0.5 V
NEGF, Ballistic
BTE, Ballistic
1
D

E
l
e
c
t
r
o
n

D
e
n
s
i
t
y

(
/
c
m
)
Z Position (nm)
V
G
=-0.4 V
(a)
(b)
Figure 5.6: The electron density along the z direction for the nanowire transistor with
(a) L
G
= 7 nm and (b) L
G
= 15 nm obtained from the NEGF formalism and the BTE.
99
Conclusion
(a)
(b)
-10 -5 0 5 10
0
2
4
6
8
NEGF, Ballistic
BTE, Ballistic
L
G
=7 nm
T=300 K
V
D
=0.5 V
V
G
=0.3 V
E
l
e
c
t
r
o
n

V
e
l
o
c
i
t
y

(
1
0
7

c
m
/
s
e
c
)
Z Position (nm)
-15 -10 -5 0 5 10 15
0
2
4
6
8
NEGF, Ballistic
BTE, Ballistic
L
G
=15 nm
T=300 K
V
D
=0.5 V
V
G
=0.3 V
E
l
e
c
t
r
o
n

V
e
l
o
c
i
t
y

(
1
0
7

c
m
/
s
e
c
)
Z Position (nm)
Figure 5.7: The average electron velocity along the z direction for the nanowire tran-
sistor with (a) L
G
= 7 nm and (b) L
G
= 15 nm obtained from the NEGF formalism
and the BTE.
100
Conclusion
mode 1
mode 2
mode 3
mode 4
mode 5
valley 1
m
x
=0.98m
0
, m
y
=0.19m
0
E
1
=-0.0429 eV
E
2
=0.0039 eV
E
3
=0.0908 eV
E
4
=0.1476 eV
E
5
=0.2061 eV
E
1
=-0.0426 eV
E
2
=0.0044 eV
E
3
=0.0928 eV
E
4
=0.1488 eV
E
5
=0.2087 eV
E
1
=0.0144 eV
E
2
=0.2226 eV
E
3
=0.2237 eV
E
4
=0.5120 eV
E
5
=0.5132 eV
valley 2
m
x
=0.19m
0
, m
y
=0.98m
0
valley 3
m
x
=0.19m
0
, m
y
=0.19m
0
Figure 5.8: Squared magnitude of the wavefunctions of three valleys and ve modes at
the source section.
101
Conclusion
-10 -5 0 5 10
-0.6
-0.4
-0.2
0.0
0.2
0.4
0.6
NEGF, Ballistic
BTE, Ballistic
Valley 1
V
D
=0.5 V
V
G
=-0.4 V
5
4
3
2
S
u
b
b
a
n
d

E
n
e
r
g
y

(
e
V
)
Z Position (nm)
mode 1
-10 -5 0 5 10
-0.6
-0.4
-0.2
0.0
0.2
0.4
0.6
0.8
1.0
NEGF, Ballistic
BTE, Ballistic
Valley 3
V
D
=0.5 V
V
G
=-0.4 V
4,5
2,3
S
u
b
b
a
n
d

E
n
e
r
g
y

(
e
V
)
Z Position (nm)
mode 1
(a)
(b)
Figure 5.9: Subband energy levels of the nanowire transistor along the z-direction in
the (a) valley 1 and (b) valley 3 (L
G
= 7 nm).
102
Conclusion
-10 -5 0 5 10
10
-5
10
-3
10
-1
10
1
10
3
10
5
10
7
NEGF, Ballistic
BTE, Ballistic
5
4
3
2
1
D

E
l
e
c
t
r
o
n

D
e
n
s
i
t
y

(
/
c
m
)
Z Position (nm)
Valley 1
V
D
=0.5 V
V
G
=-0.4 V
mode 1
-10 -5 0 5 10
10
-10
10
-8
10
-6
10
-4
10
-2
10
0
10
2
10
4
10
6
NEGF, Ballistic
BTE, Ballistic
4,5
2,3
1
D

E
l
e
c
t
r
o
n

D
e
n
s
i
t
y

(
/
c
m
)
Z Position (nm)
Valley 3
V
D
=0.5 V
V
G
=-0.4 V
mode 1
(a)
(b)
Figure 5.10: 1D subband electron density of the nanowire transistor along the z-
direction in the (a) valley 1 and (b) valley 3 (L
G
= 7 nm).
103
Conclusion
(b) Device B
(c) Device C
(a) Device A
Figure 5.11: The cross sections of three nanowire transistors that have slightly dierent
gate shape.
104
Conclusion
0.0 0.1 0.2 0.3 0.4 0.5
0
5
10
15
20
25
T=300 K
device A
device B
device C
V
G
=0.3 V
V
G
=0.2 V
V
G
=0.1 V
D
r
a
i
n

C
u
r
r
e
n
t

(

A
)
Drain Voltage (V)
V
G
=0 V
(a)
(b)
-0.4 -0.3 -0.2 -0.1 0.0 0.1 0.2 0.3
10
-4
10
-3
10
-2
10
-1
10
0
10
1
0
5
10
15
20
25

D
r
a
i
n

C
u
r
r
e
n
t

(

A
)
Gate Voltage (V)
device A
device B
device C
T=300 K, V
D
=0.5 V

D
r
a
i
n

C
u
r
r
e
n
t

(

A
)
Figure 5.12: Inuence of the gate shape on the (a) I
D
versus V
G
and (b) I
D
versus V
D
characteristics of the nanowire transistor (L
G
= 7 nm). The results are obtained from
the NEGF formalism.
105
Conclusion
Device A
Device B
Device C
(a) y-z plane at x=0 nm (b) x-y plane at z=0 nm
Figure 5.13: Conduction band edge in the y-z plane (x=0 nm) and x-y plane (z=0 nm)
of device A, B, and C when V
G
= 0.4 V and V
D
= 0.5 V.
106
Chapter 6
Simulation of Quantum
Transport in Nanowire Transistor
including Electron-Phonon
Scattering
6.1 Introduction
In the previous chapter, we consider electronic transport in nanowire transistors in the
ballistic limit. In the real situation, the carrier scattering events cannot be avoided.
Therefore, we must include the electron-phonon scattering mechanisms for more quan-
titative simulation. Although there have been many works on the application of the
NEGF formalism to the electronic transport in the semiconductor devices [61, 6573,
7577, 79], two-dimensional (2D) or three-dimensional (3D) simulation including the
microscopic scattering mechanisms still remains a dicult problem. In [61, 72, 77],
a phenomenological model is proposed to mimic the eects of the scattering mecha-
107
Simulation Results
nisms in terms of the B uttiker probes [80]. In this model, however, the relation be-
tween the microscopic scattering mechanisms and the self-energy functions is missing.
In [6668], the microscopic electron-phonon interactions are taken into account within
the self-consistent Born approximation [48, 50] for the one-dimensional (1D) simulation
of heterostructures. In a similar way, the 2D simulation of MOSFETs is performed
including the electron-phonon interactions in [73] with an additional assumption that
the scattering rate does not depend on the transverse momentum in order to simplify
the self-energy functions.
In this chapter, we study quantum transport in nanowire transistors with the
electron-phonon scattering mechanisms, and discuss the inuence of the carrier scat-
tering on the performance of nanowire transistors [106].
6.2 Simulation Results
We consider the same nanowire transistor that we studied in the previous chapter, and
the structure is shown in Fig. 5.3. In the simulation, the lengths of the source, channel,
and drain regions (L
S
, L
G
, and L
D
) are all equal to 15 nm. Also, the energy coordinate
is discretized by the uniform grid with the spacing equal to 1 meV, and the number of
modes M is 5 for each valley, which gives 15 subbands in total. In the simulation, the
lattice temperature is xed to 300 K, the drain voltage is changed from 0 to 0.5 V, and
the gate voltage is changed from -0.4 to 0.3 V.
In Fig. 6.1, we rst calculate the phonon-limited low eld mobility of electrons and
the corresponding electron density in the channel of the nanowire transistor assuming
that the channel length is innitely long. In the bulk silicon, it is reported that the
deformation potential constant is about 9 eV [18]. As we have seen in chapter 4,
however, the calculated low eld mobility with the bulk scattering parameters is bigger
than the measured value in the inversion layer [90, 96, 100]. In [100], it is reported that
108
Simulation Results
= 14.6 eV gives reasonable phonon-limited mobility values in the inversion layer, and
our result in Fig. 6.1 also shows such characteristic. Therefore, we use 14.6 eV for the
deformation potential constant in the subsequent calculation.
In Figs. 6.2 and 6.3, we compare the I
D
versus V
G
and the I
D
versus V
D
character-
istics of the nanowire transistor obtained from the NEGF formalism with and without
the phonon interactions. As expected, the drain current decreases if the phonon scat-
tering mechanisms are present. Whereas the two cases give similar drain current in the
sub-threshold region, the dierence in the predicted drain current becomes signicant
in the above-threshold region. The drain current when V
G
= 0.3 V and V
D
= 0.5 V
(I
on
) in the presence of the phonon interactions is about 63 % of its ballistic limit. In
Fig. 6.4, we plot the gate length dependence of I
on
in the ballistic limit and in the pres-
ence of the phonon scattering, and their ratio. Whereas I
on
in the ballistic limit is less
dependent on the gate length, I
on
in the presence of the phonon scattering decreases
as the gate length increases. Therefore, their ratio also decreases as the gate length
increases. In Fig. 6.5, we also compare the average electron velocity and the electron
density along the z-direction in the ballistic limit and in the presence of the phonon
scattering mechanisms, which shows that the average electron velocity is reduced when
the scattering mechanisms are present, whereas the electron density is not changed
signicantly.
In Fig. 6.6, we plot the subband energy levels along the z-direction in the presence
of the phonon scattering mechanisms when V
G
= 0.3 V and V
D
= 0.5 V. Note that the
subbands in the valley 1 and 2 are nearly degenerate. In the valley 3, the energy levels of
the second and third subbands are very close, and those of the fourth and fth subbands
are also very close. The degeneracy is mainly due to the cylindrical shape of the silicon
body and the dierences in the eective masses along the x and y directions [(m
x
, m
y
)
in the valley 1, 2, and 3 are equal to (m
l
, m
t
), (m
t
, m
l
), and (m
t
, m
t
), respectively,
109
Simulation Results
where m
l
= 0.98m
0
, m
t
= 0.19m
0
, and m
0
is the electron rest mass]. In Fig. 6.7, we
plot the subband electron density along the z-direction in the ballistic limit and in the
presence of the phonon scattering mechanisms. If the phonon scattering mechanisms are
present, the electron densities of the high energy subbands become larger than those
in the ballistic limit, which is related with the broadening of the LDOS due to the
electron-phonon interactions. Also, when the scattering mechanisms are present, the
electron densities of the low energy subbands at the drain side of the channel decrease,
whereas those of the high energy subbands at the drain side of the channel increase
compared with those in the ballistic limit, which clearly shows that the electrons in the
low energy subbands are transferred to the high energy subbands due to the phonon
scattering mechanisms.
To understand the eect of phonon scattering mechanisms on the carrier transport
further, we plot several energy dependent physical quantities along the z-direction.
In Fig. 6.8, we plot the LDOS of the nanowire transistor along the z-direction in
the ballistic limit and in the presence of the phonon scattering mechanisms. In the
ballistic limit, we can observe sharp oscillation patterns due to the interference between
the coherent incident and reected waves. If the phonon scattering mechanisms are
present, these oscillation patterns spread out, since the electron-phonon interactions
destroy the coherence of the electron wavefunction. This LDOS broadening eect is also
reported in [61, 72, 77] although they use the phenomenological scattering model. We
also mention that the total number of states is conserved regardless of the scattering
mechanism. As the LDOS spreads out, each subband can have nonzero density of
states below the subband energy level. Since the occupation probability of electrons
increases rapidly as the energy decreases, this scattering-induced LDOS broadening
eectively increases the electron densities in the high energy subbands as previously
shown in Fig. 6.7. In Fig. 6.9, we plot the energy spectrum of the electron density in
110
Simulation Results
the nanowire transistor along the z-direction in the ballistic limit and in the presence
of the phonon scattering mechanisms. Since the electron transport is coherent in the
ballistic limit, the energy spectrum of the electron density at the drain section is the
sum of the cold electrons from the drain contact and the hot electrons from the source,
and these two components are independent from each other. Also, the energy of the
electrons injected from the source is conserved in the ballistic limit. When the electron-
phonon interactions are present, electrons lose their phase information as they interact
with phonons in the course of propagation. Also, they lose part of their energy to the
phonons due to the inelastic electron-phonon interactions. As we can see in Fig. 6.9
(b), the energy relaxation in the channel, however, is not so large for the considered
nanowire transistor, since the transit time is much shorter than the energy relaxation
time. Therefore, we can expect that the excess electron energy will be dissipated in
the drain lead. Fig. 6.10 shows the occupation probability of electrons at the source
contact and at the drain contact in the presence of the phonon scattering mechanisms.
The occupation probability of electrons at the source contact follows the Fermi-Dirac
distribution with the Fermi energy at the source contact. The occupation probability
of electrons at the drain contact can be viewed as the sum of two components: the cold
electron distribution that follows the Fermi-Dirac distribution with the Fermi energy
at the drain contact, and the hot electron distribution due to the electrons injected
from the source. In Fig. 6.11, we plot the energy spectrum of the current at the source
contact, at the drain contact, and in the ballistic limit. Note that the energy spectrum
of the current is independent of z coordinate in the ballistic limit, whereas it spreads
out and moves into lower energy when the scattering mechanisms are present. The
energy loss from the electrons is transferred to the lattice. In both cases, the total
currents are independent of the z coordinate.
111
Conclusion
6.3 Conclusion
In this chapter, we have studied quantum transport of the nanowire transistors in
the presence of the electron-phonon scattering mechanisms. We compared the device
characteristics in the ballistic limit and those in the presence of the electron-phonon
scattering. We found that the electron-phonon interactions reduce the drain current,
broaden the local density of states, and transfer energy from the electrons to the lattice.
112
Conclusion
Figure 6.1: Phonon-limited low eld mobility of electrons in the inversion layer of
the nanowire transistor in the long channel limit (solid curve) and the corresponding
electron density (dashed curve). We consider two dierent values of the deformation
potential constant (8.93 and 14.6 eV).
113
Conclusion
Figure 6.2: Comparison of the I
D
versus V
G
characteristics of the nanowire transis-
tor in the ballistic limit (dashed curve) and in the presence of the phonon scattering
mechanisms (solid curve) when V
D
= 0.5 V.
114
Conclusion
Figure 6.3: Comparison of the I
D
versus V
D
characteristics of the nanowire transis-
tor in the ballistic limit (dashed curve) and in the presence of the phonon scattering
mechanisms (solid curve) for three dierent gate voltages (V
G
= 0.1, 0.2, and 0.3 V).
115
Conclusion
Figure 6.4: The gate length dependence of the on-current (V
G
= 0.3 V and V
D
= 0.5 V)
in the ballistic limit (dashed curve) and in the presence of the phonon scattering (solid
curve). The ratio of the on-current is also plotted (solid curve with square symbol).
116
Conclusion
Figure 6.5: Average electron velocity and the electron density of the nanowire transistor
along the z-direction in the ballistic limit (dashed curve) and in the presence of the
phonon scattering mechanisms (solid curve) when V
G
= 0.3 V and V
D
= 0.5 V.
117
Conclusion
Figure 6.6: Subband energy levels of the nanowire transistor along the z-direction in the
presence of the phonon scattering mechanisms when V
G
= 0.3 V and V
D
= 0.5 V. Note
that the subbands in the valley 1 (solid curve) and 2 (crosses) are nearly degenerate.
Also, the second and third subbands as well as the fourth and fth subbands in the
valley 3 (triangles) are nearly degenerate.
118
Conclusion
Figure 6.7: One-dimensional subband electron density of the nanowire transistor along
the z-direction (a) in the ballistic limit and (b) in the presence of the phonon scattering
mechanisms when V
G
= 0.3 V and V
D
= 0.5 V. Note that the subband electron
densities in the ballistic limit for the valley 3 and mode 4 and 5 are not shown, since
they are too small (less than 10
2
/cm).
119
Conclusion
Figure 6.8: Local density of states [in (10
10
/eV m)] of the nanowire transistor along
the z-direction (a) in the ballistic limit and (b) in the presence of the phonon scattering
mechanisms when V
G
= 0.3 V and V
D
= 0.5 V.
120
Conclusion
Figure 6.9: Energy spectrum of the one-dimensional electron density [in (10
8
/eV m)]
in the nanowire transistor along the z-direction (a) in the ballistic limit and (b) in the
presence of the phonon scattering mechanisms when V
G
= 0.3 V and V
D
= 0.5 V.
121
Conclusion
Figure 6.10: Occupation probability of electrons at the source contact and at the drain
contact in the presence of the phonon scattering mechanisms when V
G
= 0.3 V and
V
D
= 0.5 V.
122
Conclusion
Figure 6.11: Comparison of the energy spectrum of the current in the ballistic limit
(solid curve) and in the presence of the phonon scattering mechanisms at the source
contact (dashed curve) and at the drain contact (dotted curve) when V
G
= 0.3 V
and V
D
= 0.5 V. Note that the energy spectrum of the current is independent of z
coordinate in the ballistic limit.
123
Chapter 7
Conclusion
7.1 Summary
We present a three-dimensional (3D) simulation framework capable of handling quan-
tum transport in nano-scale MOSFET devices using the nonequilibrium Greens func-
tion (NEGF) formalism. We consider the electron-phonon interactions in our simula-
tion framework, and derive the necessary self-energy functions for the electron-phonon
interactions. We also introduce the mode space approach to make the 3D quantum
simulation practicable, and derive the necessary open boundary condition.
We study the two limiting cases of our NEGF formalism: the long channel limit
and the short channel limit. In the long channel limit, we derive an expression for the
low eld mobility from the NEGF formalism, and verify that our derived expression is
consistent with the Kubo-Greenwood formula. We also calculate the low eld mobility
in the inversion layer of nanowire transistors, and study its dependence on the area of
the cross-section, gate bias, and lattice temperature. The calculated mobility decreases
as the area of the cross-section decreases. Also, it decreases as the gate bias and the
lattice temperature increase. These tendencies qualitatively agree with the measure-
124
Future Work
ment. Quantitatively, however, the calculated eective mobility with the bulk phonon
scattering parameters is found to be larger than the measured mobility data, and the
calibration of the deformation potential constant is needed. In the short channel limit,
we consider the ballistic transport of nanowire transistors using the NEGF formalism
and the semi-classical BTE. The terminal current obtained from the quantum mechani-
cal formalism and semi-classical formalism agree well when the channel length is greater
than 10 nm, and the source-to-drain tunneling current is found to be only important
when the channel length is smaller than 10 nm in the subthreshold region. We also
study the inuence of gate geometry on the performance of the devices, and found that
the subthreshold leakage current decreases and the on-current increases as the silicon
body is more surrounded by the gate electrode.
Also, we study the quantum transport of nanowire transistors in the presence of the
electron-phonon scattering mechanisms. The drain current decreases compared with its
ballistic limit if the phonon scattering mechanisms are included, and the ratio between
them decreases as the channel length increases. Also, the electron-phonon interactions
broaden the local density of states, which makes the high energy modes more populated.
Finally, the electrons injected from the source loses part of their energy to the lattice
when the phonon scattering mechanisms are present.
7.2 Future Work
For the future study of the present work, we suggest several interesting topics as follows:
1. We can improve the accuracy of the electron-phonon scattering model. Although
our approach is quite straightforward and rigorous, it is still found to be insu-
cient to reproduce the measured mobility data. The calibration of the scattering
parameters may be needed to match the measured phonon-limited mobility. On
125
Future Work
the other hand, we have to check the validity of the assumptions that we made in
the derivation of the self-energy functions for the phonon-scattering mechanisms
such as the scalar deformation potential.
2. We can include other scattering mechanisms. The scattering mechanisms can
be classied into the phase breaking scattering mechanisms and the coherent
scattering mechanisms. The phonon scattering considered in this work is one of
the phase breaking scattering mechanisms, whereas the impurity scattering and
the surface roughness scattering are coherent scattering mechanisms. In principle,
we can include the coherent scattering mechanism by adding static perturbation
potential to the external potential [76, 107], which will give the characteristics of
individual devices. Alternatively, we can consider the ensemble of devices, and
include the average eect of coherent scattering mechanisms in the simulation.
3. We can also include the eect of strain and crystal orientation [62, 108], which
are practically important nowadays, since they can be utilized to enhance the
performance of the transistors.
4. We can model the gate tunneling current in the simulation, which may give
very interesting information that cannot be obtained from the conventional gate
tunneling simulation, since the detailed nonequilibrium energy distribution of
electrons are available. We believe that the loss of electrons from the silicon
body to gate can be described by the self-energy term.
5. We can use our simulation framework as a verication tool of other simplied
macroscopic transport models. For example, the drift-diusion model is still the
most popular transport model in the industry, and it will keep its role in the near
future. Therefore, our transport model can guide its extendability and limita-
tion. On the other hand, we can develop more accurate macroscopic transport
126
Future Work
model that includes the quantum eects as well as the eects of the quasi-ballistic
transport with the help of our simulation framework.
6. We can improve the eciency and the convergence rate of the NEGF simulation.
Even though we reduce its computational complexity by using the mode space
approach, the problem size increases rapidly if the device size increases. If the area
of the cross-section increases, we have to increase the number of modes. Also, we
cannot increase the mesh spacing a along the z-direction even though the length
of the considered device increases, since it aects the relation between the energy
and the wavevector of electrons (dispersion relation). Therefore, we have to try
to reduce its computational complexity to simulate more larger devices.
127
Bibliography
[1] G. E. Moore, Cramming more components onto integrated circuits, Electronics,
vol. 38, no. 8, Apr. 1965.
[2] D. J. Frank, R. H. Dennard, E. Nowak, P. M. Solomon, Y. Taur, and H.-S. P.
Wong, Device scaling limits of Si MOSFETs and their application dependen-
cies, Proc. IEEE, vol. 89, no. 3, pp. 259288, Mar. 2001.
[3] International Technology Roadmap for Semiconductors 2004 Update. Semi-
conductor Industry Association, 2004. [Online]. Available: http://public.itrs.net/
[4] J. P. Colinge, M. H. Gao, A. Romano-Rodriguez, H. Maes, and C. Claeys,
Silicon-on-insulator gate-all-around device, in International Electron Devices
Meeting Tech. Digest, San Francisco, Dec. 1990, pp. 595 598.
[5] H.-S. P. Wong, K. K. Chan, , and Y. Taur, Self-aligned (top and bottom) double-
gate MOSFET with a 25nm thick silicon channel, in International Electron
Devices Meeting Tech. Digest, Washington, DC, Dec. 1997, pp. 427 430.
[6] J. M. Hergenrother, D. Monroe, F. P. Klemens, A. Kornblit, G. R. Weber, W. M.
Manseld, M. R. Baker, F. H. Baumann, K. J. Bolan, J. E. Bower, N. A. Ciampa,
R. A. Cirelli, J. I. Colonell, D. J. Eaglesham, J. Frackoviak, H. J. Gossmann, M. L.
Green, S. J. Hillenius, C. A. King, R. N. Kleiman, W. Y.-C. Lai, J. T.-C. Lee,
128
BIBLIOGRAPHY
R. C. Liu, H. L. Maynard, M. D. Morris, S.-H. Oh, C.-S. Pai, C. S. Raerty,
J. M. Rosamilia, T. W. Sorsch, and H.-H. Vuong, The vertical replacement-gate
(VRG) MOSFET: A 50-nm vertical MOSFET with lithography-independent gate
length, in International Electron Devices Meeting Tech. Digest, Washington,
DC, Dec. 1999, pp. 75 78.
[7] M. Je, S. Han, I. Kim, and H. Shin, A silicon quantum wire transistor with one-
dimensional subband eects, Solid-State Electronics, vol. 44, pp. 22072212,
2000.
[8] J.-T. Park, J.-P. Colinge, and C. H. Diaz, Pi-gate SOI MOSFET, IEEE Elec-
tron Device Lett., vol. 22, no. 8, pp. 405406, Aug. 2001.
[9] J. Kedzierski, E. Nowak, T. Kanarsky, Y. Zhang, D. Boyd, R. Carruthers,
C. Cabral, R. Amos, C. Lavoie, R. Roy, J. Newbury, E. Sullivan, J. Benedict,
P. Saunders, K. Wong, D. Canaperi, M. Krishnan, K.-L. Lee, B. A. Rainey,
D. Fried, P. Cottrell, H.-S. P. Wong, M. Ieong, and W. Haensch, Metal-gate
FinFET and fully-depleted SOI devices using total gate silicidation, in Interna-
tional Electron Devices Meeting Tech. Digest, San Francisco, Dec. 2002, pp. 247
250.
[10] F.-L. Yang, H.-Y. Chen, F.-C. Chen, C.-C. Huang, C.-Y. Chang, H.-K. Chiu,
C.-C. Lee, C.-C. Chen, H.-T. Huang, C.-J. Chen, H.-J. Tao, Y.-C. Yeo, M.-
S. Liang, and C. Hu, 25nm CMOS Omega FETs, in International Electron
Devices Meeting Tech. Digest, San Francisco, Dec. 2002, pp. 255 258.
[11] Y.-K. Choi, L. Chang, P. Ranade, J.-S. Lee, D. Ha, S. Balasubramanian, A. Agar-
wal, M. Ameen, T.-J. King, and J. Bokor, FinFET process renements for im-
129
BIBLIOGRAPHY
proved mobility and gate work function engineering, in International Electron
Devices Meeting Tech. Digest, San Francisco, Dec. 2002, pp. 259 262.
[12] B. S. Doyle, S. Datta, M. Doczy, S. Hareland, B. Jin, J. Kavalieros, T. Linton,
A. Murthy, R. Rios, and R. Chau, High performance fully-depleted tri-gate
CMOS transistors, IEEE Electron Device Lett., vol. 24, no. 4, pp. 263265, Apr.
2003.
[13] Y. Taur, C. H. Wann, and D. J. Frank, 25 nm CMOS design considerations, in
International Electron Devices Meeting Tech. Digest, San Francisco, Dec. 1998,
p. 789.
[14] W. van Roosbroeck, The transport of added current carriers in a homogeneous
semiconductor, Phys. Rev., vol. 91, no. 2, pp. 282289, 1953.
[15] R. Stratton, Diusion of hot and cold electrons in semiconductor barriers, Phys.
Rev., vol. 126, no. 6, pp. 20022014, June 1962.
[16] K. Bltekjr, Transport equations for electrons in two-valley semiconductors,
IEEE Trans. Electron Devices, vol. 17, no. 1, pp. 3847, Jan. 1970.
[17] S. Selberherr, Analysis and Simulation of Semiconductor Devices. Wien:
Springer-Verlag, 1984.
[18] C. Jacoboni and L. Reggiani, The Monte Carlo method for the solution of charge
transport in semiconductors with applications to covalent materials, Reviews of
Modern Physics, vol. 55, no. 3, pp. 645705, July 1983.
[19] M. V. Fischetti and S. E. Laux, Monte Carlo analysis of electron transport in
small semiconductor devices including band-structure and space charge eects,
Phys. Rev. B, vol. 38, no. 14, pp. 97219745, Nov. 1988.
130
BIBLIOGRAPHY
[20] B. Meinerzhagen and W. L. Engl, The inuence of the thermal equilibrium
approximation on the accuracy of classical two-dimensional numerical modeling
of silicon submicrometer mos transistors, IEEE Trans. Electron Devices, vol. 35,
no. 5, p. 689, May 1988.
[21] A. Forghieri, R. Guerrieri, P. Ciampolini, A. Gnudi, M. Rudan, and G. Baccarani,
A new discretization strategy of the semiconductor equations comprising mo-
mentum and energy balance, IEEE Trans. Computer-Aided Design, vol. 7, no. 2,
pp. 231242, Feb. 1988.
[22] R. Thoma, A. Emunds, B. Meinerzhagen, H. Peifer, and W. L. Engl, Hydro-
dynamic equations for semiconductors with nonparabolic band structure, IEEE
Trans. Electron Devices, vol. ED-38, no. 6, pp. 13431353, June 1991.
[23] D. Chen, E. C. Kan, U. Ravaioli, C.-W. Shu, and R. W. Dutton, An improved
energy transport model including nonparabolicity and non-Maxwellian distribu-
tion eects, IEEE Electron Device Lett., vol. 13, no. 1, pp. 2628, Jan. 1992.
[24] W.-S. Choi, J.-G. Ahn, Y. J. Park, H. S. Min, and C.-G. Hwang, A time de-
pendent hydrodynamic device simulator SNU-2D with new discretization scheme
and algorithm, IEEE Trans. Computer-Aided Design, vol. 13, no. 7, p. 899, July
1994.
[25] M. Lundstrom, Fundamentals of carrier transport, 2nd ed. Cambridge: Cam-
bridge University Press, 2000.
[26] K. Natori, Ballistic metal-oxide-semiconductor eld eect transistor, J. Appl.
Phys., vol. 76, no. 15, pp. 48794890, Oct. 1994.
131
BIBLIOGRAPHY
[27] M. Lundstrom, Z. Ren, and S. Datta, Essential physics of carrier transport
in nanoscale MOSFETs, in Intl. Conference on Simulation of Semiconductor
Processes and Devices, Washington, Sept. 2000, p. 1.
[28] J.-H. Rhew and M. S. Lundstrom, Drift-diusion equation for ballistic transport
in nanoscale metal-oxide-semiconductor eld eect transistors, J. Appl. Phys.,
vol. 92, no. 9, pp. 51965202, Nov. 2002.
[29] T.-W. Tang, S. Ramaswamy, and J. Nam, An improved hydrodynamic transport
model for silicon, IEEE Trans. Electron Devices, vol. 40, no. 8, pp. 14691477,
Aug. 1993.
[30] K. Sonoda, M. Yamaji, K. Taniguchi, and C. Hamaguchi, Moment expansion
approach to calculate impact ionization rate in submicron silicon devices, J.
Appl. Phys., vol. 80, no. 9, pp. 54445448, Nov. 1996.
[31] T. Grasser, H. Kosina, C. Heitzinger, and S. Selberherr, Characterization of the
hot electron distribution function using six moments, J. Appl. Phys., vol. 91,
no. 6, pp. 38693879, Mar. 2002.
[32] M. G. Ancona and H. F. Tiersten, Macroscopic physics of the silicon inversion
layer, Phys. Rev. B, vol. 35, no. 15, p. 7959, May 1987.
[33] M. G. Ancona and G. J. Iafrate, Quantum correction to the equation of state of
an electron gas in a semiconductor, Phys. Rev. B, vol. 39, no. 13, p. 9536, May
1989.
[34] H. L. Grubin, T. R. Govindan, and J. P. Kreskovsky, Transport via the liouville
equation and moments of quantum distribution functions, Solid-State Electron-
ics, vol. 36, no. 12, pp. 16971709, Dec. 1993.
132
BIBLIOGRAPHY
[35] C. L. Gardner, The quantum hydrodynamic model for semiconductor devices,
SIAM Journal on Applied Mathematics, vol. 54, no. 2, p. 409, Apr. 1994.
[36] D. K. Ferry, R. Akis, and D. Vasileska, Quantum eects in MOSFETs: Use of an
eective potential in 3D Monte Carlo simulation of ultra-short channel devices,
in International Electron Devices Meeting Tech. Digest, San Francisco, Dec. 2000,
pp. 287290.
[37] T.-W. Tang and B. Wu, Quantum correction for the Monte Carlo simulation
via the eective conduction-band edge equation, Semiconductor Science and
Technology, vol. 19, pp. 5460, Sept. 2003.
[38] S. Jin, Y. J. Park, and H. S. Min, A numerically ecient method for the hy-
drodynamic density-gradient model, in Intl. Conference on Simulation of Semi-
conductor Processes and Devices, Boston, Sept. 2003, p. 263.
[39] , Simulation of quantum eects and nonlocal transport by using the hydro-
dynamic density-gradient model, J. Korean Phys. Soc., vol. 44, no. 1, pp. 8792,
Jan. 2004.
[40] , Simulation of quantum eects in the nano-scale semiconductor device,
Journal of Semiconductor Technology and Science, vol. 4, no. 1, pp. 3240, Mar.
2004.
[41] H. Lin and N. Goldsman, An ecient solution of the Boltzmann transport equa-
tion which includes the Pauli exclusion principle, Solid-State Electronics, vol. 34,
no. 10, pp. 10351048, Oct. 1991.
[42] K. Rahmat, J. White, and D. A. Antoniadis, Simulation of semiconductor
devices using a Galerkin/spherical harmonics expansion approach to solving
133
BIBLIOGRAPHY
the coupled Poisson-Boltzmann system, IEEE Trans. Computer-Aided Design,
vol. 15, no. 10, pp. 11811196, Oct. 1996.
[43] K. Banoo, Direct solution of the Boltzmann transport equation in nanoscale Si
devices, Ph.D. dissertation, Purdue Univ., West Lafayette, IN, Dec. 2000.
[44] C.-K. Huang, Modeling of quantum and semi-classical eects in nanoscale MOS-
FETs, Ph.D. dissertation, University of Maryland, College Park, MD, USA,
Dec. 2001.
[45] L. P. Keldysh, Diagram technique for nonequilibrium process, Sov. Phys.
JETP, vol. 20, no. 4, pp. 10181026, Apr. 1965.
[46] L. P. Kadano and G. Baym, Quantum Statistical Mechanics. New York: Ben-
jamin, 1962.
[47] J. Rammer, Quantum eld-theoretical methods in transport theory of metals,
Reviews of Modern Physics, vol. 58, no. 2, pp. 323359, Apr. 1986.
[48] G. D. Mahan, Quantum transport equation for electric and magnetic elds,
Physics Reports, vol. 145, no. 5, pp. 251318, 1987.
[49] S. Datta, Electronic Transport In Mesoscopic Systems. New York: Cambridge
University Press, 1995.
[50] H. Haug and A.-P. Jauho, Quantum Kinetics in Transport and Optics of Semi-
conductors, ser. Springer series in solid-state sciences. Springer, 1996.
[51] S. Datta, Quantum Transport: Atom to Transistor. Cambridge University Press,
2005.
[52] E. Wigner, On the quantum correction for thermodynamic equilibrium, Phys.
Rev., vol. 40, pp. 749759, June 1932.
134
BIBLIOGRAPHY
[53] W. R. Fransley, Boundary conditions for open quantum systems driven far from
equilibrium, Reviews of Modern Physics, vol. 62, no. 3, p. 745, 1990.
[54] M. Nedjalkov, H. Kosina, R. Kosik, and S. Selberherr, A Wigner equation with
quantum electron-phonon interaction, Microelectronic Engineering, vol. 63, pp.
199203, 2002.
[55] M. V. Fischetti, Theory of electron transport in small semiconductor devices
using the Pauli master equation, J. Appl. Phys., vol. 83, no. 1, pp. 270291,
Jan. 1998.
[56] , Master-equation approach to the study of electronic transport in small
semiconductor devices, Phys. Rev. B, vol. 59, no. 7, pp. 49014917, Feb. 1999.
[57] Z. Ren, Nanoscale MOSFETs: Physics, simulation and design, Ph.D. disserta-
tion, Purdue Univ., West Lafayette, IN, Dec. 2001.
[58] C. S. Lent and D. J. Kirkner, The quantum transmitting boundary method,
J. Appl. Phys., vol. 67, no. 10, pp. 63536359, may 1990.
[59] E. Polizzi, N. B. Abdallah, O. Vanbesien, and D. Lippens, Space lateral transfer
and negative dierential conductance regimes in quantum waveguide junctions,
J. Appl. Phys., vol. 87, no. 12, pp. 87008706, June 2000.
[60] E. Polizzi and N. B. Abdallah, Self-consistent three-dimensional models for
quantum ballistic transport in open systems, Phys. Rev. B, vol. 66, no. 24,
p. 245301, Dec. 2002.
[61] Z. Ren, R. Venugopal, S. Goasguen, S. Datta, and M. Lundstrom, NanoMOS 2.5:
a two-dimensional simulator for quantum transport in double-gate MOSFETs,
IEEE Trans. Electron Devices, vol. 50, no. 9, pp. 19141925, Sept. 2003.
135
BIBLIOGRAPHY
[62] S. E. Laux, A. Kumar, and M. V. Fischetti, Analysis of quantum ballistic elec-
tron transport in ultrasmall silicon devices including space-charge and geometric
eects, J. Appl. Phys., vol. 95, no. 10, pp. 55455582, May 2004.
[63] P. C. Martin and J. Schwinger, Theory of many-particle systems. I, Phys. Rev.,
vol. 115, no. 5, pp. 13421373, Sept. 1959.
[64] R. Brunetti, C. Jacoboni, and F. Rossi, Quantum theory of transient transport
in semiconductors: A Monte Carlo approach, Phys. Rev. B, vol. 39, no. 15, pp.
10 78110 790, May 1989.
[65] M. J. McLennan, Y. Lee, and S. Datta, Voltage drop in mesoscopic systems: A
numerical study using a quantum kinetic equation, Phys. Rev. B, vol. 43, no. 17,
pp. 13 84613 884, June 1991.
[66] E. V. Anda and F. Flores, The role of inelastic scattering in resonant tunneling
heterostructures, J. Phys.: Condens. Matter, vol. 3, pp. 90879101, 1991.
[67] R. Lake and S. Datta, Nonequilibrium Greens-function method applied to
double-barrier resonant-tunneling diodes, Phys. Rev. B, vol. 45, no. 12, pp.
66706685, Mar. 1992.
[68] R. Lake, G. Klimeck, R. C. Bowen, and D. Jovanovic, Single and multiband
modeling of quantum electron transport through layered semiconductor devices,
J. Appl. Phys., vol. 81, no. 12, pp. 78457869, June 1997.
[69] A. Svizhenko, P. Anantram, T. R. Govindan, B. Biegel, and R. Venugopal, Two-
dimensional quantum mechanical modeling of nanotransistors, J. Appl. Phys.,
vol. 91, no. 4, pp. 23432354, Feb. 2002.
136
BIBLIOGRAPHY
[70] J. Knoch, B. Lengeler, and J. Appenzeller, Quantum simulations of an ultrashort
channel single-gated n-MOSFET on SOI, IEEE Trans. Electron Devices, vol. 49,
no. 7, pp. 12121218, July 2002.
[71] R. Venugopal, Z. Ren, D. Jovanovic, S. Datta, and M. Lundstrom, Simulating
quantum transport in nanoscale MOSFETs: real vs. mode space approaches, J.
Appl. Phys., vol. 92, no. 7, pp. 37303739, Oct. 2002.
[72] R. Venugopal, M. Paulsson, S. Goasguen, S. Datta, and M. Lundstrom, A simple
quantum mechanical treatment of scattering in nanoscale transistors, J. Appl.
Phys., vol. 93, no. 9, pp. 56135623, May 2003.
[73] A. Svizhenko and M. P. Anantram, Role of scattering in nanotransistors, IEEE
Trans. Electron Devices, vol. 50, no. 6, pp. 14591466, June 2003.
[74] R. Venugopal, Modeling quantum transport in nanoscale transistors, Ph.D.
dissertation, Purdue Univ., West Lafayette, IN, Aug. 2003.
[75] R. Venugopal, S. Goasguen, S. Datta, and M. Lundstrom, Quantum mechanical
analysis of channel access geometry and series resistance in nanoscale transistors,
J. Appl. Phys., vol. 95, no. 1, pp. 292305, Jan. 2004.
[76] M. J. Gilbert and D. K. Ferry, Ecient quantum three-dimensional modeling of
fully depleted ballistic silicon-on-insulator metal-oxide-semiconductor eld-eect-
transistors, J. Appl. Phys., vol. 95, no. 12, pp. 79547960, June 2004.
[77] J. Wang, E. Polizzi, and M. Lundstrom, A three-dimensional quantum simu-
lation of silicon nanowire transistors with the eective-mass approximation, J.
Appl. Phys., vol. 96, no. 4, pp. 21922203, Aug. 2004.
137
BIBLIOGRAPHY
[78] F. O. Heinz, Simulation approaches for nano-scale semiconductor devices,
Ph.D. dissertation, Swiss Federal Institute of Technology, Zurich, 2004.
[79] X. Shao and Z. Yu, Nanoscale FinFET simulation: A quasi-3D quantum me-
chanical model using NEGF, Solid-State Electronics, vol. 49, pp. 14351445,
2005.
[80] M. Buttiker, Four-terminal phase-coherent conductance, Phys. Rev. Lett.,
vol. 57, no. 14, pp. 17611764, Oct. 1986.
[81] A. L. Fetter and J. D. Walecka, Quantum theory of many-particle systems. New
York: McGRAW-HILL, 1971.
[82] S. Horiguchi, Validity of eective mass theory for energy levels in Si quantum
wires, Physica B, vol. 227, p. 336, 1996.
[83] G. D. Mahan, Many-Particle Physics, 2nd ed. New YorK: Plenum Press, 1990.
[84] J. Bardeen and W. Shockley, Deformation potentials and mobilities in non-polar
crystals, Phys. Rev., vol. 80, no. 1, pp. 7280, Oct. 1950.
[85] A. M. Zagoskin, Quantum Theory of Many-Body Systems. New YorK: Springer-
Verlag, 1998.
[86] R. A. Craig, Perturbation expansion for real-time Greens functions, Journal
of Mathematical Physics, vol. 9, no. 4, pp. 605611, Apr. 1968.
[87] R. P. Feynman, Statistical Mechanics, J. Shaham, Ed. Massachusetts: The
Benjamin/Cummings Publishing Company, Inc., 1972.
[88] S. Datta, A simple kinetic equation for steady-state quantum transport,
J. Phys.: Condens. Matter, vol. 2, pp. 80238052, 1990.
138
BIBLIOGRAPHY
[89] G. B. Arfken and H. J. Weber, Mathematical Methods for Physicists, 5th ed.
Burlington, MA: Harcourt Academic Press, 2001.
[90] M. V. Fischetti and S. E. Laux, Monte Carlo study of electron transport in
silicon inversion layers, Phys. Rev. B, vol. 48, no. 4, pp. 22442274, Sept. 1993.
[91] R. B. Lehoucq, D. C. Sorensen, and C. Yang, ARPACK Users Guide: Solution
of Large Scale Eigenvalue Problems with Implicitly Restarted Arnoldi Methods.
Philadelphia, PA: SIAM, 1998.
[92] S. Takagi, A. Toriumi, M. Iwase, and H. Tango, On the universality of inversion
layer mobility in Si MOSFETs: Part I-eects of substrate impurity concentra-
tion, IEEE Trans. Electron Devices, vol. 41, no. 12, p. 2357, Dec. 1994.
[93] F. Stern and W. E. Howard, Properties of semiconductor surface inversion layers
in the electric quantum limit, Phys. Rev., vol. 163, no. 3, pp. 816832, Nov. 1967.
[94] F. Stern, Self-consistent result for n-type Si inversion layers, Phys. Rev. B,
vol. 5, no. 12, pp. 48914899, June 1972.
[95] T. Ando, A. B. Fowler, and F. Stern, Electronic properties of two-dimensional
systems, Reviews of Modern Physics, vol. 54, no. 2, pp. 437672, Apr. 1982.
[96] C. Jungemann, A. Emunds, and W. L. Engl, Simulation of linear and nonlinear
electron transport in homogeneous silicon inversion layers, Solid-State Electron-
ics, vol. 36, no. 11, pp. 15291540, 1993.
[97] F. Gamiz, J. A. Lopez-Villanueva, J. A. Jimenez-Tejada, I. Melchor, and
A. Palma, A comprehensive model for Coulomb scattering in inversion layers,
J. Appl. Phys., vol. 75, no. 2, pp. 924934, Jan. 1994.
139
BIBLIOGRAPHY
[98] S. Takagi, J. L. Hoyt, J. J. Welser, and J. F. Gibbons, Comparative study of
phonon-limited mobility of two-dimensional electrons in strained and unstrained
Si metal-oxide-semiconductor eld-eect transistors, J. Appl. Phys., vol. 80,
no. 3, pp. 15671577, Aug. 1996.
[99] M. V. Fischetti, Long-range Coulomb interactions in small Si devices. Part II.
eective electron mobility in thin-oxide structures, J. Appl. Phys., vol. 89, no. 2,
pp. 12321250, Jan. 2001.
[100] D. Esseni, A. Abramo, L. Selmi, and E. Sangiorgi, Physically based modeling of
low eld electron mobility in ultrathin single- and double-gate SOI n-MOSFETs,
IEEE Trans. Electron Devices, vol. 50, no. 12, pp. 24452455, Dec. 2003.
[101] F. Gamiz, J. A. Lopez-Villanueva, J. B. Roldan, J. E. Carceller, and P. Cartujo,
Monte Carlo simulation of electron transport properties in extremely thin SOI
MOSFETs, IEEE Trans. Electron Devices, vol. 45, no. 5, pp. 11221126, May
1998.
[102] M. Shoji and S. Horiguchi, Electronic structures and phonon-limited electron
mobility of double-gate silicon-on-insulator Si inversion layers, J. Appl. Phys.,
vol. 85, no. 5, pp. 27222731, Mar. 1999.
[103] R. Kubo, Statistical-mechanical theory of irreversible process. I.
J. Phys. Soc. Jpn., vol. 12, no. 6, pp. 570586, June 1957.
[104] D. A. Greenwood, The Boltzmann equation in the theory of electrical conduction
in metals, Proc. Phys. Soc. London, vol. 71, pp. 585596, 1958.
[105] M. Goano, Algorithm 745: Computation of the complete and incomplete Fermi-
Dirac integral, ACM Transactions on Mathematical Software, vol. 21, no. 3, pp.
221232, Sept. 1995.
140
BIBLIOGRAPHY
[106] S. Jin, Y. J. Park, and H. S. Min, A three-dimensional simulation of quan-
tum transport in silicon nanowire transistor in the presence of electron-phonon
interactions, submitted to J. Appl. Phys., 2006.
[107] M. V. Fischetti, S. E. Laux, and A. Kumar, Simulation of quantum electronic
transport in small devices: A master equation approach, in International Elec-
tron Devices Meeting Tech. Digest, Washington, DC, Dec. 2003, pp. 467 470.
[108] A. Rahman, A. Ghosh, and M. Lundstrom, Assessment of Ge n-MOSFETs by
quantum simulation, in International Electron Devices Meeting Tech. Digest,
Washington, DC, Dec. 2003, pp. 471 474.
141

-_ - ' - _ - ' - ` ^' 1'. . MOSFET .^'


_ 7 _` -^ = _`^ - ~ '^ `- '.
. '-^' .~.` '`. ` ^'`- ' 7 .`-
_ -` . ~ deformation potential ^( self-consistent Born -'
'-~ intravalley =( intervalley =^ ` ^1 '.
. ., mode space _`` -~ '-^'^ . ' -_` .' -~
.^ '-^'` `' . ^ _`- . ^ 7
7 __.~. ^ " .
` ^' '. ^1 -^ = '^ -.~.`1, `
^ ` .^' 7 ^'. '^ `- ` '.., ^
^ .7.~. ` Kubo-Greenwood ( ` '.. ^ -
~, nanowire . 7 7 ^'. '., ^ '^'. 7(
'.^ -` '.. . " _- `` `- .^ ' 7
= _` `'^ ` `- , `` -^ = _`( .7
Boltzmann 7 _` `-~ '.. .~., 7 .`-7 _
-^ -'^ nanowire . _ 7 _` '.., 7 .`-7
_ -^ . `^ `- _` `-.
142
BIBLIOGRAPHY
=
MOSFET, _ 7, -^ = _`, .`- =, =, '. ., . '
-^', quasi-ballistic transport, nanowire transistor
-: 2001-21577
143

You might also like