You are on page 1of 5

Thin Solid Films 583 (2015) 221225

Contents lists available at ScienceDirect

Thin Solid Films


journal homepage: www.elsevier.com/locate/tsf

Effects of exible substrate thickness on Al-induced crystallization of


amorphous Ge thin lms
Naoki Oya a, Kaoru Toko a,, Noriyuki Saitoh b, Noriko Yoshizawa b, Takashi Suemasu a
a
b

Institute of Applied Physics, University of Tsukuba, Tsukuba, Ibaraki 305-8573, Japan


Electron Microscope Facility, TIA, AIST, 16-1 Onogawa, Tsukuba 305-8569, Japan

a r t i c l e

i n f o

Article history:
Received 24 August 2014
Received in revised form 29 March 2015
Accepted 30 March 2015
Available online 6 April 2015
Keywords:
Crystal orientation
Solid phase crystallization
Polycrystalline lms
Semiconducting germanium

a b s t r a c t
Amorphous germanium (a-Ge) thin lms were directly crystallized on exible plastic substrates at 325 C using
Al-induced crystallization. The thickness of the plastic substrate strongly inuenced the crystal quality of the
resulting polycrystalline Ge layers. Using a thicker substrate lowered the stress on the a-Ge layer during annealing, which increased the grain size and fraction of (111)-oriented grains within the Ge layer. Employing a 125m-thick substrate led to 95% (111)-oriented Ge with grains having an average size of 100 m. Transmission electron microscopy demonstrated that the Ge grains had a low-defect density. Production of high-quality Ge lms
on plastic substrates allows for the possibility for developing Ge-based electronic and optical devices on
inexpensive exible substrates.
2015 Elsevier B.V. All rights reserved.

1. Introduction
Germanium (Ge) is a promising candidate for next-generation
electro-optical devices because of its high carrier mobility, large absorption coefcient, and narrow band gap, which is suitable for optical communication [1]. (111)-oriented Ge is particularly desirable because it
provides a high carrier mobility for metal-oxide-semiconductor transistors [2,3] and acts as an epitaxial template for groups IIIV compound
semiconductors, aligned nanowires, and spintronic materials [46].
However, the high cost of bulk Ge substrates prevents widespread
application of Ge-based devices. One promising approach for reducing
the fabrication cost is substituting the bulk Ge substrate with a Ge thin
lm grown on an inexpensive substrate.
Recently, there has been interest in studying Ge on plastic substrate.
Transferring single-crystal Ge membranes to plastic substrates has been
widely investigated [79]. However, there have been difculties in
lowering the processing cost and fabricating large-area devices such as
displays and solar cells. This motivated researchers to synthesize highquality Ge directly onto plastic substrates below softening temperatures
of the plastic (e.g., below 400 C for polyimide). Because the solid-phase
crystallization of amorphous Ge (a-Ge) requires temperatures higher
than 400 C [10,11], metal-induced crystallization (MIC) has received
attention as a way to lower the crystallization temperature of a-Ge
[1221]. Researchers have produced polycrystalline Ge (poly-Ge) on
Corresponding author at: Institute of Applied Physics, University of Tsukuba, 1-1-1
Tennohdai, Tsukuba, Ibaraki 305-8573, Japan. Tel.: +81 29 853 5472; fax: +81 29 853
5205.
E-mail address: toko@bk.tsukuba.ac.jp (K. Toko).

http://dx.doi.org/10.1016/j.tsf.2015.03.072
0040-6090/ 2015 Elsevier B.V. All rights reserved.

plastic substrates using MIC [1921]. In these cases, the crystal quality
of the Ge layers was poor or the methods relied on the expensive catalytic metals.
Layer exchange between an amorphous semiconductor lm and a
catalytic metal is important to form a large-grained semiconductor
lm using MIC [2227]. We investigated the Al-induced crystallization
(AIC) of a-Ge and achieved a large-grained, (111)-oriented poly-Ge on
glass at low temperatures (180375 C) by controlling the layer
exchange velocity [2830]. In the present study, we developed a method to apply the AIC technique to exible plastic substrates, which has
many advantages compared with glass, including lower weight, ease
of handling, and reduced cost. We found that the substrate thickness
strongly inuenced the crystal quality of AIC-Ge. Our fabrication process
yields a large-grained, highly (111)-oriented Ge thin lms.
2. Experimental details
Fig. 1 presents a schematic of the sample preparation process. We
employed polyimide lms (thickness: 12125 m) as substrates. The
softening temperature of the polyimide is approximately 400 C,
which is sufciently higher than the temperature required for the AIC
of a-Ge [29]. Because the crystal quality of AIC-Ge depends on the
substrate materials, the polyimides were coated with a 100-nm-thick
SiO2 lm, which has been shown to yield high-quality Ge [30]. Then,
50-nm-thick Al layers were deposited onto the SiO2 lms, and then
exposed to air for 10 min to form native AlOx membranes as diffusionlimiting layers [28,29]. Finally, 45 nm of a-Ge was deposited on the
AlOx. All of the depositions were performed at room temperature using
radio frequency magnetron sputtering (base pressure: 3.0 104 Pa)

222

N. Oya et al. / Thin Solid Films 583 (2015) 221225

AlOx

Al
removal

Annealing (325oC)
Fig. 1. Illustration of the process of AIC of a-Ge on a polyimide substrate coated with SiO2.

with an Ar plasma. The deposition rate was 28 nm min1 for Ge and


31 nm min1 for Al. Pieces of Si substrates were used to x the samples
to the vacuum chamber stage and prevent the samples from moving
during deposition. Following Ge deposition, the samples were annealed
at 325 C in N2 for 100 h to induce layer exchange between Ge and Al.
The crystal states of the resulting poly-Ge layers were evaluated by
using a 2 X-ray diffraction (XRD) measurement (spot size:
10 mm). The surface morphology was observed using scanning electron
microscopy (SEM). The crystal orientations and the grain sizes were
characterized using electron backscatter diffraction (EBSD) analysis.
The fraction of (111)-oriented grains and average grain size of AIC-Ge
were calculated using the EBSD analysis software, TSL OIM Analysis 7.
By denition, the (111)-oriented grains included those with surface
planes having orientations up to 15 from the exact (111) plane orientation. A grain was considered to be an area surrounded by random
grain boundaries. Before XRD and EBSD, the surface Al layers were removed by dipping the samples into a diluted HF solution (1.5% HF) for
1 min to expose the poly-Ge layers. The cross-sectional structure and elemental composition were investigated using analytical transmission
electron microscopy (TEM) and high-angle annular dark-eld scanning
TEM (HAADF-STEM), performed on FEI Tecnai Osiris operated at 200 kV
and equipped with an energy dispersive X-ray (EDX) detector. A probe
diameter of approximately 1 nm was used for HAADF-STEM. Crosssectional TEM samples were prepared using conventional focused ion
beam method.

approximately 45 and 54, shown in the inset, correspond to Ge(220)


and Ge(311), respectively. Some broad peaks, especially at approximately 22 and 26, correspond to polyimide substrates, and therefore
these peaks are more intense for thicker substrates. Based on reference
data from Ge powder diffraction patterns (JCPDS 04-0545), the XRD
patterns indicate that the Ge layers are preferentially (111)-oriented
for all samples. We note that samples with the thicker substrates have
stronger Ge(111) peaks, indicating increased occurrence of the (111)
orientation.
Fig. 3(a)(f) shows the cross-sectional elemental maps obtained
by STEMEDX analysis of the annealed sample with a 125-m-thick
polyimide substrate, which show the stacked Al/Ge/SiO2/polyimide
structure that results from the layer exchange between the Al and Ge

(b)

(a)

50 nm

50 nm

3. Results and discussion


Fig. 2 shows the 2 XRD patterns of the samples after annealing. A
sharp peak at approximately 27, corresponding to the (111) plane in
crystalline Ge, was observed in all of the samples. This indicates that
crystallization of a-Ge was achieved at 325 C. The small peaks at

(d)

(c)

50 nm

(f)

(e)

50 nm
Fig. 2. 2 XRD patterns taken from the samples after annealing and removing the Al
layer using 1.5% HF. The thicknesses of the polyimide substrates are 12 m, 38 m, and
125 m. The insertion shows magnied patterns around the Ge(220) and Ge(311) peaks.

50 nm

50 nm

Fig. 3. Cross-sectional HAADF-STEM and EDX images of AIC-Ge grown on a 125-m-thick


polyimide substrate coated with SiO2. (a) HAADF-STEM image. Elemental maps of (b) Ge,
(c) Al, (d) O, (e) Si, and (f) C from the same region obtained using EDX.

N. Oya et al. / Thin Solid Films 583 (2015) 221225

layers. Fig. 3(c) and (d) indicates that the AlOx diffusion control layer,
purposely formed during the sample preparation, remains between
the Ge and Al layers. AlOx layers at the Al surface and the Ge/SiO2 interface are also observed. The surface AlOx formed a native oxide when the
sample was exposed to air. The bottom AlOx layer was likely formed by
the reaction between Al and SiO2 during annealing. The spontaneous
formation of AlOx is common in AIC [28,29]. Because AlOx is stable and
insulating, it does not have negative effects on the electrical performance of the resulting semiconductor layers [27].
Fig. 4 shows the surface SEM and EBSD images for the samples with
substrate thicknesses of 12 m, 38 m, and 125 m. The crystal orientation is indicated by color scale shown in the legend, for example, blue
areas correspond to the (111) plane. Fig. 4(a)(c) indicates that the surface morphology is similar among the samples. Nevertheless, they have
different crystal orientation as shown in Fig. 4(d)(f). This suggests that
there is no obvious relationship between the crystal orientation and the
surface morphology.
To further investigate the crystal orientation and the grain size within the Ge layer, we collected low-magnitude EBSD images in the normal
direction (ND) and the transverse direction (TD) with respect to the
sample surface. The results are summarized in Fig. 5. We found that
both the (111) orientation fraction and the grain size increase with increasing substrate thickness. The sample grown on the 125-m-thick
substrate yields Ge grains with a higher fraction of (111) orientation
and larger size compared with the thinner substrates, as shown in
Fig. 5(e) and (f).

(a)

223

(a)

(b)

(c)

(d)

(e)

(f)

(d)
Fig. 5. (a)(f) EBSD images taken from the same region of the AIC-Ge samples in the ND
and TD. The thicknesses of the substrates are (a) and (b) 12 m, (c) and (d) 38 m, and
(e) and (f) 125 m. The coloration indicates crystal orientation, as shown in the legend.
(For interpretation of the references to color in this gure legend, the reader is referred
to the web version of this article.)

(b)

(e)

(c)

(f)

Fig. 4. (a)(c) SEM and (d)(f) EBSD images taken from the same region of the AIC-Ge
samples. The substrate thicknesses are (a) and (d) 12 m, (b) and (e) 38 m, and
(c) and (f) 125 m. The coloration indicates crystal orientation, as shown in the legend.
(For interpretation of the references to color in this gure legend, the reader is referred
to the web version of this article.)

To further understand the relationship between the crystal quality


and the substrate thickness, we investigated the effect of substrate curvature. Fig. 6(a) shows a photograph of the annealed (325 C, 10 min)
plastic substrates (thickness: 12, 38, and 125 m), which demonstrates
that the plastic substrate bends spontaneously after short-time annealing. This behavior is more prominent for the thinner substrates. The curvature radii were calculated as 9.3 mm for the 12-m-thick substrate,
15.1 mm for 38-m-thick substrate, and 46.3 mm for 125-m-thick substrate. The (111) orientation fraction and average grain size calculated
from EBSD data are plotted as a function of the substrate radius of
curvature in Fig. 6(b). This plot indicates that the substrate having the
largest radius of curvature yields the highest (111) fraction and the largest grain size, consistent with the XRD patterns shown in Fig. 2. The Ge
layer grown on the 125-m-thick substrate consisted of grains with an
average size of approximately 100 m, with 95% of grains having
(111) orientation.
The curvature dependent crystal quality in AIC-Ge can be explained
as follows. The substrate bending strains the a-Ge and Al layers during
annealing, which facilitates Ge nucleation and reduces size of resulting
grains [13,31,32]. The growth promotion creates non-equilibrium
conditions. This produces crystalline grains having orientations other
than (111), which are energetically-unstable [23,29,33]. Thus, a thinner
substrate results in a smaller grain size and fewer (111)-oriented grains,
while a thicker substrate yields a Ge layer of higher quality. Because the

224

N. Oya et al. / Thin Solid Films 583 (2015) 221225

(a)

shape of the 125-m-thick substrate was not signicantly altered during


annealing, it yielded a Ge layer having almost the same quality as the
AIC-Ge grown on a solid SiO2 substrate [28,29]. These results suggest
that any substrates could be used for AIC-Ge as long as they were thermally stable or xed during the AIC process.
The detailed crystal structure of the AIC-Ge on the 125-m-thick
substrate was evaluated using a cross-sectional TEM observation. A representative bright-eld TEM image, which shows a uniform Ge layer
stacked on SiO2, is shown in Fig. 7(a). Fig. 7(b) shows a higher magnication bright-eld TEM image taken in Ge b 011N zone axis orientation.
A selected-area electron diffraction (SAED) pattern taken from the region shown in Fig. 7(b) is shown in Fig. 7(c). The SAED pattern indicates
a single crystalline Ge layer, which is close to (111) orientation in the
direction normal to the substrate. Ge b011 N lattice fringes can be
observed in the high-resolution TEM image shown in Fig. 7(d). These
results agree with the EBSD image shown in Fig. 7(e), i.e., the Ge layer
has (111) orientation in the ND. Fig. 7(d) indicates that there is an amorphous AlOx interlayer between the Al and Ge, conrming that there is no
epitaxial relationship between Ge, AlOx, and Al. Dark-eld TEM images
were taken using the Ge {111} plane reection to characterize defects
in the Ge layer. The dark-eld TEM image in Fig. 7(e) shows a uniform
bright contrast of the Ge layer, indicating single crystalline Ge with no
grain boundaries in this region.

(b)

Fig. 6. (a) Photographs of the annealed (325 C, 10 min) plastic substrates with thicknesses of 12 m, 38 m, and 125 m. (b) (111) orientation fraction (blue circles) and
average grain size (red squares) of AIC-Ge calculated from EBSD analysis, as a function
of substrate curvature radius. By denition, the (111) fraction includes planes with tilts
up to 15 from the exact (111) plane; a grain was considered to be an area surrounded
by random grain boundaries. (For interpretation of the references to color in this gure
legend, the reader is referred to the web version of this article.)

4. Conclusion
AIC of a-Ge on exible plastic substrates was investigated. We found
that the crystal quality of AIC-Ge strongly depends on the substrate

Al

(a)

Ge
SiO 2
200 nm

Polyimide

(b)

Al
Ge
50 nm

SiO2

(c)

{111}

(d)

Al

AlOx

Ge{111}

B = <011> Ge

(e)

3 nm

Al
Ge

g : Ge{111}

SiO2

50 nm

Fig. 7. Cross-sectional TEM images of AIC-Ge on a 125-m-thick polyimide substrate. (a) and (b) Bright-eld TEM images showing a uniform Ge layer stacked on SiO2. (c) SAED pattern
showing the Geb011N zone axis orientation, taken from area shown in (b). (d) Lattice image of Ge near the Al/Ge interface. (e) Dark-eld TEM image acquired with the Ge{111} plane
reection showing a single-crystal structure.

N. Oya et al. / Thin Solid Films 583 (2015) 221225

thickness: the thicker substrate provided a higher fraction of (111)oriented grains and a larger grain size. A 95% (111)-oriented Ge layer
with grains 100 m in size was produced by employing a 125-mthick polyimide substrate that was thermally stable under the AIC
process at 325 C. TEM observation revealed that the resulting Ge
layer did not include dislocations or stacking faults. These ndings
allow fabrication of high-quality semiconducting thin lms on exible
substrates for next-generation electro-optical devices.
Acknowledgments
This work was nancially supported by the Japan Science Society
and the Iwatani Naoji Foundation. Some experiments were conducted
at the International Center for Young Scientists in NIMS.
References
[1] S.M. Sze, Physics of Semiconductor Devices, Second ed. Wiley, New York, 1981.
[2] T. Sanada, Y. Nakakita, M. Takenaka, S. Takagi, Surface orientation dependence of
interface properties of GeO2/Ge metal-oxide-semiconductor structures fabricated
by thermal oxidation, J. Appl. Phys. 106 (2009) 073716.
[3] T. Nishimura, L.H. Lee, T. Tabata, S.K. Wang, K. Nagashio, K. Kita, A. Toriumi, Highelectron-mobility Ge n-channel metal-oxide-semiconductor eld-effect transistors
with high-pressure oxidized Y2O3, Appl. Phys. Express 4 (2011) 064201.
[4] N. Fukata, K. Sato, M. Mitome, Y. Band, T. Sekiguchi, M. Kirkham, J.I. Hong, Z.L. Wang,
R.L. Snyder, Doping and Raman characterization of boron and phosphorus atoms in
germanium nanowires, ACS Nano 4 (2010) 3807.
[5] E.P.M. Bakkers, J. Dam, S. Franceschi, L.P. Kouwenhoven, M. Kaiser, M. Verheijen, H.
Wondergem, P. Sluis, Epitaxial growth of InP nanowires on germanium, Nat. Mater.
3 (2004) 769.
[6] K. Hamaya, H. Itoh, O. Nakatuka, K. Ueda, K. Yamamoto, M. Itakura, T. Taniyama, T.
Ono, M. Miyao, Ferromagnetism and electronic structures of nonstoichiometric
Heusler-alloy Fe3 xMnxSi epilayers grown on Ge(111), Phys. Rev. Lett. 102
(2009) 137204.
[7] G. Qin, T. Cai, H.C. Yuan, J.H. Seo, J. Ma, Z. Ma, Flexible radio-frequency single-crystal
germanium switch on plastic substrates, Appl. Phys. Lett. 104 (2014) 163501.
[8] W.S. Ho, Y.H. Dai, Y. Deng, C.H. Lin, Y.Y. Chen, C.H. Lee, C.W. Liu, Flexible Ge-onpolyimide detectors, Appl. Phys. Lett. 94 (2009) 261107.
[9] D. Shahrjerdi, S.W. Bedell, C. Ebert, C. Bayram, B. Hekmatshoar, K. Fogel, P. Lauro, M.
Gaynes, T. Gokmen, J.A. Ott, D.K. Sadana, High-efciency thin-lm InGaP/InGaAs/Ge
tandem solar cells enabled by controlled spalling technology, Appl. Phys. Lett. 100
(2012) 053901.
[10] K. Toko, I. Nakao, T. Sadoh, T. Noguchi, M. Miyao, Electrical properties of poly-Ge on
glass substrate grown by two-step solid-phase crystallization, Solid State Electron.
53 (2009) 1159.
[11] C.Y. Tsao, J.W. Weber, P. Campbell, G. Conibeer, D. Song, M.A. Green, In situ low temperature growth of poly-crystalline germanium thin lm on glass by RF magnetron
sputtering, Sol. Energy Mater. Sol. Cells 94 (2010) 1501.
[12] I. Chambouleyron, F. Fajardo, A.R. Zanatta, Aluminum-induced crystallization of
hydrogenated amorphous germanium thin lms, Appl. Phys. Lett. 79 (2001) 3233.
[13] B. Hekmatshoar, S. Mohajerzadeh, D. Shahrjerdi, M.D. Robertson, Thin-lm tunneling transistors on exible plastic substrates based on stress-assisted lateral growth
of polycrystalline germanium, Appl. Phys. Lett. 85 (2004) 1054.

225

[14] J.H. Park, P. Kapur, K.C. Saraswat, H. Peng, A very low temperature single crystal germanium growth process on insulating substrate using Ni-induced lateral crystallization for three-dimensional integrated circuits, Appl. Phys. Lett. 91 (2007) 143107.
[15] K. Toko, H. Kanno, A. Kenjo, T. Sadoh, T. Asano, M. Miyao, Ni-imprint induced solidphase crystallization in Si1 xGex (x: 01) on insulator, Appl. Phys. Lett. 91 (2007)
042111.
[16] Z.M. Wang, J.Y. Wang, L.P.H. Jeurgens, F. Phillipp, E.J. Mittemeijer, Origins of stress
development during metal-induced crystallization and layer exchange: annealing
amorphous Ge/crystalline Al bilayers, Acta Mater. 56 (2008) 5047.
[17] M. Uenuma, B. Zheng, K. Bundo, M. Horita, Y. Ishikawa, H. Watanabe, I. Yamashita, Y.
Uraoka, Crystallization of amorphous Ge thin lm using Cu nanoparticle synthesized
and delivered by ferritin, J. Cryst. Growth 382 (2013) 31.
[18] T. Zhang, Y. Huang, W. Zhang, F. Ma, K. Xu, Effect of stacking sequence on crystallization in Al/a-Ge bilayer thin lms, J. Vac. Sci. Technol. A 32 (2014) 031501.
[19] S. Hu, A.F. Marshall, P.C. McIntyre, Interface-controlled layer exchange in metalinduced crystallization of germanium thin lms, Appl. Phys. Lett. 97 (2010) 082104.
[20] Z. Chen, Q. Li, D. Pan, H. Zhang, Z. Jiao, M. Wu, C.H. Shek, C.M.L. Wu, J.K.L. Lai,
Polycondensation-type Ge nanofractal assembly, Mater. Today 14 (2011) 106.
[21] J.H. Park, K. Kasahara, K. Hamaya, M. Miyao, T. Sadoh, High carrier mobility in
orientation-controlled large-grain (50 m) Ge directly formed on exible plastic
by nucleation-controlled gold-induced-crystallization, Appl. Phys. Lett. 104 (2014)
252110.
[22] O. Nast, T. Puzzer, L.M. Koschier, A.B. Sproul, S.R. Wenham, Aluminum-induced crystallization of amorphous silicon on glass substrates above and below the eutectic
temperature, Appl. Phys. Lett. 73 (1998) 3214.
[23] A. Sarikov, J. Schneider, J. Berghold, M. Muske, I. Sieber, S. Gall, W. Fuhs, A kinetic
simulation study of the mechanisms of aluminum induced layer exchange process,
J. Appl. Phys. 107 (2010) 114318.
[24] B.I. Birajdar, T. Antesberger, B. Butz, M. Stutzmann, E. Spiecker, Direct in situ transmission electron microscopy observation of Al push up during early stages of the
Al-induced layer exchange, Scr. Mater. 66 (2012) 550.
[25] K. Toko, R. Numata, N. Saitoh, N. Yoshizawa, N. Usami, T. Suemasu, Selective formation of large-grained, (100)- or (111)-oriented Si on glass by Al-induced layer
exchange, J. Appl. Phys. 115 (2014) 094301.
[26] M. Kurosawa, N. Kawabata, T. Sadoh, M. Miyao, Orientation-controlled Si thin lms
on insulating substrates by Al-induced crystallization combined with interfacialoxide layer modulation, Appl. Phys. Lett. 95 (2009) 132103.
[27] D. Van Gestel, M.J. Romero, I. Gordon, L. Carnel, J. D'Haen, G. Beaucarne, M. Al-Jassim,
J. Poortmans, Electrical activity of intragrain defects in polycrystalline silicon layers
obtained by aluminum-induced crystallization and epitaxy, Appl. Phys. Lett. 90
(2007) 092103.
[28] K. Toko, M. Kurosawa, N. Saitoh, N. Yoshizawa, N. Usami, M. Miyao, T. Suemasu,
Highly (111)-oriented Ge thin lms on insulators formed by Al-induced crystallization, Appl. Phys. Lett. 101 (2012) 072106.
[29] K. Toko, R. Numata, N. Oya, N. Fukata, N. Usami, T. Suemasu, Low-temperature
(180 C) formation of large-grained Ge (111) thin lm on insulator using accelerated metal-induced crystallization, Appl. Phys. Lett. 104 (2014) 022106.
[30] K. Toko, K. Nakazawa, N. Saitoh, N. Yoshizawa, N. Usami, T. Suemasu, Orientation
control of Ge thin lms by underlayer-selected Al-induced crystallization,
CrystEngComm 16 (2014) 2578.
[31] M. Moniwa, M. Miyao, R. Tsuchiyama, A. Ishizaka, H. Sunami, T. Tokuyama, Preferential nucleation along SiO2 steps in amorphous Si, Appl. Phys. Lett. 47 (1985) 113.
[32] K. Toko, T. Sadoh, M. Miyao, Indentation-induced low-temperature solid-phase
crystallization of Si1 xGex (x = 01) on insulator, Appl. Phys. Lett. 94 (2009)
192106.
[33] A.A. Stekolnikov, J. Furthmller, F. Bechstedt, Absolute surface energies of group-IV
semiconductors: dependence on orientation and reconstruction, Phys. Rev. B 65
(2002) 115318.

You might also like