You are on page 1of 465

FUNDAMENTAL TECHNOLOGY

AND APPLICATIONS

Edited by
VIKAS CHOUDHARY
KRZYSZTOF INIEWSKI
FUNDAMENTAL TECHNOLOGY
AND APPLICATIONS
Devices, Circuits, and Systems

Series Editor
Krzysztof Iniewski
CMOS Emerging Technologies Inc., Vancouver, British Columbia, Canada

PUBLISHED TITLES:
Atomic Nanoscale Technology in the Nuclear Industry
Taeho Woo
Biological and Medical Sensor Technologies
Krzysztof Iniewski
Electrical Solitons: Theory, Design, and Applications
David Ricketts and Donhee Ham
Electronics for Radiation Detection
Krzysztof Iniewski
Graphene, Carbon Nanotubes, and Nanostuctures:
Techniques and Applications
James E. Morris and Krzysztof Iniewski
High-Speed Photonics Interconnects
Lukas Chrostowski and Krzysztof Iniewski
Integrated Microsystems: Electronics, Photonics, and Biotechnology
Krzysztof Iniewski
Internet Networks: Wired, Wireless, and Optical Technologies
Krzysztof Iniewski
Low Power Emerging Wireless Technologies
Lukas Chrostowski and Krzysztof Iniewski
MEMS: Fundamental Technology and Applications
Vikas Choudhary and Krzysztof Iniewski
Nano-Semiconductors: Devices and Technology
Krzysztof Iniewski
Nanoelectronic Device Applications Handbook
James E. Morris and Krzysztof Iniewski
Optical, Acoustic, Magnetic, and Mechanical Sensor Technologies
Krzysztof Iniewski
Radiation Effects in Semiconductors
Krzysztof Iniewski
Semiconductor Radiation Detection Systems
Krzysztof Iniewski
Smart Sensors for Industrial Applications
Krzysztof Iniewski
Telecommunication Networks
Eugenio Iannone
FORTHCOMING TITLES:

Novel Advances in Microsystems Technologies and Their Applications


Laurent A. Francis and Krzysztof Iniewski
Nanoelectronics: Devices, Circuits, and Systems
Nikos Konofaos
Building Sensor Networks: From Design to Applications
Ioanis Nikolaidis and Krzysztof Iniewski
Embedded and Networking Systems: Design, Software, and Implementation
Gul N. Khan and Krzysztof Iniewski
Medical Imaging: Technology and Applications
Troy Farncombe and Krzysztof Iniewski
Nanoscale Semiconductor Memories: Technology and Applications
Santosh K. Kurinec and Krzysztof Iniewski
Nanoplasmonics: Advanced Device Applications
James W. M. Chon and Krzysztof Iniewski
MIMO Power Line Communications: Narrow and Broadband Standards, EMC,
and Advanced Processing
Lars Torsten Berger, Andreas Schwager, Pascal Pagani, and Daniel Schneider
Energy Harvesting with Functional Materials and Microsystems
Madhu Bhaskaran, Sharath Sriram, and Krzysztof Iniewski
Mobile Point-of-Care Monitors and Diagnostic Device Design
Walter Karlen and Krzysztof Iniewski
Integrated Power Devices and TCAD Simulation
Yue Fu, Zhanming Li, Wai Tung Ng, and Johnny K.O. Sin
CMOS: Front-End Electronics for Radiation Sensors
Angelo Rivetti
Radio Frequency Integrated Circuit Design
Sebastian Magierowski
FUNDAMENTAL TECHNOLOGY
AND APPLICATIONS

Edited by
VIKAS CHOUDHARY
KRZYSZTOF INIEWSKI

Boca Raton London New York

CRC Press is an imprint of the


Taylor & Francis Group, an informa business
MATLAB is a trademark of The MathWorks, Inc. and is used with permission. The MathWorks does not warrant the
accuracy of the text or exercises in this book. This books use or discussion of MATLAB software or related products
does not constitute endorsement or sponsorship by The MathWorks of a particular pedagogical approach or particular
use of the MATLAB software.

CRC Press
Taylor & Francis Group
6000 Broken Sound Parkway NW, Suite 300
Boca Raton, FL 33487-2742
2013 by Taylor & Francis Group, LLC
CRC Press is an imprint of Taylor & Francis Group, an Informa business

No claim to original U.S. Government works


Version Date: 20130305

International Standard Book Number-13: 978-1-4665-1582-6 (eBook - PDF)

This book contains information obtained from authentic and highly regarded sources. Reasonable efforts have been
made to publish reliable data and information, but the author and publisher cannot assume responsibility for the valid-
ity of all materials or the consequences of their use. The authors and publishers have attempted to trace the copyright
holders of all material reproduced in this publication and apologize to copyright holders if permission to publish in this
form has not been obtained. If any copyright material has not been acknowledged please write and let us know so we may
rectify in any future reprint.

Except as permitted under U.S. Copyright Law, no part of this book may be reprinted, reproduced, transmitted, or uti-
lized in any form by any electronic, mechanical, or other means, now known or hereafter invented, including photocopy-
ing, microfilming, and recording, or in any information storage or retrieval system, without written permission from the
publishers.

For permission to photocopy or use material electronically from this work, please access www.copyright.com (http://
www.copyright.com/) or contact the Copyright Clearance Center, Inc. (CCC), 222 Rosewood Drive, Danvers, MA 01923,
978-750-8400. CCC is a not-for-profit organization that provides licenses and registration for a variety of users. For
organizations that have been granted a photocopy license by the CCC, a separate system of payment has been arranged.

Trademark Notice: Product or corporate names may be trademarks or registered trademarks, and are used only for
identification and explanation without intent to infringe.
Visit the Taylor & Francis Web site at
http://www.taylorandfrancis.com
and the CRC Press Web site at
http://www.crcpress.com
Dedicated to Anu, Aryaman, Anushka, and my parents

Vikas Choudhary
Contents
Preface...............................................................................................................................................xi
Editors.............................................................................................................................................xvii
Contributors.....................................................................................................................................xix

SECTION I Breakthrough Technology

Chapter 1 Microsystems to Nano-Microsystems: A Technological Breakthrough....................... 3


Daniel Hauden

Chapter 2 HfO2-Based High- Dielectrics for Use in MEMS Applications............................... 21


Bing Miao, Rajat Mahapatra, Nick Wright, and Alton Horsfall

Chapter 3 Piezoelectric Thin Films for MEMS Applications..................................................... 41


Isaku Kanno

Chapter 4 CMOS Systems and Interfaces for Sub-Deg/Hr Microgyroscopes............................ 69


Ajit Sharma, Mohammad Faisal Zaman, and Farrokh Ayazi

Chapter 5 Bulk Acoustic Wave Gyroscopes................................................................................ 91


Houri Johari

Chapter 6 Mechanically Flexible Interconnects and TSVs: Applications in CMOS/MEMS


Integration................................................................................................................. 111
Hyung Suk Yang, Paragkumar Thadesar, Chaoqi Zhang, andMuhannad Bakir

Chapter 7 Modeling of Piezoelectric MEMS Vibration Energy Harvesters............................. 131


Marcin Marzencki and Skandar Basrour

Chapter 8 Interface Circuits for Capacitive MEMS Gyroscopes.............................................. 161


Hongzhi Sun and Huikai Xie

Chapter 9 Electromechanical Loops for High-Performance and Robust Gyroscope


System Design........................................................................................................... 183
Vikas Choudhary, Abhinav Dikshit, Anjan Kumar, Deva Phanindra Kumar,
Saravanan Kamatchi, and Nemai Biswas

ix
x Contents

SECTION II MEMS-Based Novel Applications

Chapter 10 Bulk Acoustic Wave Resonators for Mobile Communication Systems....................205


Sumy Jose

Chapter 11 Wideband Ultrasonic Transmitter and Sensor Array for In-Air Applications.......... 227
J. R. Gonzalez, Mohamed Saad, and Chris J. Bleakley

Chapter 12 MEMS-Based Lamellar Grating Fourier Transform Spectrometers........................ 249


Hongbin Yu, Guangya Zhou, and Fook Siong Chau

Chapter 13 Microelectromechanical Resonators for RF Applications........................................ 273


Frederic Nabki, Tomas A. Dusatko, and Mourad N. El-Gamal

Chapter 14 Rigid Body Motion Capturing by Means of Wearable Inertial and


MagneticMEMS Sensor AssemblyFrom Reconstitution of the Posture
toward Dead Reckoning: An Application in Bio-Logging....................................... 313
Hassen Fourati, Noureddine Manamanni, Lissan Afilal, andYves Handrich

Chapter 15 Radio-Controlled Wireless MEMS Actuators and Applications.............................. 331


Mohamed Sultan Mohamed Ali and Kenichi Takahata

Chapter 16 Advanced MEMS Technologies for Tactile Sensing and Actuation......................... 351
M. Amato, Massimo De Vittorio, and S. Petroni

Chapter 17 MEMS-Based Micro Hot-Plate Devices................................................................... 381


Jrgen Hildenbrand, Andreas Greiner, and Jan G. Korvink

Chapter 18 A Wireless Sensor Networks Enabled Inertial Sensor.............................................. 401


Yao-Chiang Kan

Chapter 19 Passive Radio-Frequency Acoustic Sensors and Systems for Wired


andWireless Applications......................................................................................... 417
Sylvain Ballandras, Gilles Martin, Jean-Michel Friedt, VictorPlessky,
Virginie Blondeau-Ptissier, William Daniau, Thomas Baron, Luc
Chommeloux, StphaneTourette, Jean-Franois Leguen, Bruno Franois,
Christophe Droit, Meddy Vanotti, Marc Lamothe, DavidRabus, Nicolas
Chrtien, and Emile Carry
Preface
The microelectromechanical systems (MEMS) industry has seen explosive growth over the last
decade. This industry has seen proliferation in various technologies and applications alike. There
is not a single book that can present a unique view of this landscape and this book is no different.
However, this book serves the purpose of being eclectic in its selections from authorities in their
respective fields. This book has 19 chapters, roughly divided into two broad categories. Chapters
19 in the technology section discuss new MEMS devices, whereas Chapters 1019 in the applica-
tions section dwell upon novel MEMS-based applications. Each chapter is complete in itself and
can be read in isolation or in conjunction with other chapters of the book. Below we give a brief
overview of the chapters to guide readers for a quick selection of the topic of their choice.
Chapter 1 by Daniel Hauden provides a comprehensive overview of MEMS technology and
itsevolution. This chapter can be considered as an overview for the rest of the book. Written by Dr.
Hauden, professor emeritus with the French University, who has been involved in this field virtually
from its inception, the chapter offers readers an excellent snapshot of this field. After a brief histori-
cal perspective on the technological breakthroughs, a section rich in examples of microsystems that
have been laboratory proven, as well as commercially successful, is introduced. This is followed by
a section on the link between nanotechnology and the macroscopic world. Eventually, the motiva-
tion for a bottom-up approach for nanotechnology is discussed. Throughout the chapter, readers are
challenged with various scientific questions that need to be resolved, thereby paving the way for new
applications. For experienced readers, the chapter will serve as a refresher, while for students and
researchers, it will serve as a platform to direct their research in the right direction and invigorate
them with the right questions.
Chapter 2 by Bing Miao etal. discusses the need for research in the area of thin-film integrated
passives as an alternative to discrete passives in an effort to save board space and improve electri-
cal performance and system reliability. Specifically, it discusses HfO2-based high- dielectrics for
use in MEMS applications. Additionally, the chapter is unique in that it is one of the few research
works to discuss the long-term degradation (both performance and reliability) in electronics due to
radiation.
In general, silicon has been probably the most-studied material in the history of mankind and
definitely for MEMS devices as well. At the same time, functional materials, such as ferroelectric
materials, have gradually been integrated into MEMS and they can give new functionality to simple
microstructures. Among them, piezoelectricity is very attractive for the application of microsensors
and actuators. Piezoelectricity has two characteristics, one is the piezoelectric effect, which means
charge generation by an external stress or strain, and the other is the inverse piezoelectric effect,
which is force generation by an external electric field. These characteristics imply that piezoelec-
tric materials are inherently sensors and actuators. Therefore, unique functionality, especially in
simple microstructures, can be created using piezoelectric materials that are integrated into MEMS.
Chapter 3 by Isaku Kanno from Kyoto University discusses such a possibility of developing piezo-
electric MEMS. This chapter can form a good basis for researchers and practicing engineers look-
ing for alternative material for MEMS.
A gyroscope is a sensor used to measure the angle or velocity of rotation. From the days of the
first silicon tuning-fork gyroscope introduced by Draper Labs in 1991, micromachined gyroscopes
today constitute one of the fastest-growing segments of the microsensor market. The application
domain of these devices is quickly expanding from automotive to consumer and personal navigation
systems. Today, most micromachined gyroscopes use vibrating elements to sense rotation and are
devoid of any rotating parts or bearings, making them suitable for batch fabrication using planar

xi
xii Preface

processes and for potential integration with complementary metaloxidesemiconductor (CMOS)


circuitry. Chapter 4 by Ajit Sharma etal. introduces readers to the gyroscope and its implementation
details through a case study of a mode-matched tuning fork gyroscope. The first half of the chap-
ter discusses the nonidealities associated with such a gyroscope and how they could be addressed
potentially through circuits. The second half of the chapter elaborates the case study with details of
such an implementation. This chapter sets the tone for Chapters 5, 8, and 9 on gyroscopes.
The performance of micromachined gyroscopes has significantly improved over the last two
decades. Since 1991, the resolution of micromachined gyroscopes, indicated by the random angle
walk, has improved by a factor of 10 (Chapter 4). However, most of the improvements so far
have come from the manufacturing, packaging, and, to some extent, signal-processing circuitry.
A fundamental need has arisen to investigate structures that can provide orders of magnitude of
improvement over current performance numbers. Chapter 5 by Houri Johari presents bulk acoustic
wave (BAW) gyroscopes that could be a potential solution for future gyroscopes. Single-crystal
silicon disk gyroscopes are designed to operate in their degenerate elliptic bulk acoustic modes
with frequencies in the 120MHz range. This enhances the gyroscopes operational bandwidth
in the mode-matched condition compared to low-frequency (<100kHz) flexural-mode gyroscopes.
Operating gyroscopes in the mode-matched condition with a high quality factor (Q) enhances the
signal-to-noise ratio significantly and improves the performance of the gyroscopes. This chapter
gives an overview of BAW gyroscopes and would serve as excellent introductory material for those
interested in pursuing this technology.
Chapter 6 by Hyung Suk Yang etal. begins by posing an excellent question. The authors rightly
observe that despite the fact that the MEMS market has grown substantially, the industry is domi-
nated by a few powerhouses. What prohibits this proliferation? And a follow-up question would be
what enables widescale adoption of myriad MEMS devices? While the obvious answer is cost, it
is succinctly stated through what the Yole Development calls the MEMS LawOne product, one
process, one package (MEMS Market Overview, 2010). This MEMS Law refers to the observed
trend that fabrication processes and packages needed by MEMS devices are so unique to those
devices that both the fabrication process and packages cannot be standardized and therefore both
need to be custom designed for each unique product. Compared to the microelectronics industry
where many small successful fabless companies exist, taking advantage of a dedicated foundry like
the Taiwan Semiconductor Manufacturing Company (TSMC) to handle fabrication and packaging
needs, many MEMS companies require a significant initial investment. This sets the tone to dis-
cuss possible solutions, and in this chapter, specifically, by leveraging new advances in flexible I/O
technologies and through-silicon via technologies. The authors believe that one can create a generic
integration platform for state-of-the-art CMOS and arbitrary MEMS devices. The chapter outlines
the 3D integration of CMOS, and MEMS provides the performance of monolithic integration and
the process simplicity of hybrid integration. Key to exploiting all the benefits of 3D integration
for CMOS and MEMS is leveraging advanced interconnect technologies such as flexible inter-
connects and through-silicon vias. In this chapter, the motivation and need for such interconnects
are discussed along with an overview of challenges involved in the design and fabrication of such
interconnects.
Chapter 7 by Marcin Marzencki and Skandar Basrour addresses a very fundamental issue at
the heart of this modern electronic gadget era, and that is device recharging. What if a device
never needs charging? Can this be accomplished? The authors claim that just as light energy has
been successfully used as a source of energy, our environment is replete with pressure variations,
structural deformations or mechanical vibrations, which can be harnessed to generate energy. A
scheme to harness such energy is called ambient energy harvesting. This chapter then discusses
harvesting the energy of ambient mechanical vibrations using piezoelectric MEMS devices. What
MEMS allows is miniaturization of such energy harvesters that can be integrated with electronics
and hence open avenues for fully autonomous miniature systems. The chapter discusses models for
such a possible system and is rich in both theory and measurement of outlined theoretical models.
Preface xiii

The chapter also has a rich set of references at the end and can serve as an excellent reference for
researchers in this field.
Chapter 8 by Hongzhi Sun and Huikai Xie first introduces basic knowledge about the interface
circuits for capacitive MEMS gyroscopes. The chapter is rich in theoretical analyses of the working
principle of gyroscopes and their associated nonidealities. In contrast to Chapter 4, the focus here
is more on capacitive sensing and associated circuitry. The chapter deals with both continuous and
discrete time sensing, and to some extent, a very general exposure on interfacing sensing circuits.
The readers of this chapter will benefit by having a solid understanding of how to analyze inter-
face circuits, although specifically for capacitive interface gyroscopes, but this knowledge can be
extended to any such interface MEMS circuitry.
Chapter 9 by Vikas Choudhary etal. presents a unique viewpoint for ultimately creating a highly
robust and high-performance microsystem, with a capacitive vibratory-type MEMS gyroscope as a
case in point. The viewpoint offered in this chapter is essentially to harness the advances made in
the field of circuit design and signal processing to create electromechanical loops. The nonidealities
of the sensor can be sensed through the electronic signature, processed and then finally electrical
signals can be issued to the sensor to correct such behavior. The approach can, in fact, be extended
to issue electronic signals to the sensor to mimic certain qualified behavior, which can then be
indicative of the health of the system, thereby creating a platform for more robust systems. This
chapter concludes with a plethora of applications that such a robust gyroscope system has spawned.
Readers can derive significant insights into creating high-performance inertial systems.
BAW resonators have been researched for several decades now. They have shown great promise
and are also making their presence felt commercially, particularly in the field of wireless. Lately a
major trend has been the replacement of conventional RF filters at the front end of the receiving or
transmitting chain for gigahertz wireless applications by BAW filters, particularly because of a high
Q (selectivity) steep transition band. Chapter 10 by Sumy Jose begins with an overview of the basics
of BAW device physics and then goes on to explain such devices in greater detail. This particular
chapter can serve as a good tutorial for those just being initiated in this field. The chapter further has
an exhaustive set of references that can be used for further reading and research.
Chapter 11 by J. R. Gonzalez etal. presents a unique application of creating ultrasonic receiver
arrays using MEMS sensors. In particular, this chapter presents the results of the authors research
on the use of piezoelectric transducers and MEMS sensors in wideband in-air ultrasonic location
applications with a focus on low cost, low power, and wideband. The chapter elaborates on how
conventional technology cannot meet such a demand, thereby presenting a modification process
for ultrasonic transmitters resulting in a significant increase in piezoelectric transducer bandwidth.
Theory and experimental results are presented and validated and eventually the chapter asserts a
new direction for local positioning systems (LPS). For industry researchers and entrepreneurs, this
chapter can serve as a reference for taking such MEMS-based applications to their commercial end.
Chapter 12 written by Hongbin Yu etal. presents another novel application of MEMS technol-
ogy. Optical spectrometers are very important instruments in the field of metrology. However, they
need to be miniaturized and are required to operate under harsh environments. This has been the
main driving force for optical MEMS-based spectrometers. This chapter introduces readers to sev-
eral designs of miniaturized field-applicable FTIR microspectrometers based on lamellar gratings.
These designs are implemented using silicon-on-insulator (SOI) micromachining and are shown to
have lighter weight, lower device cost, and a more compact configuration. Readers of this chapter
will get a full preview of the state of the art in MEMS-based spectrometers and the challenges asso-
ciated with commercializing this application.
Chapter 13 by Frederic Nabki etal. is on microelectromechanical resonators and their integra-
tion with conventional circuitry on a chip to create highly compact subsystems. The chapter focuses
mainly on RF applications. The chapter begins with a primer on MEMS resonator basics and does a
thorough job of defining all the performance parameters, modeling, nonlinearity, and so on, that are
associated with such devices. The chapter then elaborates on a few applications that such resonators
xiv Preface

are enabling, for example, filters and oscillators. Additionally, the chapter has a full section on
MEMS resonators and concludes with a case study on a resonator-based complete system. This
chapter thoroughly deals with MEMS resonators, and readers will enjoy the completeness of mate-
rial. This can further serve as a platform for researchers and students in this field.
Rigid-body motion capture has myriad applications and Chapter 14 addresses this by proposing
a robust alternative approach to estimate the movement patterns (attitude or orientation) of a rigid
body, which represents the animal body. Further, to achieve this, the authors of the chapter, Hassen
Fourati etal., propose a wearable inertial and magnetic MEMS sensor assembly based on a 3-axis
accelerometer, a 3-axis magnetometer, and a 3-axis gyroscope (inertial measurement unit). Detailed
results of this application are presented and offer entrepreneurs a platform to study the performance
of such MEMS-based systems that can be potentially commercialized.
MEMS for drug-delivery applications have attracted significant interest. Implantable MEMS
devices for this application are aimed at enabling the controlled release of drugs locally at dis-
eased sites through miniaturized devices, offering a more effective therapy compared with conven-
tional methods for systemic drug administration that can have a negative impact on the entire body.
Chapter 15 by Mohamed Sultan Mohamed Ali and Kenichi Takahata focuses on recent research
progress in wireless microactuators to enable applications like the above. The chapter is complete
in itself in the sense that it has a detailed description of such a novel device and its applications.
The contrasting challenge of emulation of the human sense of touch, on the one hand, and accu-
rate reproduction of haptic feedback, on the other hand, presents a challenge in the field of robot-
ics. Chapter 16 by M. Amato et al. discusses the use of MEMS technology for tactile sensing and
actuation. The chapter begins with the human sense of touch, which inspires and drives the design
of tactile systems. Following this, a review of the state of the art in MEMS technology for tactile
sensors and actuators discusses their principle of operation, advantages, and drawbacks with an
emphasis on soft MEMS technology and biomimetic approaches.
Devices with an integrated heater elementmicro hot platesform another family of MEMS
devices, sensing orders of several hundreds of degree Celsius. Chapter 17 by Jrgen Hildenbrand
etal. elaborates the scheme for such a MEMS-based micro hot-plate device. The chapter begins
with a review of the state of the art of such devices and then discusses the design process for such
hot plates. Later in the chapter, these devices are characterized and the results are discussed in
detail. At the end of the chapter, a few applications such as the use of hot plates in metal-oxide-based
gas sensors and thermal emitters are also elaborated upon.
Chapter 18 by Yao-Chiang Kan talks about creating IMUs (inertial measurement units) with
integrated wireless circuitry to enable convenient and continuous monitoring. This chapter begins
with the basic theory of inertial navigation, the error characteristics of MEMS IMUs, and the effects
of these errors on a calculated position. Radio frequency (RF) technology is then introduced with an
emphasis on antenna issues for different applications, followed by a description of a wireless sensor
network (WSN)-enabled inertial sensor node (ISN) developed by the author. Later in the chapter,
applications are discussed. The chapter essentially provides an application-based view of the main
components of a WSN-enabled ISN. This chapter can serve as a good reference for practicing or
application engineers who are involved in this field.
Chapter 19 by Sylvain Ballandras et al. discusses passive acousto-electric devices and their
applications in wired and wireless systems. Passive acousto-electric devices have been extensively
used for a long time in various RF applications. Of all these, the possibility of developing sensors
and associated systems using these devices has been widely investigated and has yielded numerous
academic as well as industrial developments. Different strategies can be implemented for probing
these sensors, based on time-domain analysis or using spectrum techniques depending on the sen-
sor nature. In this chapter, the authors introduce the basic principles of RF acoustic devices and the
various structures usually implemented for sensors. Several examples illustrate the implementation
of these devices and the focus is then on the different e lectronic systems devoted to sensor operation
control. The authors also present the state of the art concerning accuracy, resolution and stability,
Preface xv

interrogation distance, and long-term robustness of these systems, with a discussion on the further
development of such devices and their present and future applications.
Finally, we would like to acknowledge the help extended by all the contributing authors. Vikas
Choudhary would also like to acknowledge S. Karthik and Farhad Vazehgoo at Analog Devices for
their encouragement during the course of compiling this book. Last, our sincere thanks go to CRC
Press and its staff for hours of editing work that went into making this effort come out as a book.

MATLAB is a registered trademark of The MathWorks, Inc. For product information, please
contact:

The MathWorks, Inc.


3 Apple Hill Drive
Natick, MA 01760-2098 USA
Tel: 508 647 7000
Fax: 508-647-7001
E-mail: info@mathworks.com
Web: www.mathworks.com
Editors
Vikas Choudhary is currently a senior manager of the MEMS and Sensor Technology Group at
Analog Devices. He is involved in the design and management of products for inertial MEMS.
Additionally, he manages a team of engineers involved in the design of high-performance precision
analog-to-digital converters.
Vikas has more than 18 years of experience in the semiconductor industry. In his career, he
has been involved in the design of both circuits and systems for high-speed gigabit signaling for
chip-to-chip interconnects. He has led designs of advanced clock and data recovery systems and
equalization schemes for receivers and transmitters. He was also the architect and lead designer for
several RFIC subsystems such as 802.16e and 802.11n. He has held various management and design
positions at PMC-Sierra Inc., Texas Instruments, and STMicroelectronics. He earned his masters in
signal processing from the University of California, Los Angeles, and has three issued patents. His
current research interests are in the field of applied signal processing for high-performance analog
circuits and systems. He can be reached at vikas.choudhary@analog.com

Krzysztof (Kris) Iniewski is managing R&D at Redlen Technologies Inc., a start-up company in
Vancouver, Canada. Redlens revolutionary production process for advanced semiconductor materi-
als enables a new generation of more accurate, all-digital, radiation-based imaging solutions. He is
also the president of CMOS Emerging Technologies Research (www.cmosetr.com), an organization
for high-tech events covering communications, microsystems, optoelectronics, and sensors.
Dr. Iniewski has held numerous faculty and management positions at the University of Toronto,
University of Alberta, Simon Fraser University, and PMC-Sierra Inc. He has published more than
100 research papers in international journals and conferences. He holds 18 international patents
granted in the United States, Canada, France, Germany, and Japan. He is a frequently invited
speaker and has consulted to multiple organizations internationally. He has written and edited sev-
eral books for Cambridge University Press, Wiley, CRC Press, McGraw-Hill, Artech House, and
Springer. His personal goal is to contribute to healthy living and sustainability through innovative
engineering solutions. He can be reached at kris.iniewski@gmail.com.

xvii
Contributors
Lissan Afilal Chris J. Bleakley
Groupe AUTO School of Computer Science and Informatics
CReSTIC University College Dublin
Universit de Reims Champagne-Ardenne Dublin, Ireland
Reims, France
Virginie Blondeau-Ptissier
Mohamed Sultan Mohamed Ali FEMTO-ST
Micro and Nano Technology Research Group Universit de Franche-Comt (UFC)
Universiti Teknologi Malaysia Besanon,France
Johor, Malaysia

M. Amato Emile Carry


Istituto Italiano di Tecnologia and FEMTO-ST
Dipartimento di Ingegneria dellInnovazione Universit de Franche-Comt (UFC)
Universit del Salento Besanon, France
Lecce, Italy
Fook Siong Chau
Farrokh Ayazi Department of Mechanical Engineering
School of Electrical and Computer Engineering National University of Singapore
Georgia Institute of Technology Singapore
Atlanta, Georgia
Luc Chommeloux
Muhannad Bakir FEMTO-ST
Georgia Institute of Technology Universit de Franche-Comt (UFC)
Atlanta, Georgia Besanon, France

Sylvain Ballandras Vikas Choudhary


SENSeOR SAS MEMS & Sensors
Parc de Haute Technologie du Font de lOrme Analog Devices
Mougins, France Bangalore, India
Thomas Baron
Nicolas Chrtien
FEMTO-ST
SENSeOR SAS
Universit de Franche-Comt (UFC)
Parc de Haute Technologie du Font de lOrme
Besanon, France
Mougins, France
Skandar Basrour and
TIMA Laboratory
CNRS-Grenoble INP-UJF SENSeOR (R&D)
Grenoble, France Besanon, France

Nemai Biswas William Daniau


MEMS & Sensors FEMTO-ST
Analog Devices Universit de Franche-Comt (UFC)
Bangalore, India Besanon, France

xix
xx Contributors

Massimo De Vittorio Andreas Greiner


Istituto Italiano di Tecnologia Freiburg U. Roche Diagnostics
and Rotkreuz, Switzerland
Dipartimento di Ingegneria dellInnovazione
Universit del Salento Yves Handrich
and Dpartement Ecologie, Physiologie et
National Nanotechnology Laboratory of Ethologie
CNR-INFM Institut Pluridisciplinaire Hubert CURIEN
Lecce, Italy Universit de Strasbourg
Strasbourg, France
Abhinav Dikshit
MEMS & Sensors Daniel Hauden
Analog Devices FEMTO-ST
Bangalore, India Universit de Franche-Comt (UFC)
Besanon, France
Christophe Droit
FEMTO-ST Jrgen Hildenbrand
Universit de Franche-Comt (UFC) Freiburg U. Roche Diagnostics
Besanon, France Rotkreuz, Switzerland

Tomas A. Dusatko Alton Horsfall


McGill University School of Electrical and Electronic
Montreal, Quebec, Canada Engineering
Newcastle University
Mourad N. El-Gamal Newcastle, United Kingdom
McGill University
Montreal, Quebec, Canada Houri Johari
MEMS & Sensors
Analog Devices
Hassen Fourati
San Jose, California
Department of Automatic Control
GIPSA-LAB Grenoble, France
Sumy Jose
Process Reliability
Bruno Franois
NXP Semiconductor
FEMTO-ST
Enschede, the Netherlands
Universit de Franche-Comt (UFC)
Besanon, France
Saravanan Kamatchi
MEMS & Sensors
Jean-Michel Friedt Analog Devices
SENSeOR SAS Bangalore, India
Parc de Haute Technologie du Font de lOrme
Mougins, France Yao-Chiang Kan
and Department of Communications
Engineering
SENSeOR (R&D) Yuan-Ze University
Besanon, France Taoyuan, Taiwan

J. R. Gonzalez Isaku Kanno


School of Computer Science and Informatics Machine Dynamics Laboratory
University College Dublin Kyoto University
Dublin, Ireland Kyoto, Japan
Contributors xxi

Jan G. Korvink Frederic Nabki


Freiburg U. Roche Diagnostics Department of Microelectronics Engineering
Rotkreuz, Switzerland Universit du Qubec Montral (UQAM)
Montreal, Quebec, Canada
Anjan Kumar
MEMS & Sensors S. Petroni
Analog Devices Istituto Italiano di Tecnologia
Bangalore, India Lecce, Italy

Deva Phanindra Kumar Victor Plessky


MEMS & Sensors GVR Trade SA
Analog Devices Chez-le-Bart, Switzerland
Bangalore, India
David Rabus
FEMTO-ST
Marc Lamothe
Universit de Franche-Comt (UFC)
FEMTO-ST
Besanon, France
Universit de Franche-Comt (UFC)
Besanon, France Mohamed Saad
School of Computer Science and Informatics
Jean-Franois Leguen University College Dublin
SENSeOR SAS Dublin, Ireland
Parc de Haute Technologie du Font de lOrme
Mougins, France Ajit Sharma
and Texas Instruments Inc.
Dallas, Texas
SENSeOR (R&D)
Besanon, France Hongzhi Sun
University of Florida
Rajat Mahapatra Gainesville, Florida
Department of Electronics and
Communications Kenichi Takahata
National Institute of Technology University of British Columbia
Durgapur, India Vancouver, British Columbia, Canada

Noureddine Manamanni Paragkumar Thadesar


Universit de Reims Champagne-Ardenne Georgia Institute of Technology
Reims, France Atlanta, Georgia

Gilles Martin Stphane Tourette


FEMTO-ST SENSeOR SAS
Universit de Franche-Comt (UFC) Parc de Haute Technologie du Font de lOrme
Besanon, France Mougins, France
and
Marcin Marzencki
Simon Fraser University SENSeOR (R&D)
Burnaby, British Columbia, Canada Besanon, France

Bing Miao Meddy Vanotti


School of Electrical and Electronic Engineering FEMTO-ST
Newcastle University Universit de Franche-Comt (UFC)
Newcastle, United Kingdom Besanon, France
xxii Contributors

Nick Wright Hongbin Yu


School of Electrical and Electronic Department of Mechanical Engineering
Engineering National University of Singapore
Newcastle University Singapore
Newcastle, United Kingdom
Mohammad Faisal Zaman
Qualtr Inc.
Huikai Xie Marlborough, Massachusetts
Department of Electrical and
ComputerEngineering Chaoqi Zhang
University of Florida Georgia Institute of Technology
Gainesville, Florida Atlanta, Georgia
Guangya Zhou
Hyung Suk Yang Department of Mechanical Engineering
Georgia Institute of Technology National University of Singapore
Atlanta, Georgia Singapore
Section I
Breakthrough Technology
1 Microsystems to
Nano-Microsystems
A Technological Breakthrough
Daniel Hauden

CONTENTS
1.1 From Microelectronics to Microsystems................................................................................... 3
1.1.1 Realization of Micromechanisms with Mobile Parts.................................................... 4
1.1.2 Increase of Mechanical Couple and Power in Micromechanisms................................. 5
1.1.2.1 LIGA Techniques............................................................................................6
1.1.2.2 Scratch Drive Technique................................................................................. 6
1.1.3 Main Fields of Application of Microsystems................................................................6
1.1.3.1 Precursor Domains (up to 2000)..................................................................... 6
1.1.3.2 Exploring Applications of Microsystems Combined with
Nanotechnologies............................................................................................ 7
1.2 Microsystems: A Link between Nanotechnologies andtheMacroscopic World.................... 14
1.3 Bottom-Up Nanotechnologies: Future of Nanoelectromechanical Systems........................... 15
1.4 Conclusion and Perspectives.................................................................................................... 16
Acknowledgments............................................................................................................................. 18
References......................................................................................................................................... 18

Microsystems are small-dimensional intelligent objects, with sizes ranging from centimeters to
micrometers, which present a well-identified function, and are elaborated by different technologies
of manufacturing in parallel (batch processing) already well mastered in microelectronics. They
arise from the needs of miniaturization of voluminous, expensive systems, in order to apply them to
new systems that require a better functional conformity and a better portability (nomadic systems).
The concept is based on the top-down way of miniaturization. The main evolution of the func-
tions beyond electronics is the introduction of micromechanics using mobile parts (springs, beams,
rotors, etc.), the optics, and the intelligence (software embedded into the microsystems) by using the
technologies of microelectronics.
The new tools generally ensure a link with nanotechnology (technology capable of elaborating
objects structured on the scale of the nanometer) and the macroscopic real world. Nanotechnologies
lead to creating infinitely local functions using either sculpture by manipulating atoms, molecules,
or molecular assembly (the bottom-up way). They are approachable to the users through a continu-
ous line as nanomicromesomacro systems, ensuring coherent and additional set of useful func-
tions from nano to real world.

1.1 FROM MICROELECTRONICS TO MICROSYSTEMS


The microelectronics developed on the concept of C-MOS transistor and on planar manufacturing
technology allow for an automatic realignment of various technological layers implemented during
circuit integration.

3
4 MEMS: Fundamental Technology and Applications

Microelectronics evolved toward ultra-miniaturization (known as nanoelectronics). Collective


manufacturing in parallel (batch processing) also allows for a decrease in the manufacturing
cost.
In the 1980s, miniaturization of mechanical and electromechanical components, for instance,
in the watch industry and micromechanical systems, was traditionally fabricated in line, was more
expensive, and was certainly improved from the point of view of their precision of manufacturing
and assembly.
The idea to design and fabricate mechanical functions by using the technologies of electronics
is not new. Indeed, from 1967, Bell Laboratories proposed the structure of an electromechanical
transistor with a vibrating beam resonator, which is the first known electromechanical microcom-
ponent [1]. However, an important technological effort was necessary to integrate mobile parts in
the manufacturing processes of the microelectronics.

1.1.1 Realization of Micromechanisms with Mobile Parts


To elaborate micro-mechanisms with parts in movement, the manufacturing process needs to com-
bine surface and volume machining. In 1978, K.E. Beam published the process of chemical etching
of anisotropic silicon crystal to obtain monolithic structures in three dimensions (Figure 1.1). This
concept was generalized in 1982 by Professor Kurt E. Petersen to promote silicon as the best mate-
rial in micromechanics [3].
Microsensors for accelerometry and gyrometry were then fabricated from silicon wafers by bulk
micromachining as shown in Figure 1.2, which represents a two-axis gyrometer, designed in a bulk
silicon wafer. The three corresponding signals are detected either by electrical detection through a
capacitive measurement or by an optical detection of three beam vibrations (two for measurement
and the third to prove the validity of the result). This structure is directly machined from the silicon
wafer with a deep reactive ionic etching (DRIE) process.
During the 1980s, several laboratories proposed integration of a local chemical etching of thin
layers of soft silica (sacrificial layer) to release the mechanical mobile parts of MEMS (microelec-
tromechanical systems) (suspended beams, bridge, etc.). These new processes then paved the way to
numerous electromechanical applications of microsystems in the field of sensors and microactua-
tors. The historic example (Figure 1.3) is the all-silicon microengine, manufactured in 1989, at the

FIGURE 1.1 A three-dimensional microstructure manufactured by the process of bulk chemical etching of
silicon to obtain the sensitive element of a three-axis accelerometer. Four very thin arms of silicon separately
suspend three inertial masses in silicon. (Adapted from J.C. Jeannot et al., Micro-acclromtre intgr 3 axes,
Microcapteurs et microsystmes intgrs Nano et Micro Technologies, 1(1), 3354, 2000.)
Microsystems to Nano-Microsystems 5

FIGURE 1.2 The two-axis gyrometer is a free suspended structure with two arms clamped on the silicon
frame. The sensing part consists of three double beams vibrating at the same frequency in a flexure mode in
the plane. When it is rotating around the axis, the vibration energy is transferred in an orthogonal vibrating
mode out of the sensor plane. (Adapted from J. Maisonnet, Optimisation et realisation dun micro-gyromtre
deux axes poutres vibrantes en silicium, these de Doctorat Universit de Franche-Comt, 20 novembre 2009.)

FIGURE 1.3 Rotary electrostatic engine side electrostatic excitement. An electric field in the order of 100V
runs the rotor. The fixed electrodes are periodically deposited on the stator and are electrically driven by a
sequence of periodic electric signals.

University of Berkeley, with a diameter of 150m [5]. The sequenced electrostatic strengths pull the
rotor, but the mechanical torque and the mechanical power are extremely weak, lower than the tenth
of micro-Newton-meter and the micro-Watt. Thisis the main limitation of silicon microactuators and
electrostatic microengines for applications in the mechanisms of very small sizes.

1.1.2Increase of Mechanical Couple and Power in Micromechanisms


The increase of couple and mechanical power is obtained either by increasing the electrostatic
strength (higher thickness) or by using active materials to induce an important mechanical strength
or a large deformation (piezo, giant magnetoresistance effect (GMR), etc.).
6 MEMS: Fundamental Technology and Applications

1.1.2.1 LIGA Techniques


The couple and the power, thus the electrostatic strength, are proportional on the active surface of
electrodes. We increase this surface by increasing the thickness of the drivers (the stator and the
rotor). Several techniques are available in the industry to increase the form factor (the thickness/
width ratio) of the driver electrodes. Technology using thick (>50m) electrosensitive resins [poly
(methyl methacrylate) (PMMA)], which are insulated with hard x-rays, is called technique LIGA
[Lithographie, Galvanoformung, Abformung (lithography, electroplating, and molding)] or photo-
sensitive thick resins (SU-8, for instance) illuminated by the traditional microelectronics UV light,
called poor mans LIGA technique. These techniques are generally completed by a step of electro-
lytic nickel metal deposit (and often another step of micromolding) (Figure 1.4).
Remark: The poor mans LIGA technique is completely compatible with standard technology
processes and equipments of microelectronics and, thus, much less expensive than the LIGA tech-
nique using sunstroke by x-rays from a synchrotron ring machine.
Several European and American manufacturers use this technique to applications such as plat-
forms of micro-optics, micromechanics components, and chemical microreactors for catalysis.

1.1.2.2 Scratch Drive Technique


This example shows the evolution of ideas in micromechanical systems where we turn to good
properties of friction to activate the rotor (previously, the frictions were prohibited in mechanics).
Another type of silicon micromotors with friction (called scratch drive), completely realized
with a microelectronic technology polysilicium, is shown in Figure 1.5. It combines the electrostatic
command of microfingers with their friction on the stator, which then pulls the rotor.
This technique allows for realization of distributed microactuators to applications such as vibra-
tion control, microconveyor of very small parts for microassembly lines, and adaptive micro-optics.
The most spectacular demonstrator is a nanodrone with vibrating wings [7].

1.1.3 Main Fields of Application of Microsystems


1.1.3.1 Precursor Domains (up to 2000)
The contribution of microtechnologies in low-cost consumer products was rapid in the following
three branches of industry:

Subsystems of computing peripherals such as the heads of printers (inkjet systems) and the
reading and writing heads of hard disks.

FIGURE 1.4 (a) Micromotor crown with electrostatic command realized in poor mans LIGA and several
stages of electrolytic nickel deposits. (b) High-aspect-ratio capacitive electrodes with 10-m-thick electro-
formed nickel. (Adapted from S. Basrour et al., IEEE Digest of Tech. Papers for the Int. Conf. on Solid State
Sensors and ActuatorsTransducers 97, Chicago, pp. 599602, 1997.)
Microsystems to Nano-Microsystems 7

(a) (b)

FIGURE 1.5 (a) Micromotor of type scratch drive (500m) according to P. Minotti. The rotor is driven by
the friction of 18 microfingers scratching the stator and allowing rotation around the central axis. The scratch
drive principle is illustrated in (b).

FIGURE 1.6 Sensor of shock activating for the inflating of the pillow of the airbag. The part in black is the
shock sensor (SensoNor A/S).

Wrist watches with the microsystem energy source and storage Kinetic Seiko model and
today with additional functions such as altimeter, pedometer, heart rhythm controller, ten-
siometer-integrating accelerometers, and pressure microsensors.
Automobile equipments for the automated control of engines electronic gas jet and for
safety in vehicles (airbag system and trim control of cars and buses).

In the automobile domain, microsystems such as airbag systems and pressure sensors are usually
used for injection of fuel in engines in vehicles (Figures 1.6 and 1.7) [8].

1.1.3.2Exploring Applications of Microsystems Combined with Nanotechnologies


With regard to the success of the previous applications, the other branches of industry quickly took
into account the concepts and technologies of miniaturization and systems integration replacing the
current conception of systems (scaling-up) by a multiplicative approach from elementary microsys-
tems (numbering-up).
Another approach is to have a cloud of microsystems disseminated or distributed (sensors+actu-
ators+communication circuits) to control processes or the environment.
8 MEMS: Fundamental Technology and Applications

FIGURE 1.7 Microsensor of pressure for the injection jet of fuel (SINTEF).

Three interesting industrial domains in microsystems are: telecommunications, engineering of


chemical processes, and biological and medical applications up to ambulatory systems.
In telecommunications, increasing the electromagnetic and optical frequency created new needs
because there was routing of millions of communications at a time. New microsystems are proposed
for switches or for massive route planners in radiofrequency (RF) or micro-opto-electromechanical
systems (MOEMS) (Figure 1.8).
Integrated fast electro-optic modulators up to 40 GHz have been commercially available in
LiNbO3 technology for several years. Today, a new concept of modulation combines the nano-
structure of photonic crystal with integrated technology to modulate and control frequency-band
selection.
Figure 1.9 is an example of a prototype realized on the Ti-LiNbO3 optical waveguide with a
photonic crystal grooved in niobate by the focused ion beam (FIB) process (Figure 1.9a and b) [10].
Moreover, because the optical and the high-frequency acoustic wavelengths are similar, new
optoacoustic systems could be developed for communications (and for sensing). Here is an exam-
ple of a phononic (acoustic) bandgap (BG) which selects the frequencies propagating on a surface
acoustic wave (SAW) sensor on a quartz crystal (Figure 1.10). Figure 1.11 represents the SAW

FIGURE 1.8 Wave Star TM lambda router with aluminum micromirrors on silicon. (Adapted from J.E.
Ford et al., Solid State Sensors and Actuators Workshop, pp. 1112, 1998.)
Microsystems to Nano-Microsystems 9

(a) (b)

Output light
Waveguide

Input light
1 cm
1532 nm

FIGURE 1.9 (a) Photonic crystal in LiNbO3. (b) LiNbO3 tunable photonic crystal optical modulator.

FIGURE 1.10 SAW phononic crystal.

800 MHz
20 0.6
Amplitude (nm)

0.5
Distance (m)

15
0.4
10 0.3
0.2
5
0.1
0 0
0.35
0.3
Amplitude (nm)

0.25
0.2
0.15
0.1
0.05
0
0 10 20 30 40 50 60 70 80 90
Distance (m)

FIGURE 1.11 Frequency response of SAW BG at 800MHz.


10 MEMS: Fundamental Technology and Applications

+V
Guide donde optique

Luniere

Transducer

Crystal phoXonic

FIGURE 1.12 Acousto-optic modulator based on phononic and photonic crystal (called phoXonic crys-
tal) at 800MHz. (Adapted from V. Laude, Phononics, phononic crystals, and beyond, IEEE International
Ultrasonics Symposium, Roma-Italie, 2009.)

propagation at 800MHz scanned by a laser probe and the amplitude wave profile, which shows the
BG acting as an acoustic mirror. This constitutes a phononic crystal [11].
If we combine an optical waveguide integrated in LiNbO3 with a phononic crystal (it is also the
photonic crystal!), a phoXonic crystal filter-modulator is designed (Figure 1.12). The low cost opto-
electronic systems and microrouters will increase ultrafast telecommunications from networks to
home computers. In chemical, biochemical, and environmental domains, MEMS can be a producer
of materials and/or sensors.
Two complementary concepts guide the microsystems for chemical processes: either the minia-
turized model of different functions (separation, filtering, thermal heat exchanger, reactor, etc.) acts
as a microreactor model of the real one or a combination of multiple microreactors in parallel to
obtain, by multiplication (numbering-up), the volume of synthesized material.
It is then possible, with millions of identical microreactors, each delivering 1mg of material,
to obtain simultaneously 1kg of material. It is an argument of undeniable security for dangerous
materials, which are produced in very big factories today then forwarded by ground or shipping
transportation.
The microsystems for the processes of synthesis and combinatorial analysis are very promis-
ing because the kinetics is fast and time for analysis is extremely short. The number of operations
is then considerably increased by numbering-up. This is very important in the research for new
medicines. Here is an example on protein crystallization to identify each one by a spectrometric
technique. This method combines the thermodynamic conditions in parallel microfluidic channels
in order to separate different proteins (Figure 1.13). This method is in progress to implement a high-
throughput screening machine with a recognizing spectrometric technique.
The first 10 years of the twenty-first century were devoted to new technologies for local
diagnosis. The idea is to use minimal invasive or not invasive systems through the natural ways
of the human body. Examples are flexible, intelligent, sub-millimeter-length endoscopes with
tools for diagnosis and/or adapted localized therapy, or more recently, autonomous pills. The
c-MUTS is typically one acoustic MEMS to image tumors or cracks along the natural body tubes
(Figure 1.14).
Another example is an intradermal medical injector made from an electroformed needles carpet
on silicon (or glass substrate) that enables injection of the medicine several times quickly and pain-
lessly through the derma (Figure 1.15).
The complete system was tested on pig skin, and the material diffusion is compared with one
standard needle for vaccine (Figure 1.16).
In energy sources applications, projects on microfuel cells combine the nanotechnologies and
the MEMS technologies. Here is an example of PEM (proton exchange membrane) hydrogen fuel
cells developed in France [16,17]. Figure 1.17 describes the hydrogen fuel cell where the ionomer
Microsystems to Nano-Microsystems 11

Insulin
Injection of
crystallizing
Injection of agent
macromolecule
solution
Plant virus

Turkey lysozyme

0 1 2 3 4 5
Typical counter-diffusion featuresthaumatin

FIGURE 1.13 Protein crystallizations in fluidic multimicrochannels in parallel. (Adapted from K. Dhouib
etal., Lab on a Chip 9(10), 14121421, 2009.)

Ultrasonic transducers for medical


echography (IMASONIC)
(100) Silicon

Au electrode
Corning glass

FIGURE 1.14 Principle of a cMUT exploiting the fundamental flexural mode of an Si plate actuated by a DC-bias
voltage plus an AC excitation. (Adapted from O. Arbey et al., 8th International Workshop on Micromachined
Ultrasonic Transducers, Besanon, France, May 2009.)

membrane (inorganic skeleton) is a thin membrane of porous silicon obtained by a standard MEMS
technology process with an electrochemical etching in ethanol/HF providing nanometric porosities
(Figure 1.18).
This solid ionomer skeleton is filled with NAFION that is the proton donor of the fuel cell. NAFION
is a fluoropolymer shown in Figure 1.19. Exchange of hydrogen/protons is on the surface of the poly-
mer. In order to increase the electrical current, NAFION spheres are filled with grafted chains bearing
polymers (entangles trisilane) on microporous gels so that the pores are less than 2nm. Finally, the
elementary fuel cell is plated with gold to form its electrodes (Figure 1.20). The first results obtained
are shown in Figure 1.21 for one elementary cell. On account of the collective fabrication process,
it is possible to combine several elementary fuel cells in parallel to increase the current density or
in series to increase the voltage. These first results lead to expectation of more improvements on
performance.
12 MEMS: Fundamental Technology and Applications

(a) (b) (c)

FIGURE 1.15 (a) Array of microneedles. (b) Elecroformed needles. (c) Complete system on silicon substrate.

Diffusion metoprolol
20

Needles carpet
Quantit cumule (g)

15

10

Standard needle
0
0 1 2 3 4 5 6
Temps (h)

FIGURE 1.16 Diffusion time comparison.

Load
e e

Fuel in Oxidant in

H+
O2

H2 H+

H+ H2O

Depleted fuel and Depleted oxidant and


product gases out product gases out

Anode Polymer Cathode


electrolyte

FIGURE 1.17 Principle of the PEM hydrogen fuel cell.


Microsystems to Nano-Microsystems 13

FIGURE 1.18 Porous silicon of the ionomer membrane (30nm of porosities).

SO3 SO3
SO3 SO3 SO3
SO3

SO3 SO3

40 10

SO3 SO3

SO3 50 SO3

FIGURE 1.19 NAFION structure and chemical composition.

FIGURE 1.20 Elementary fuel cell under electrical tests (4mm2 as the active surface).
14 MEMS: Fundamental Technology and Applications

0.7 70

0.6 60

Power density (mW/cm2)


50
0.5
Voltage (V)
40
0.4
30
0.3
20

0.2 10

0.1 0
0 50 100 150 200 250
Current density (mA/cm2)

FIGURE 1.21 Voltage and current density of the fuel cell.

1.2MICROSYSTEMS: A LINK BETWEEN NANOTECHNOLOGIES


ANDTHEMACROSCOPIC WORLD
This distributed microsystems in matrix was generalized for the DNA chips, with massively parallel
elements of bio-detection, first developed by the Affymetrix company [18]. In these matrices, each
element (oligonucleotides) has a lateral size close to nanometric dimension (Figure 1.22).
This first example shows the necessity of having a strategy of connections between nanostruc-
tures and the real world. All the micronano systems of the published nanosciences have the same

Gene Chip probe array Hybridized probe feature

Single standard, fluorescently


labeled DNA target

Oligonucleotide probe
24 m

Each probe feature contains


millions of copies of a specific
oligonucleotide probe

Over 200,000 different probes


complementary to genetic
1.28 cm information of interest

Image of hybridized probe array

FIGURE 1.22 Gene chip arrays from Affymetrix.


Microsystems to Nano-Microsystems 15

Millipede
Highly parallel, very dense AFM data storage system

Multiplex driver
2D cantilever array chip

y z

Storage medium
(thin organic film)

FIGURE 1.23 MEMS memory Millipede scanner principle by IBM.

FIGURE 1.24 2D-Cantilevers chip array command by two multiplex systems: (a) chip array and (b) cantilever
details (IBM company).

approach to bind the atomic or molecular part (nanometrics) with the final application by one or
several microsystems generally distributed in matrix to make either actions or detection in parallel.
A significant example is the concept of MEMS memory Millipede by IBM, which corresponds
to a microadvanced matrix for thermoelectric reading/writing with a high density. The apex of each
tip has a radius on the scale of a few nanometers allowing data to be written at extremely high den-
sities (much greater than 1Tb/in). In addition to the cantilevers, the array chip also carries eight
thermal sensors that are used to provide x/y positioning information for closed-loop operation of the
microscanner (Figures 1.23 and 1.24) [19]. This is one of the first and complete examples of system
on chip (SOC).

1.3BOTTOM-UP NANOTECHNOLOGIES: FUTURE OF


NANOELECTROMECHANICAL SYSTEMS
In 1959, Richard Feynman had suggested that each atom of a crystal surface could by itself store
and process information. The invention of new microscopes called near-field microscopes [surface
tunneling microscope (STM) or atomic force microscope (AFM)] in the early 1980s enabled verifi-
cation of the Feynman prediction.
16 MEMS: Fundamental Technology and Applications

FIGURE 1.25 Atomic structures made with an STM manipulating individual atoms. (a) Thirty-five
xenon atoms on nickel. (Adapted from D.M. Eigler, E.K. Schweizer, IBM Research Division, Almaden
Research Center, CA, USA.) (b) Forty-eight iron atoms on (111) Cu. (Adapted from After Nanosciences
Nanotechnologies Report, Acadmie des Sciences and Acadmie des Technologiesrst n 18, Ed. Tec & Doc
Lavoisier, 18 April 2004.)

Silicon adatom with non-


depopulated dangling bond Atomic resolution
of SiB

2,4,6-Tri(2-thienyl)-1,3,5-triazine

FIGURE 1.26 Experimental results of 2,4,6-tri(2-thienyl)-1,3,5-triazine on SiB.

Several research teams of IBM manipulated atoms one by one to build a new structure like an
atomic sculpture (Figure 1.25). The size of the individual component is close to ultimate limits, but
the sculpture process by STM manipulation is slow and expensive, thus only applicable to individual
specific components [20,21]. To reach a bottom-up atomic process, manufacturing must be made in
large scale by chemical reactions, which is called molecular assembly on a structured surface. This
is extensively studied in a large number of universities and company laboratories at present. There
are examples from FEMTO-ST Laboratory (France) [22] first to explore electric switch properties
(Figure 1.26a) and second to try to move a molecule along an atomic step (Figure 1.26b). These
nanostructure experiments need a theoretical evaluation of the compatibility between molecules
and structured surfaces. At the nano level, the simulation is done with an ab initio s oftware (Figures
1.27 and 1.28).

1.4 CONCLUSION AND PERSPECTIVES


The different examples show the variety of micro- and nanotechnologies which, organized between
them, pose new scientific questions to resolve and open the way to innovative applications.
Microsystems to Nano-Microsystems 17

Si atom
B atom

FIGURE 1.27 Ab initio simulation of 2,4,6-tri(2-thienyl)-1,3,5-triazine on a SiB-structured surface (above: top


view; below: in-plane view).

Therefore, in the microsystems, even if the models remain, based on the laws of classical physics
and mechanics, the extreme miniaturization leads a new approach of modeling. On the one hand,
the properties of surfaces are more dominating as the volume of the system is small and, on the
other hand, very weak sizes impose a very high coupling of the physical phenomena between them.
Hence, to model microsystems, it is not possible anymore to optimize the various effects by simple
models of linear superimposition, but it is necessary to resolve simultaneously all the generally not
linear coupled models: electrical, mechanical, thermal, fluidic, and so on. This is called multiphys-
ics and multiscaling modeling.

30 30 nm2
33 33

B
A
3 3

30
30

FIGURE 1.28 This assembly was achieved by using a Si(111)-B reconstruction surface and 1,3,5-tri(4-
bromophenyl) molecules benzene (TBB) as a molecular building block. Control of a nano-gear mechanism is
tentative. The addition of C60 fullerene to act on conductivity is shown here.
18 MEMS: Fundamental Technology and Applications

Moreover, the future nanoelectromechanical systems (NEMS) or complex nanosystems need


a previous step of modeling at the atomic level with an ab initio and equivalent model before the
multiphysics approach. The microsystems are, on the one hand, complex small components and
systems with specific applications (actuators, sensors, robots, scanner, etc.) and, on the other hand,
they build a real bridge between nanotechnologies and the macroworld.

ACKNOWLEDGMENTS
I thank all the scientists for helping me to illustrate my purpose, especially the researchers in my
laboratory at FEMTO-ST Institute of Besanon, France.

REFERENCES
1. H.C. Nathanson, W.E. Newell, R.A. Wickstrom, J.R. Davis Jr., The resonant gate transistor, IEEE Trans.
Electron Dev., ED-14, 117133, 1967.
2. J.C. Jeannot, G. Schropfer, J. Goy, B. Courtois, M. De Labachelerie, Micro-acclromtre intgr 3 axes,
Hermes Sciences Publ., Microcapteurs et microsystmes intgrs, Nano et Micro Technologies, 1(1),
3354, 2000.
3. K.E. Petersen, Silicon as a mechanical material, Proc. IEEE, 70, 420, 1982.
4. J. Maisonnet, Optimisation et realisation dun micro-gyromtre deux axes poutres vibrantes en silicium,
these de Doctorat Universit de Franche-Comt, 20 novembre 2009.
5. Y.C. Tai, L.S. Fan, R.S. Muller, IC-processed micro-motors: Design technology and testing, in Proc.
of the IEEE Micro-Electro-Mechanical Systems Workshop, pp. 16, Salt Lake City, Utah, February
1989.
6. S. Basrour, S. Ballandras, L. Robert, D. Hauden, Mechanical characterization of micro-grippers realized
by LIGA technique, in IEEE Digest of Technical Papers for the International Conference on Solid State
Sensors and ActuatorsTransducers 97, Chicago, pp. 599602, 1997.
7. P. Minotti, Les enjeux scientifiques et industriels de la micromcanique sur silicium, Actes du XVeme
Congrs Franais de Mcanique, Nancy, 37 septembre 2001.
8. B. Wenks, B. Wagner, G.U. Jansen, E. Orsier, Customer support and design service for physical measure-
ment systems, MST News, 2, 1998, pp. 3031, April 2000.
9. J.E. Ford, C.C. Chaung, J.A. Walker, V. Aksyuk, D.J. Bishop, C. Doeir, Data transmission through a
16-channel micro-opto-mechanical wavelength add/drop switch, Solid State Sensors and Actuators
Workshop, pp. 1112, 1998.
10. N. Courjal, M.-P. Bernal, G. Ulliac, S. Benchabane, LiNbO3 acousto-optical and electro-optical micro-
modulators,EOSAM 2008EOS Annual Meeting, Paris-France, 2008.
11. S. Benchabane, G. Ulliac, O. Gaiffe, R. Salut, Y. Achaoui, V. Laude, Hypersonic phononic crystal for
surface acoustic waves, IEEE International Ultrasonics Symposium Proceedings, San Diego, USA, pp.
158161, 2010.
12. V. Laude, Phononics, phononic crystals, and beyond, IEEE International Ultrasonics Symposium, Roma-
Italie, 2009.
13. K. Dhouib, C. Khan Malek, W. Pfleging, B. Gauthier-Manuel, R. Duffait, G. Thuillier, R. Ferrigno etal.,
Micro fluidic chips for the crystallization of biomacromolecules by counter-diffusion and on-chip crystal
X-ray analysis, Lab on a Chip 9(10), 14121421, 2009.
14. O. Arbey, M. Berthillier, J. Lardies, S. Ballandras. Simple model for the electrostatic force on a
CMUT membrane with large displacements,8th International Workshop on Micromachined Ultrasonic
Transducers, Besanon-France, May 2009.
15. A. Hol, N. Baron, G. Cabodevila, M.-C. Jullien, A microfluidic distribution system for an array of hol-
low microneedles, J. Micromech. Microeng. 18, 65019-9, 2008.
16. T. Pichonat, B. Gauthier-Manuel, D. Hauden, New proton-conducting porous silicon membrane for small
fuel cells, Chem. Eng. J. 101(13), 107111, 2004.
17. T. Pichonat, B. Gauthier-Manuel, Realization of thick mesoporous silicon membranes: Application to
miniature fuel cells, J. Membrane Sci. 280, 494500, 2006.
18. J. Chakma, Genomic variation: The search for our past and our future, J. Young Investigators, 23(3),
March 2012.
Microsystems to Nano-Microsystems 19

19. M. Despart and P. Vettiger, Micro/nanosystem technology for probe-based data storage, MST News,
3/03, 1012, June 2003.
20. D.M. Eigler, E.K. Schweizer, IBM Research Division, Nature 344, 524526, April 1990.
21. After NanosciencesNanotechnologies Report, Acadmie des Sciences and Acadmie des Technologies
rst n 18, Ed. Tec & Doc Lavoisier,18 April 2004.
22. Y. Makoudi, F. Palmino, E. Duverger, M. Arab, F. Cherioux, C. Ramseyer, J.-L. Tschan, B. Therrien,
G.Sss-Fink, Nondestructive room-temperature adsorption of 2,4,6-tri(2-thienyl)-1,3,5-triazine on a SiB
interface: High-resolution STM imaging and molecular modeling, Phys. Rev. Lett. 100, 764054, 2008.
2 HfO2-Based High- Dielectrics
for Use in MEMS Applications
Bing Miao, Rajat Mahapatra, Nick Wright, and Alton Horsfall

CONTENTS
2.1 Introduction............................................................................................................................. 21
2.2 Fabrication of HfO2 Thin Films.............................................................................................. 22
2.2.1 Different Deposition Techniques................................................................................. 22
2.2.2 Deposition and Thermally Grown Layers................................................................... 23
2.3 Interface Contamination..........................................................................................................24
2.3.1 Carbon Contamination................................................................................................24
2.3.2 Changes in Electrical Parameters................................................................................25
2.3.3 Analysis of Defect Density Using the Electrode Polarization Model......................... 27
2.4 Radiation Testing..................................................................................................................... 29
2.4.1 Defects in Pre-Irradiated HfO2-Based Devices........................................................... 29
2.4.1.1 CV Characteristics...................................................................................... 29
2.4.1.2 IV Characteristics....................................................................................... 31
2.4.2 Radiation-Induced Changes in Electrical Parameters................................................. 31
2.4.2.1 Good Devices................................................................................................ 32
2.4.2.2 Failed Devices............................................................................................... 33
2.4.3 Annealing Studies....................................................................................................... 35
2.5 Summary and Future Trends................................................................................................... 37
Acknowledgments............................................................................................................................. 37
References......................................................................................................................................... 38

2.1INTRODUCTION
The invention of the integrated circuit, continuous reduction in the charge required for logic
operations, and storage have resulted in a steady increase in the density of logic gates and memory
cells on a single chip. The scaling trend of an integrated circuit, as described by G. E. Moore in 1975,
is that on-chip logic density has doubled about every 24 months for decades [1]. The semiconductor
industry accepted this trend as a roadmap and pursuit a decrease in the total area of chips in a system
for a given amount of system functionality continuously. However, passive devices (inductors, resis-
tors, and capacitors) for these applications have not shrunk in size as rapidly as active devices because
of their impedance levels and signal path properties, and an increasing number of passive devices are
required in modern wireless applications where the larger fraction of analog signals were involved
[2]. Therefore, thin-film integrated passive devices are an alternative to discrete passive devices in an
effort to save board space and to improve electrical performance and system reliability.
Three types of capacitors are developed in order to meet the requirements for reduced board-level
components: metaloxidesemiconductor (MOS) capacitors, polyinsulatorpoly (PIP) capacitors,
and metalinsulatormetal (MIM) capacitors. The MIM structures physically distance the devices
from the relatively low-resistive substrate and the planar back-end-of-line (BEOL) topology in order
to build high reliability [3]. These capacitors benefitted from high Q at high frequency, excellent

21
22 MEMS: Fundamental Technology and Applications

matching, and the fabrication process with low thermal budget, which is important for deep sub-
micron transistors. Thick metal plates offer lower series resistance and much lower parasitic capaci-
tance resulting in much better voltage linearity. These features suggest the suitability of integrating
high-density MIM capacitors in sub-micron complementary metal oxide semiconductor (CMOS)
devices for both mixed-signal and RF process technologies.
Increasing the density of the capacitors while maintaining a minimal thickness to preserve
low leakage currents, high breakdown voltage, and precision analog performance requires the
use of dielectrics with high dielectric constant (high ). The need for high- gate dielectrics has
been emphasized in numerous scientific reports. As the dielectric constant increases, the bandgap
decreases, and high- dielectric materials face challenges of leakage current and reliability issues.
Thus, it is imperative to understand the electronic structure of defects such as C, H, and Si impu-
rities, which can be incorporated into high- dielectric materials in the growth process. Various
C-, H-, and Si-related defects exist in high- dielectrics, which will cause charge trapping and de-
trapping, according to gate voltages, which induce the threshold voltage instability in MOS devices.
However, effects of the impurities on MIM device performance are not well understood.
Furthermore, a typical hostile environment includes radiation environment where intense radia-
tion doses could easily damage the electronic circuitries [4]. Various types of radiation, includ-
ing electrons, protons, neutrons, and heavy ions in radiation environments, cause transient and
permanent changes in the devices used in complex integrated circuits. The failure of a particular
IC is owing to the basic material, device parameter changes, and the circuit environment in which
the device is located. The effects of radiation in some advanced technologies are poorly under-
stood, and extensive researches are needed to ensure the reliability of the advanced technologies in
radiation environment. In addition, highly scaled devices may be sensitive to the naturally occur-
ring radiation on the earths surface, even though the atmosphere provides significant protection.
The studies of the radiation tolerance combined with heavy-ion-induced breakdown of thin SiO2
and high- dielectrics were observed to be more resistant to radiation-induced degradation. Thus,
high- dielectrics could be more suitable to introduce into future technologies for space applica-
tions. Moreover, before these materials can be used for space applications, it is important to under-
stand their radiation response.
In this chapter, we investigate the influence of carbon contamination on MIM capacitor perfor-
mance. Besides, we have begun to develop an understanding of the physical nature of HfO2-based
MIM device radiation damage.

2.2 FABRICATION OF HfO2 THIN FILMS


2.2.1Different Deposition Techniques
An ever-growing interest has been emerging concerning the application of high- dielectric mate-
rials in the gate stack of the aggressively scaled semiconductor devices including transistors and
capacitors. The introduction of these new materials is expected to improve capacitance density,
reduce the leakage of future devices, and reduce corresponding power dissipation. The character-
istics of high- films depend on the deposition technologies due to the contamination, impurity
density, and interface issues.
As HfO2 is the primary choice of semiconductor industry, various methods are used to deposit
HfO2: sputtering [5,6], e-beam evaporation [7], atomic layer deposition (ALD) [8,9], and metal
organic chemical vapor deposition (MOCVD) [10] have been reported for depositing HfO2 on sili-
con. Each deposition method has its own strengths and weaknesses in a manner of achieving good
electronic properties in layers deposited and excellence in layer uniformity.
The surface damage inherent in a sputtering PVD process and device morphology inherent to
the scaling process generally rules out PVD deposition approaches. Within all the manufacturing
options, CVD-based methods, ALD and MOCVD, draw the highest industrial interest for deposition
HfO2-Based High- Dielectrics for Use in MEMS Applications 23

of high- dielectrics. ALD approaches appear to be promising, because of their precision in layer
growth and high layer uniformity in large deposition areas such as 300-mm wafer technology.
However, the generation of polycrystalline dielectrics in the manufacturing environment may cause
high leakage currents and possible diffusion path for dopants along their grain boundaries. Another
major disadvantage of ALD arises from the long processing time, which makes it an expensive tool
to operate. Besides, the demand for complex precursors decreases its flexibility of using it in material
research. MOCVD has good film conformality and control on deposition rates. However, the choice
of precursor, deposition temperature, and incorporation of carbon impurities are its major concerns.
On the other hand, Tan etal. [11] reported that HfO2 prepared via thermal oxidation of the Hf
metal film has a denser HfO2 top layer and a thinner interface of Hf-rich silicates compared to the
HfO2 prepared via reactive sputtering. Although oxidation is not an industrial option for deposition
of high- gate dielectrics because of growth kinetics/high-temperature deposition, it is a powerful
tool for understanding the properties of high- oxides.

2.2.2Deposition and Thermally Grown Layers


In this chapter, MIM capacitors with HfO2 high- dielectric films were fabricated on around the
150-nm SiO2 layer grown on the silicon substrate. A 6570-nm Pt layer with a 5-nm Ti flash layer
was deposited on SiO2 as the bottom electrode by means of E-beam evaporation. Subsequently,
HfO2 films were grown by evaporating metallic Hf (3035nm in thickness) in an electron-beam
deposition system at a base pressure of 1106mbar, followed by thermal oxidation in dry O2 ambi-
ent at 500C. Then, 100-nm Al or 5-nm Cr/100-nm Au was deposited and patterned for top elec-
trodes using contact photolithography followed by reactive ion etching. The areas of the capacitors
are defined to be 1.02103, 6.15104, and 3.14104cm2. The typical structure of the fabricated
MIM capacitors in this chapter is shown in Figure 2.1.
The capacitance density at 0MV/cm, ranging from 5.21 to 5.48fF/m2, is obtained from the
fabricated capacitor measuring from 10kHz to 1MHz. The capacitance density obtained is around
five times higher than current SiO2- or Si3N4-based MIM capacitors of 30-nm thickness [12]. The
frequency-dependent shifts in dielectric constant is measured using capacitancefrequency mea-
surement, and the result shows that the dielectric constant decreases slightly from 20.6 to 20 in the
frequency range 10kHz to 1MHz. This dispersion behavior is believed to relate to bulk HfO2 traps.
These traps are located within a tunneling distance of the oxidemetal interface such that they are
capable of modulating the total capacitance with different time constants [13]. The effect is similar
to that observed in MOS capacitors which may be modeled by a bulk capacitance term in parallel
with an interface capacitance term.
The sensitivity of capacitors to temperature is another important parameter in enabling precision
analog design. The result from the measurement of 1-MHz capacitance as a function of electric field

Gate electrode

3035 nm hafnium dioxide

70 nm platinum bottom electrode


5 nm titanium flash layer

100300 nm silicone dioxide

FIGURE 2.1 Schematic cross-section of the MIM capacitors used in this study.
24 MEMS: Fundamental Technology and Applications

at different temperatures indicates a temperature coefficient of capacitance (TCC) of 244ppm/C


at 1.0MHz measured up to 200C.
Temperature-dependent leakage current is observed for HfO2 films, for the range of temperature
from room temperature to 350C. It is also observed that, compared to positive gate bias, a slightly
higher leakage current is observed under negative gate bias. This is believed to be due to the smaller
bandgap and barrier height of HfO2/Al in comparison to Pt/HfO2. It can be noted that, at low bias
(<0.5MV/cm) and temperature (room temperature to 150C), the leakage current density of HfO2
capacitor is lower than 10nA/cm2.
In summary, the MIM capacitors with thermally grown HfO2 shows a high capacitance density
of 5.21fF/m2 at 1MHz, which can meet the requirement of the International Technology Roadmap
for Semiconductors (ITRS), and leakage current of 1107A/cm2 at low bias (<0.5MV/cm) and
temperature (room temperature to 150C). It is comparable with the MIM capacitors using HfO2
fabricated by other deposition technologies [14].

2.3 INTERFACE CONTAMINATION


2.3.1Carbon Contamination
High- dielectric materials are being actively perused as a replacement for SiO2 as the insulating
layer for the capacitor technology. However, numerous issues, such as thermal stability, high densi-
ties of oxide charge and traps, and voltage linearity, must be resolved before any of them can be
implemented. To overcome these problems, it is imperative to understand the performance degra-
dation caused by defects such as C, H, and Si impurities, which can be incorporated into high-
dielectric materials in the growth process.
The high- oxides are not materials with a low intrinsic defect concentration, because their bond-
ing cannot relax as easily as SiO2. The oxygen vacancy concentration in HfO2 is much higher than in
SiO2. Recent studies show that the higher concentration of oxygen vacancies leads to the formation
of electron leakage paths, via hopping between the oxygen vacancies [15]. In accordance with the
study on MOS capacitors, carbon can form complexes with oxygen vacancies to form C /O-complex
single donors, which are much shallower than the native double oxygen vacancy donors [15]. These
donors can cause a severe performance degradation of the capacitor such as flat-band shift, low
capacitance, and high leakage current. The influence of carbon contamination on MIM capacitor
performance is investigated in this section.
Two experimental groups of MIM capacitors with thermally grown HfO2 are prepared to com-
pare their electrical characteristics. The deposition of hafnium is performed with different sweep-
ing area of the electron beam in the electron beam deposition system. Using larger sweeping area,
the edge between the hafnium source and the graphite crucible may be heated and melted, and
hence this batch of hafnium will have higher carbon concentration. The carbon concentrations in
the dielectric films were qualified using Auger electron spectroscopy (AES) analysis. The compo-
sitional depth profile obtained from SiO2/Ti/Pt/HfO2 (~30nm)/Al (S-1) structure and SiO2/Ti/Pt/
HfO2 (~35nm)/Cr/Au (S-2) structure was evaluated from the top electrodes to the silicon substrate
using Ar ion etching. The scanning electron microscopy (SEM) measurements were performed on
the top of the HfO2 thin film and the Pt bottom electrode to evaluate roughness of HfO2 and SiO2/
Ti/Pt after oxidation.
A typical Auger depth profile for the sample S-2 is shown in Figure 2.2. Carbon peaks can be
observed at the top and bottom interfaces between the metal and HfO2. The carbon contamination
in these two peaks are calculated to be 9.1% and 3.6%, respectively. Similarly, the carbon contents
of 0.3% and 1.3% are observed at the top and bottom interfaces of the capacitor S-1, respectively.
These impurities are most likely to be incorporated from the crucible during the deposition process.
It has also been observed that a low level of oxygen diffuses through into the Pt bottom layer and
into the Ti buffer layer. According to other studies, the Si/SiO2/Ti/Pt system undergoes chemical and
HfO2-Based High- Dielectrics for Use in MEMS Applications 25

100.0
90.0 Au Cr Pt Si
80.0

Atomic percent
70.0
60.0 O
O
50.0
40.0
30.0 Si
Hf
20.0
C Ti
10.0
0.0
0 500 1000 1500 2000 2500
Depth ()

FIGURE 2.2 AES profile of SiO2/Ti/Pt/HfO2 (~35nm)/Al (S-2) MIM capacitor structure.

microstructural changes; after oxidation in O2 in the temperature range 500800C, the top surface
of the Pt film was found to be totally encapsulated by an amorphous titanium oxide film, and so
the surface morphology of the Pt became rough, the appearance of Pt hillocks is observed in SEM
morphology. By comparing the profiles of AES and SEM for the two capacitors, the carbon con-
tamination at the metaloxide interfaces is of great interest, since the performance of MIM capacitor
significantly depends on the nature of the electrode and metaloxide interface properties.

2.3.2Changes in Electrical Parameters


The capacitancevoltage measurements were conducted on the MIM capacitors with HfO2 dielec-
tric at 1MHz and room temperature. The zero-biased capacitance density (Cdensity) of 5.21 and
4.05fF/m2 yields dielectric constants of =17 and 16 for samples S-1 and S-2, respectively. It is
observed that the voltages at which the lowest value of capacitance is obtained are 0.5 and 1.0V
for S-1 and S-2, respectively. These voltage differences are due to oxide fixed charges similar to
those in thermally grown SiO2, which cause the flatband shift in the high-frequency CV curve of an
MOS capacitor. The higher voltage shift is observed in sample S-2 which is due to the higher carbon
contamination in the HfO2 film as observed by AES.
From Figure 2.3, the temperature dependence of the capacitancevoltage characteristics pro-
vides further evidence. The relative variation of capacitance as a function of electric field for the
capacitors S-1 and S-2 at different temperatures is given by [15]

C C (V ) Co (2.1)
= = aV 2 + bV
Co Co

30
S-1 at 50C
25 S-1 at 100C
C/Co (1000*ppm)

S-1 at 200C
20 S-1 at 300C
S-2 at 26C
15
S-2 at 100C
10 S-2 at 200C
S-2 at 300C
5

0
0 200 400 600 800
DC electric field (kV/cm)

FIGURE 2.3 C/Co as a function of the DC electric field at 1MHz and different temperatures.
26 MEMS: Fundamental Technology and Applications

where Co is the zero-bias capacitance at 1MHz, C(V) the relative variations of capacitance to the
zero-bias capacitance, and and the quadratic and linear voltage coefficients, respectively.
It can be noted that the MIM capacitor S-2 with higher carbon contamination shows the stron-
gest voltage dispersion. The values of quadratic and linear capacitance voltage linearity (VCC)
are 236ppm/V2 and 1700ppm/V for S-1 and 662ppm/V2 and 1880ppm/V for S-2 at room tem-
perature, respectively, and the voltage dispersion becomes more obvious with increasing tempera-
ture. The relationship between the voltage nonlinearity and oxide thicknesses, tox2 , is constant for
capacitors fabricated using the same top and bottom electrodes [15]. But, the effect of the elec-
trodes cannot be ignored for capacitors with different metal electrodes [16]. In general, the voltage
nonlinearity improves as the work function of metal increases. It is known that Al=4.1eV [13],
Cr=4.5eV [17], Au=5.1eV [13], Pt=5.4eV [17]; therefore, the relationship of voltage nonlinear-
ity between these capacitors S-1 and S-2 should be (S-2)<(S-1). However, our experimental results
are not consistent with this trend. Therefore, this difference in voltage nonlinearity comes mainly
from the interface properties. The stronger voltage dependence for the capacitor S-2 with Cr and Pt
electrodes indicates a higher interface trap density, which produces a distortion in the shape of the
CV curve. These interface traps are due to structural defects such as the presence of carbon impuri-
ties as observed in the AES spectra.
The frequency-dependent capacitance for the capacitors S-1 and S-2 are shown in Figure 2.4.
The stronger frequency dependence is observed in capacitor S-2 with higher carbon contamina-
tion. This frequency dispersion is believed to relate to the existence of bulk-dielectric traps near
the metaloxide interface. Different traps will induce charges with different time constants and
strongly modulate capacitor charge at certain frequencies [12]. As the frequency decreases, the
induced charges will easily follow the AC signal, and therefore a higher capacitance is observed.
Areduction in capacitance of 2.5% is obtained between the frequency range of 10kHz and 1MHz
for the capacitor S-1, while the capacitor S-2 shows a reduction of 17.8%. This frequency-dependent
behavior is dominated by the properties of the interface and is consistent with the previous volt-
age-dependence analysis. The higher carbon contamination present at the metaloxide interface is
believed to be the main cause of the higher interface trap density, which leads to the poorer perfor-
mance of the capacitor S-2.
The TCC at 1MHz are 232 and 409ppm/C for S-1 and S-2, respectively. Compared to the TCC
of 232ppm/C at 1MHz in the MIM capacitor with low carbon contamination, the HfO2 MIM
capacitor with carbon contamination shows comparable TCC. Studies on the conduction mecha-
nisms in the capacitors have been performed by measuring the currentvoltage (JV) characteristics
at room temperature. The capacitor S-2 with carbon contamination gives a current two orders of
magnitude higher than S-1 for the range of electrical fields studied. Therefore, the interface proper-
ties and bulk traps degraded by carbon contamination are responsible for this difference in conduc-
tion behavior.

250
S-1 at 0.5 V and RT
C(f)/C(1M) (ppm*1000)

200 S-2 at 0.5 V and RT

150

100

50

0
10 100 1000
Frequency (kHz)

FIGURE 2.4 C/Co as a function of frequency at 0.5V and room temperature.


HfO2-Based High- Dielectrics for Use in MEMS Applications 27

2.3.3Analysis of Defect Density Using the Electrode Polarization Model


To quantify the effects of carbon contamination, the interface barrier heights are extracted using the
recently proposed model based on the electrode polarization mechanism, which is successfully used
to fit the experimental results of other MIM capacitors using HfO2 thin films [17]. Electrode polar-
ization is a mechanism in which mobile carriers form an accumulation layer at electrodes leading to
a voltage-dependent double-layer capacitance. The mobile carriers involved in the formation of the
double layer were appealed to be free electrons that are injected at electrodes or to be oxygen vacan-
cies that are inherently created during oxide growth [19]. As oxygen vacancies are the energetically
favored intrinsic defects in HfO2 [20] and carbon contamination can complex with these oxygen
vacancies to form C/O complex [20], this model which is based on the fact that oxygen vacancies
are at the origin of a double-layer capacitance is suitable for our experimental results. This model
is expressed as [17]

A
C = Cm 1 + 2n 2n
(2.2)
1+w t

where Cm is the capacitance in the absence of electrode polarization (=orA/tox), A the elec-
trode area, n an exponent introduced to describe the Jonscher response, and tox the film thickness.
Parameters A and are given by

2 tox 1 tox
A = , t = to (2.3)
( 2 + r)2 L D 2 + r LD

where is the blocking parameter which accounts for electrode transparency and L D is the Debye
length, expressed as

ekBT
LD = (2.4)
Nq 2

where N is the density of mobile charge.


For ohmic contacts, which are strongly injecting contacts in AC, approaches infinity, A0,
and hence CCm, which indicates that there is no space charge formation at the metaloxide
interface. On the contrary, for the blocking contacts, there is no charge transfer at the electrodes
for AC and so is small, A reaches a saturation value of tox/LD, which depends on both the oxide
thickness and Debye length, and the effect of space charge is important. By approximating CCm
[18], the relative variation of capacitance is given by

1 2 n
C 2 L 1 1 nqEs
= 2n s 2 n exp 1 (2.5)
Co e LD ( r + 2) 2 (1 n) w 2 n o kBT

According to Equations 2.2 and 2.5, the C(f)/Co decreases as frequency increases (2n,
0<n<0.5). The capacitance at constant voltage is plotted as a function of frequency (ln C(f)/
Co~lnf). The slope of the curve gives the value of 2n, which is 0.35 and 0.6 for samples S-1 and
S-2, respectively. An exponential fit to C/Co gives the exponential factor nqEs/kBT. The hopping
distance, s, is calculated using the value of n. The obtained hopping distance shown in Table 2.1 is
much higher than the interatomic distance, which suggests that the mobile charges calculated from
this model are electronic in nature [18]. The density of defects may be estimated from N1/s3 and
28 MEMS: Fundamental Technology and Applications

TABLE 2.1
Comparison of Parameters Describing the Voltage Dependence of MIM Capacitors S-1
andS-2
Parameters 56-nm HfO2 [13] S-2
Deposition Method ALD S-1 Thermally Grown
tox (nm) 56 30 35
Cdensity (fF/m2) 5.21 4.05
VCC (ppm/V2) 236 662
2n 0.15 0.35 0.62
s () 52 58 28
N (cm3) 7.01018 7.71018 4.61019
(S cm1) 3.71015 9.01011 1.1109
o (S cm1) 4.41014 8.81011 1.1109
k 18.5 17 22.2
LD (nm) 1.9 1.8 0.8
w (kHz) 10 10 10
42.2 6 31
i (AC) (eV) 0.9 0.95 0.58
Jdensity (A cm2) 1.3107 1.1103
B (DC) (eV) 1.1 0.26

the Debye length is calculated using Equation 2.4. DC conductivity experiments (JE characteristics)
give at a given field and we set the electric field value of 1MV/cm to estimate the conductivity in
our samples. In this way, the blocking parameter is the only adjustable parameter in Equation 2.5.
Given the parameters in Equation 2.5, the relative variations of capacitance for both capacitors with
HfO2 thin films have been calculated as shown by solid lines in Figure 2.5. It is observed that the
electrode polarization model fits the experimental data for both capacitors well at room temperature.
Beaumont and Jacobs [21] expressed as

L fi
r = av exp (2.6)

D kBT
where a is the jumping distance from bulk to the interface, v the jumping frequency from bulk to
the interface, i the interface energy barrier height, and D the bulk diffusion coefficient expressed

20
18
16
14 S-1
12
C/Co

10
8 S-2
6
4
2
0
0 0.2 0.4 0.6 0.8 1 1.2
Electric field (MV/cm)

FIGURE 2.5 Relative variation of capacitance as a function of the electric field and their calculated values
using the electrode polarization model.
HfO2-Based High- Dielectrics for Use in MEMS Applications 29

as D=kBT/Nq. The same value of v1012Hz for HfO2 as used in a previous study [9] has been
included in our calculation.
The energy barriers at the metaloxide interface are obtained for both capacitors, as shown in
Table 2.1, which are 0.95eV for the capacitor S-1 and 0.58eV for the capacitor S-2. The lower bar-
rier heights for the MIM capacitor (S-2) may be due to higher carbon contamination at the interface
as observed by AES. The defect density extracted for sample S-2 is found to be one order of magni-
tude larger than S-1, which suggests that contamination-induced defects are the main reason for the
observed reduction in barrier height, decrease in voltage nonlinearity observed with both frequency
and temperature for the MIM capacitor.

2.4 RADIATION TESTING


In the space environment, the electronics are exposed to a high flux of highly energetic protons,
neutrons, and heavy ions. The radiation may cause long-term degradation in electronics [22]. To
date, few radiation tests have been carried out on microelectromechanical systems (MEMS) devices
and the components required to produce MEMS-based circuits, such as MIM capacitors, which find
application as passive components in RF MEMS switches. The capacitance stability (the voltage
nonlinearity of the capacitance) is the key issue to evaluate the reliability of the device. Despite
the large amount of ongoing research into alternative dielectrics, very little work has been done
to understand the radiation responses of these materials. In this section, MIM structures were
employed and radiation-introduced defects in HfO2 dielectric layer have been investigated. The
effects on the performance and reliability of these devices are also discussed.

2.4.1Defects in Pre-Irradiated HfO2-Based Devices


The MIM capacitors with HfO2 high- dielectric films and 100-nm Au/5-nm Cr top electrodes
were fabricated on 300-nm SiO2 layer thermally grown on a silicon substrate by the same process
described in Section 2.2. The areas of the capacitors were defined to be 6.15104, 3.14104, and
7.85105cm2, respectively.

2.4.1.1 CV Characteristics
The capacitancevoltage measurement of MIM capacitors before irradiation was conducted at room
temperature. The capacitance was measured with the DC bias swept from 3 to 3V with an AC
signal of 10kHz, 100kHz, and 1MHz, respectively. These characteristics follow the well-known
parabolic voltage behavior of MIM capacitor structures. Since the dielectrics involved in MIM
capacitors comprise polar molecules, this leads to a dielectric permittivity which depends on the
electric field (E). The variation of capacitance with bias voltage may be described by Equation 2.1.
The zero-biased capacitance density, Cdensity, of 4.05fF/m2 yields dielectric constants of =16
at 1MHz for these capacitors. The extracted voltage nonlinearities give two different values for
the forward and reverse CV curves (1, forward voltage linearity; 2, reverse voltage linearity).
Reports in the literature state that the voltage nonlinearity is dependent on the work function of
metal contacts and improves as the work function of metal increases. From Figure 2.6, the -values
are 822 and 1503ppm/V2 at 1MHz for the Pt bottom contact and Au/Cr top gate, respectively.
Avoltage linearity at 1MHz of 1000ppm/V2 meets the ITRS requirement for a bypass capacitor
in RF applications.
From Figure 2.6, it can also be seen that the voltage linearity of capacitance increases with
decreasing frequency. This dispersive behavior of the MIM capacitor with HfO2 dielectric is
believed to relate to the existence of bulk-dielectric traps near the dielectric/metal interface [14].
Different traps will capture and generate carriers with different time constants and hence strongly
modulate the capacitance at certain frequencies. When the frequency is decreased, a greater number
of traps will be able to follow the ac signal, and therefore a higher VCC is observed.
30 MEMS: Fundamental Technology and Applications

60

Normalized capacitance
50

40

(1000*ppm)
30

20

10

0
1 0.8 0.6 0.4 0.2 0 0.2 0.4 0.6 0.8 1
Electric field (MV/cm)

1 MHz: 1 = 822 ppm/V2, 2 = 1503 ppm/V2


100 MHz: 1 = 1123 ppm/V2, 2 = 2873 ppm/V2
10 MHz: 1 = 1345 ppm/V2, 2 = 4221 ppm/V2

FIGURE 2.6 DC bias dependence of normalized capacitance (C/Co) at 1MHz, 100MHz, and 10MHz for
the MIM capacitor without radiation.

The tendency of dielectric constant with increasing frequency is studied. The result shows that
the dielectric constant decreases monotonically from 19.4 to 16 as the frequency increases from
10kHz to 1MHz. The dependence of capacitance on both voltage and frequency indicates the exis-
tence of interface states and bulk defects. These traps, which are located near the dielectricmetal
interface, reduce the interface barrier height between the electrode and the dielectric film and result
in higher voltage and frequency dispersion.
To explain these voltage-dependent capacitance variations, the CV characteristics of HfO2
capacitors are modeled with the electrode polarization model. This model offers a high-quality
fit to our experimental results of HfO2 MIM capacitors as mentioned in Section 2.3. It consid-
ers the mobile charge carriers which create the electrode polarization and relate these to oxygen
vacancy-type defects in the dielectric, as oxygen vacancies are the dominant intrinsic defect in the
bulk of many transition metal oxides [23]. According to Equations 2.2 and 2.5, C/Co decreases as
frequency increases (2m with 0<m<0.5). The capacitance at constant voltage as a function of
frequency (ln C/Co~ lnf) has been plotted. The slope of the curve gives the value of 2m, which
is 0.40 for the as-fabricated capacitor.
An exponential fit to C/Co gives the exponential factor mqEs/kBT and hence the hopping distance,
s, can be evaluated using the extracted value of m=0.20. The obtained hopping distance (shown
in Table 2.1) is greater than the interatomic distance, which indicates that the mobile charges used
in this model are electronic in nature [18]. The density of defects in the dielectric film may be then
extracted using N1/s3and the Debye length is then calculated using Equation 2.5. DC conductiv-
ity experiments (JE characteristics) give as a function of electric field and we set the electric field
to 1MV/cm to calculate the conductivity in our devices.
Given the parameters in Equation 2.5, the relative variations of capacitance for the as-fabricated
capacitors with HfO2 thin films are calculated. According to Equation 2.6 and using the value of
v1012Hz for HfO2 as used in Section 2.3, we take the values of L=35nm, as=340.8,
=4.11011S/cm, N=(2.530.18)1019cm3, and =9.80.3, and so we obtain i0.69eV,
which can be viewed as the effective barrier at the electrode for AC charge transfer. It should be
pointed out that the relatively high density of mobile charge, N, indicates the high density of pre-
existing trapping states, which is indicated to be >31019cm3 in HfO2 [24]. This trapping state
is expected to be significantly enhanced by oxygen vacancies and/or interstitials that are clustered
potentially at the grain boundaries. Oxygen vacancy defect states have been known to lie in the
upper half of the forbidden bandgap within 2eV of the conduction bandgap edge; on the contrary,
HfO2-Based High- Dielectrics for Use in MEMS Applications 31

oxygen interstitial defect states are located in the lower half of the bandgap, within about 12eV of
the valence band edge. These pre-existing defects serve as precursors to the defects in irradiated
films, such as electron centers.
A control experiment was performed on devices fabricated in the same batch as those studied. In
the control experiment, the capacitancevoltage (CV) measurement at 10, 100, and 1000kHz and
capacitancefrequency (Cf) measurements between 10 and 1000kHz were performed 20 times
on each capacitor. The results show a change in the capacitance which is less than 0.9%. There
is no detectable tendency to fail with the voltage levels used in the tests over the period of the
investigation.

2.4.1.2 IV Characteristics
The DC conduction mechanisms have been studied by measuring currentvoltage (JV) character-
istics on the as-fabricated capacitor at temperatures between room temperature and 125C. Asig-
nificant temperature dependence of the currentvoltage characteristics for capacitors suggests that
PooleFrenkel emission is responsible for the observed data [25]. The current from the Poole
Frenkel effect may be expressed as

q qEm
J = s o E exp ft (2.7)
kBT peo eop

where t is the energy separation between the trap and the conduction band, Em the maximum elec-
tric field at the interface, o the zero bias conductivity, and op the dynamic high-frequency dielectric
constant. The dynamic high-frequency dielectric constant is extracted from the barrier lowering by
means of PF,

q 3 Em
fPF = = bPF E1/ 2 (2.8)
peo eop

The PooleFrenkel effect is caused by the field-enhanced emission of carrier from traps in the
dielectric. This is a bulk-limited current and is controlled by the existence of defects in the bulk of
the insulator [26].
A plot of ln(J/E) versus E1/2/kBT results in a straight line with a gradient of PF. Using the experi-
mental data, the dynamic dielectric constant op can be extracted and is then used to calculate the
refractive index, n, of the dielectric by equating op=n2 [25]. The extracted refractive index is in
the range 23 for the as-fabricated capacitors, which is close to the theoretical value of the dynamic
dielectric constant for HfO2 (2.93.6) [27]. This result indicates that the conductivity for the as-
fabricated devices is bulk-limited.
Further, Figure 2.7 shows the plot of ln J versus 1/T, which is described by a straight line at dif-
ferent electric field for the as-fabricated capacitors [28], which confirms that the leakage current
density in MIM capacitors is dominated by the PooleFrankel conduction mechanisms. Hence, the
leakage current is controlled by existing defects in the bulk of the HfO2. The magnitude of the leak-
age current depends on the possibility and the amount of the carriers that can detrap from the trap
centers (defects) to the conduction band. Using a value of op=4, the calculated trap level energy is
0.390.46eV for the as-fabricated capacitor structures.

2.4.2 Radiation-Induced Changes in Electrical Parameters


Irradiations were carried out at the doses of 10, 100, and 1000krad, incrementally, using a 60Co
gamma-ray source. A total of 21 capacitors were irradiated and all of these capacitors were measured
32 MEMS: Fundamental Technology and Applications

4
5
6
7
8

ln J
9
10
11 0.28 MV/cm
12 0.57 MV/cm
0.86 MV/cm
13
0.0022 0.0027 0.0032 0.0037
1/T (K)

FIGURE 2.7 The leakage current density as a function of 1/T.

before and after every irradiation. All these capacitors experienced the total dose of 1110krad. Each
time after the irradiation, the capacitancevoltage measurements (CV) were carried out on these
capacitors at frequencies that varied from 10kHz to 1MHz. The leakage current measurement was
performed before and after total dose radiation at room temperature. After irradiation, some of the
devices showed little change, whereas some showed characteristics of failure.

2.4.2.1 Good Devices


The values of VCC increase on irradiation. For the capacitor which was operational after 1000krad
total dose, the preirradiation VCC pre=822ppm/V2 (forward) and 1503ppm/V2 (reverse) rising
to post=1013ppm/V2 (forward) and 1582ppm/V2 (reverse) at 1MHz. The capacitance density
decreases by 3.5% in comparison to the preirradiated values for frequencies between 10kHz and
1MHz.
To quantify the effects of irradiation, the defect concentration and interface barrier heights for
the irradiated capacitors are extracted using the electrode polarization model, which is detailed
in Section 2.3. The capacitance as a function of the electric field have been calculated for the
as-fabricated and 1000krad postirradiation, as shown by the solid lines in Figure 2.8. It can be
observed from Figure 2.8 that both data sets can be fitted using the electrode polarization model. As

5.3
5.25
Capacitance density

5.2
5.15
(F/cm2)

5.1
5.05
5
4.95
4.9
0 0.2 0.4 0.6 0.8
Electric field (MV/cm)

Preirradiation experimental
Preirradiation fit line
Postirradiation experimental
Postirradiation fit line

FIGURE 2.8 Capacitance density as a function of electric field and their calculated values using the elec-
trode polarization model.
HfO2-Based High- Dielectrics for Use in MEMS Applications 33

TABLE 2.2
Comparison of Parameters Describing the Voltage Dependence of MIM Capacitor before
and after Irradiation
Postirradiation Failure at a Total Failure at a Total
at a Total Dose Failure at a Total Dose of Dose of
Parameters Preirradiation of 1000 krad Dose of 10 krad 100krad 1000krad
tox (nm) 35 35 35 35 35
Cdensity (fF m2) 4.94 5.08 1.73 1.66 1.72
VCC1M (ppm V2) 822 1503 38100 8100 14100
Frequency (kHz) 10 10 1000 1000 1000
2m 0.400.01 0.460.03 1.90.01 2.10.08 2.00.1
N (cm3) (2.530.18)1019 (3.80.7)1019 (2.010.3)1021 (1.240.7)1021 (4.680.8)1020
(S cm1) 4.11011 2.01010 1.1104 4.7105 2.2105
o (S cm1) 4.11011 2.01010 1.1104 4.7105 2.3105
k 20 21 6.85 6.55 6.80
LD (nm) 1.08 0.890.09 0.070.004 0.120.007 0.140.01
s ( ) 340.8 29.80.2 0.890.001 0.930.04 1.290.06
9.80.3 12.81.3 340.5 553 533
i (AC) (eV) 0.690.002 0.610.007 0.350.001 0.350.001 0.360.002
Jdensity (A cm2) 5106 3.5105 109 47 22
i (DC) (eV) 0.390.46 0.370.44

listed in Table 2.2, the energy barriers at the metaldielectric interface are 0.69eV (as-fabricated)
and 0.61eV (postirradiation). The energy-blocking parameter, , for the contacts is 9.8 before and
18 after the irradiation at a total dose of 1000krad. The small change in the barrier height suggests
that the radiation changes the interface-trapping properties of the dielectric at the interface with the
metal contacts. The observed variation in the extracted bulk diffusion coefficient, D, is three times
higher than the value extracted from the as-fabricated devices. During irradiation, a greater number
of unrecombined charge carriers become trapped near the metaloxide interface and these carriers
are known to be linked to the radiation-introduced interface trap formation in devices with HfO2 as
the dielectric [29].
The leakage current density as a function of temperature of the MIM capacitors pre- and post
irradiation at a total dose of 1000krad are compared. It has been observed that the leakage cur-
rent density is only slightly influenced by gamma radiation. After irradiation, the leakage current
density of the HfO2 film was observed to be higher than the leakage current density of the as-
fabricated devices by less than one order of magnitude. It is found that the temperature-dependent
current for the postirradiated capacitors is dominated by PooleFrankel emission as well. From
the experimental data, the extracted refractive indices are in the range 1.72 for the postirradi-
ated capacitors, compared with 23 for the as-fabricated preirradiated over the temperature range
studied. The increase in leakage current is caused by defects in the dielectric generated during the
irradiation process. The calculated trap level energy is 0.370.44eV for the capacitor after irradia-
tion. This result is in good agreement with our CV results, and the slight reductions of both AC
and DC barrier heights after radiation suggest the generation of more defects by radiation near the
metaloxide interface.

2.4.2.2 Failed Devices


Not all the devices investigated in this study were fully operational after the radiation exposure. Of
the 21 devices tested, 6 showed no failure after 1000krad, whereas 5 showed failure after 10krad,
5 after 100krad, and a further 5 after 1000krad. For the failed devices, the capacitance density
34 MEMS: Fundamental Technology and Applications

decreases by 8535%, when the conductance increases to 10-2S, which is 100 times greater than its
initial value. This is due to the degradation of an MIM capacitor caused by the gamma radiation,
which produces Frenkel pairs in the dielectric. The capacitance measured by the Agilent 4284A is
influenced by the dramatic increase in the conductivity, according to [30]

Ct
Cm = (2.9)

(Gt Rs + 1)2 + w 2Ct2 Rs2

where Ct is the true capacitance of the device, Cm the measured result, Gt the conductance, and Rs
the series resistance. When Gt becomes large (as is observed in the failed devices), then effect of the
series resistance is amplified and so the value of Cm decreases and becomes more voltage-dependent
[31]. Because the value of the conductivity is limited by the capabilities of the system, we have
not attempted to calculate the corrected capacitance for these devices, only to use the substantial
decrease in measured capacitance as a metric to observe failure.
Recently reported results on HfO2-based MOS structures state that there are atomic-scale dif-
ferences between radiation damage in conventional Si/SiO2 devices and the new Si/dielectric
devices based upon HfO2 [23]. There are three defects responsible for the postirradiation variation:
interface-trapping centers, an O2/hafnium ion trap in the HfO2 (which is an electron trap) and oxygen
vacancies. Both the O2/hafnium ion-trapping centers and oxygen vacancies are intrinsic defects [32].
The defect density for the capacitor pre-, postirradiated, and failed is estimated using the elec-
trode polarization model mentioned earlier (Equations 2.22.5). As discussed previously, the key
assumption to describe the CV nonlinearity using the electrode polarization model is to consider
the shift of relaxation time () toward high frequencies as the bias increases. The value of the car-
rier lifetime () used in the equations is proportional to conductivity and so the observed increase in
conductivity with bias results in the rise in relaxation time. The electrode polarization model is built
on the assumption that under the influence of an ac field, an excess charge density accumulates at
the electrodes [18] and that the charge transfer rate is low in comparison to the excitation frequency.
This is a reasonable assumption as the charge transfer is controlled by tunneling injection, which is
relatively a slow process.
The carrier relaxation frequency is related to the relaxation time constant, using fc=1/2; hence,
the injection process is slow and only the ac space charge builds up at electrodes at the high fre-
quencies used in the measurements. Considering carrier tunneling distances around 20 [21], we
calculate the relaxation frequency to be 1kHz (corresponding to a relaxation time constant of 103s,
which is lower than the measurement frequencies used [13]. However, with an increase in relaxation
frequency in the failed capacitors, the validity of the f>fc condition may change, and so we evaluate
the relaxation frequency by normalizing the capacitance to that measured at 10kHz using Equation
2.2. The corresponding relaxation frequencies are 1.2kHz for capacitors without failure and 10kHz
for capacitors after failure. We compare the defect densities extracted using the electrode polarization
model at 1MHz, 100kHz, and 10kHz and these are shown in Figure 2.9. From the data in the fig-
ure, we can see that the capacitor fails when the defect density exceeds 1020cm3. It should be noted
that the trap concentration at a frequency of 10kHz after failure is lower than this threshold. For the
capacitors which showed failure at a dose of 10 and 1000krad, the calculated trap concentrations are
around 51019cm3, much lower than the concentration estimated at higher frequencies, which is in
excess of 1020cm3. As more traps are generated during the irradiation, both the Debye length and
hopping distance reduce and so the relaxation frequency increases to 10kHz. Thus, the electrode
polarization model is no longer able to accurately calculate the defect density at low frequencies and
so the extracted values shown in Figure 2.9 are lower than those for higher frequencies.
The hopping distances extracted from the before and after data for all capacitors studied are
much higher than interatomic distances, which implies that the mobile charges are electronic in
nature [14] even for devices showing failure. It is worth noting that the mechanisms of HfO2 charge
HfO2-Based High- Dielectrics for Use in MEMS Applications 35

1022
1 MHz

Trap concentration (cm3)


100 kHz
Failure at 10 krad
Failure at 100 krad
10 kHz
1021
Failure at 1000 krad

1020
No failure

1019
1 10 100 1000
Irradiation doses (krad)

FIGURE 2.9 Defect densities as a function of dose at 1MHz, 100kHz, and 10kHz.

build up in the oxide appears to be different from that observed in SiO2-based dielectrics and the
relationship between oxide charge trapping and failure is still not clear.
The leakage current densities at room temperature for the capacitors, which showed failure at
different total radiation dose, were studied. There is no significant difference in the leakage current
densities for different failure dose. All capacitors which failed show high leakage current density
in comparison to the capacitor without failure, which gives a low leakage current density even after
irradiation. The observed change in leakage current density after radiation indicates an increase in
the density of traps generated during the radiation exposure.
The increase in trap density in the dielectric is responsible for the increased conduction. Once
the density exceeds a critical value, carriers can hop between proximate traps and a conductive path
forms between the electrodes. This conduction through a series of conducting pathways is consistent
with a percolation-type behavior [33,34]. The observed behavior may be explained by the fact that
the traps have to be located close together to form a percolative path between the capacitor elec-
trodes. Analysis of ultrathin oxides suggests that the distance between adjacent traps is in the order
of 0.4nm [33]; however, in our case with substantially thicker oxides, we calculate that the traps are
separated by around 2nm, based on the extracted trap density and the hopping distances listed in
Table 2.1. The distribution of the charge to failure (and hence early failures of some of the devices)
is consistent with the statistical nature of this failure mechanism [35] and the random distribution of
defects from the fabrication process.

2.4.3Annealing Studies
Following irradiation, the annealing characteristics of the 35-nm HfO2 MIM devices were measured
at room temperature. The annealing process was done after irradiation at 1000krad. Samples were
annealed under air atmosphere at 400C for 30min. It is found that compared to the capacitances
after 1000-krad irradiation, the capacitance densities are decreased by annealing. The annealing
leads to a shift in the direction of the original position. Compared to the as-fabricated capacitance,
it is believed that a great part of the defects induced by irradiation can be annihilated by the anneal-
ing processes. However, to reach the original values at 100kHz and 1MHz, longer time and higher
annealing temperature are necessary [36].
The voltage-dependent capacitance of good capacitors as-fabricated, as-irradiated, and annealed
can be modeled and explained by the electrode polarization mechanism. On the basis of the elec-
trode polarization mechanism, the capacitancevoltage nonlinearity origins from mobility of hop-
ping carriers, and the increment of defects concentration leads to the reduction in mean free path,
resulting in a higher polarization at the cathode upon biasing [21,37]. Therefore, after irradiation,
these induced defects cause higher capacitancevoltage nonlinearity. This is supported by the defect
36 MEMS: Fundamental Technology and Applications

5.3
5.25

Capacitance density
5.2
5.15

(F/cm2)
5.1
5.05
5
4.95
4.9
4.85
0 0.2 0.4 0.6 0.8
Electric field (MV/cm)

Preirradiation experimental Postirradiation fit line


Preirradiation fit line Annealing experimental
Postirradiation experimental Annealing fit line

FIGURE 2.10 Capacitance density as a function of electric field and their calculated values using the elec-
trode polarization model before and after annealing.

concentration and interface barrier heights extracted using the electrode polarization model, which
shows that the energy barrier at the metaldielectric interface is reduced from 0.69eV (as-fabricated)
to 0.61eV (postirradiation), while the concentration of mobile charges is increased from 2.531018
to 3.811019cm3 as shown in Table 2.2. After annealing, it is pointed out that an increase in the
parameters (N, , VCC, J) of the irradiated device, compared to the nonirradiated device, reflects
the increase in the induced trapped charge density. This increase is of importance since it constitutes
a direct evidence of radiation-induced damage. It can also be observed from Figure 2.10 that the
capacitancevoltage nonlinearity is improved and the experimental data set can be fitted using the
electrode polarization model. As listed in Table 2.3, the energy barrier at the metaldielectric interface

TABLE 2.3
Comparison of Parameters Describing the Voltage Dependence of MIM Capacitor
Pre-and Postirradiation and after Postirradiation Annealing
Postirradiation at a
Parameters Preirradiation Total Dose of 1000krad After Annealing
tox (nm) 35 35 35
Cdensity (fF m2) 4.94 5.08 4.90
VCC1M (ppm V2) 822 1503 1180
Frequency (kHz) 10 10 10
2m 0.400.01 0.460.03 0.410.01
N (cm3) (2.530.18)1019 (3.80.7)1019 (2.810.7)1019
(S cm1) 4.11011 2.01010 3.01010
o (S cm1) 4.11011 2.01010 3.01010
k 20 21 19.8
LD (nm) 1.08 0.890.09 1.150.9
s ( ) 340.8 29.80.2 32.91.8
9.80.3 12.81.3 211.0
i (AC) (eV) 0.690.002 0.610.007 0.620.04
Jdensity (A cm2) 5106 3.5105 2.0105
HfO2-Based High- Dielectrics for Use in MEMS Applications 37

is 0.62eV and the concentration of mobile charges is 2.81019cm3. The restoration of the device with
HfO2 as the dielectric is confirmed by the shift in the energy barrier and mobile charge density in the
direction to the as-fabricated values. Furthermore, the current density at 1MV clearly illustrates that
the annealing process reduces irradiation-induced defects, compared to the irradiated result.

2.5 SUMMARY AND FUTURE TRENDS


HfO2 prepared by thermal oxidation from the metallic Hf film is used in our MIM capacitors. As a
fabrication process study, the carbon contamination-induced degradation in characteristics in the
HfO2 dielectric MIM capacitors was investigated. The electrical characteristics with dependency
on frequency, voltage, and temperature provided insights into contamination-enhanced charge
trapping in HfO2 high-k dielectrics. Different current transportation mechanisms of leakage cur-
rent are addressed: PooleFrenkel emission for the dielectric with low carbon contamination and
Schottky for the others with high carbon contamination. Both the ac and dc barrier heights were
found to be reduced by the carbon impurity existing at the metaloxide interface. The overall
high performance achieved by the HfO2 film with lower carbon contamination suggested that
an attention should be given to minimize the carbon contamination during the fabrication of the
MIM capacitor.
The effects of gamma-ray radiation on the HfO2-based MIM capacitors have been discussed.
The MIM capacitors using HfO2 dielectrics showed promising radiation tolerance, for the devices
which were still operable after a total dose of 1Mrad, and the parameters describing the behavior
of the capacitors showed only a small change to those for the as-fabricated devices. However, a
number of devices showed a dramatic decrease in capacitance in conjunction with a large rise in
leakage current after exposure to radiation, which we consider to be a sign of failure for the device.
The previous studies on MOS devices suggest that high-k device fabrication will likely be a major
concern for radiation response of future devices which incorporate alternative gate dielectrics and
the trapped charge density is very sensitive to the oxide growth process. Therefore, the further oxide
charges and interface traps due to gamma radiation led to the failure behavior. We explain this
change in behavior by means of a percolation model and suggest that failure behavior may occur
once the mobile charge density exceeds 1020cm3. Additionally, the investigation of improvement
in device characteristics after 400C annealing for 30min further agrees with the radiation-intro-
duced defects, which cause the degradation of the device. The energy barrier at the metaldielectric
interface increased slightly back to the as-fabricated value and the concentration of mobile charges
reduced to 2.81019cm3. Further recovery of radiation-induced damage in the dielectric would
be necessary to apply a higher annealing temperature and/or a longer annealing time. In addition,
further optimization to reduce oxide charges in the dielectric would be necessary for the application
of MIM capacitors using advanced high-k dielectrics in hostile environments.
In the future, the capacitance of gate dielectric needs to be continuously increased. The effects
of radiation bias, film thickness, and device processing conditions on the radiation response of
high-k alternative dielectric stacks need further investigation. The variation in radiation response
with processing could be the result of change in either the hole or the electron-trapping properties
of these materials. Currently, there is no well-defined standard processes for making devices with
alternative gate dielectrics, and therefore, it is important to continue to research these materials and
to determine how variations in processing and device design affect radiation response.

ACKNOWLEDGMENTS
The authors acknowledge the financial support from Innovative Electronics Manufacturing Research
Council and Richard Jenkins and Jon Silvie from BAE Systems Marine, Barrow in Furness, for the
irradiation of the capacitors.
38 MEMS: Fundamental Technology and Applications

REFERENCES
1. G. E. Moore, Progress in digital integrated electronics, Electron Devices Meeting, Washington, DC, 1975.
2. J. S. Dunn, D. C. Ahlgren, D. D. Coolbaugh, N. B. Feilchenfeld, G. Freeman, D. R. Greenberg, R. A.
Groves et al., Foundation of RF CMOS and SiGeBiCMOS technologies, IBM Journal of Research and
Development, 47, 2003, 101138.
3. A. Farcy, J. Torres, V. Arnal, M. Fayolle, H. Feldis, F. Jourdan, M. Assous, J. L. Di Maria, and V. Vidal,
A new Damascene architecture for high-performance metalinsulatormetal capacitors integration,
Microelectronic Engineering, 70, 2003, 368372.
4. J. R. Hauser and S. E. Kerns, Circuit related issues due to radiation in hostile environments, Journal of
Electronic Materials, 19, 1990, 671688.
5. L. Pereira, A. Marques, N. Aguas, N. Nedev, S. Georgiev, E. Fortunato, and R. Martins, Performances of
hafnium oxide produced by radio frequency sputtering for gate dielectric application, Materials Science
and Engineering B, 109, 2004, 8993.
6. F. Gourbilleau, L. Khomenkova, C. Dufour, P.-E. Coulon, and C. Bonafos, HfO2-based thin films depos-
ited by magnetron sputtering, MRS, 2009 Spring, Symposium H, San Francisco, 2009.
7. D. Y. Cho, K. S. Park, B. H. Choi, S. J. Oh, Y. J. Chang, D. H. Kim, T. W. Noh, R. Jung, J.-C. Lee, and
S. D. Bu, Control of silicidation in HfO2/Si(100) interfaces, Applied Physics Letters, 86, 041913-01,
2005.
8. S. Duenas, H. Castan, H. Garcia, J. Barbolla, K. Kukli, M. Ritala, and M. Leskela, The electrical-inter-
face quality of as-grown atomic-layer-deposited disordered HfO2 on p- and n-type silicon, Thin Solid
Films, 474, 2005, 222229.
9. E. P. Gusev, C. Demic, S. Zafar, and A. Kumar, Ultrathin HfO2 films grown on silicon by atomic layer
deposition for advanced gate dielectrics applications, Microelectronic Engineering, 69, 2003, 145151.
10. A. C. Jones, H. C. Aspinall, and P. R. Chalker, Molecular design of improved precursors for the MOCVD
of oxides used in microelectronics, Surface and Coatings Technology, 201, 2007, 90469054.
11. R. Q. Tan, Y. Azuma, T. Fujimoto, J. W. Fan, and I. Kojima, Preparation of ultrathin HfO2 films and com-
parison of HfO2/SiO2/Si interfacial structures, Surface and Interface Analysis, 36, 2004, 10071010.
12. J. A. Babcock, S. G. Balster, A. Pinto, C. Dirnecker, P. Steinmann, R. Jumpertz, and B. El-Kareh, Analog
characteristics of metalinsulatormetal capacitors using PECVD nitride dielectrics, IEEE Electron
Device Letter, 22, 2001, 230232.
13. F. El Kamel, P. Gonon, and C. Valle, Experimental evidence for the role of electrodes and oxygen
vacancies in voltage nonlinearities observed in high- metalinsulatormetal capacitors, Applied Physics
Letter, 91, 2007, 172909.
14. H. Hu, C. Zhu, Y. F. Lu, M. F. Li, B. J. Cho, and W. K. Choi, A high performance MIM capacitor using
HfO2 dielectrics, IEEE Electron Device Letter, 23, 2002, 514516.
15. W. S. Lau, L. L. Leong, T. Han, and N. P. Sandler, Detection of oxygen vacancy defect states in capaci-
tors with ultrathin Ta2O5 films by zero-bias thermally stimulated current spectroscopy, Applied Physics
Letter, 83, 2003, 2835.
16. S. J. Ding, H. Hu, H. F. Lim, S. J. Kim, X. F. Yu, C. Zhu, M. F. Li, and B. J. Cho, Evidence and under-
standing of ALD HfO2-Al2O3 laminate MIM capacitors outperforming sandwich counterparts, IEEE
Electron Device Letter, 25, 2004, 681683.
17. S. Krishnan, E. Stefanakos, and S. Bhansali, Effects of dielectric thickness and contact area on
currentvoltage characteristics of thin film metalinsulatormetal diodes, Thin Solid Films, 516, 2008,
22442250.
18. P. Gonon and C. Valle, Modeling of nonlinearities in the capacitancevoltage characteristics of high-
metalinsulatormetal capacitors, Applied Physics Letter, 90, 2007, 142906.
19. K. Xiong and J. Robertson, Point defects in HfO2 high- gate oxide, Microelectronic Engineering, 80,
2005, 408411.
20. W. S. Lau, T. S. Tan, and Premila Babu, Mechanism of leakage current reduction of tantalum oxide
capacitors by titanium doping, Applied Physics Letter, 90, 2007, 112903.
21. J. H. Beaumont and P. W. M. Jacobs, Polarization in potassium chloride crystals, Journal of Physics and
Chemistry of Solids, 28, 1967, 657667.
22. M. D. Greenbelt, E. G. Stassinopoulos, and J. P. Raymond, The space radiation environment for electron-
ics, Proceedings of the IEEE, 76, 1988, 14231442.
23. J. T. Ryan, P. M. Lenahan, A. Y. Kang, J. F. Conley, Jr., G. Bersuker, and P. Laysaght, Identification of
theatomic scale defects involved in radiation damage in HfO2 based MOS devices, IEEE Transactions
on Nuclear Science, 52, 2005, 22722275.
HfO2-Based High- Dielectrics for Use in MEMS Applications 39

24. G. Lucovsky, D. M. Fleetwood, S. Lee, H. Seo, R. D. Schrimpf, J. A. Felix, J. Lning, L. B. Fleming,


M.Ulrich, and D. E. Aspnes, Differences between charge trapping states in irradiated nano-crystalline
HfO2 and non-crystalline Hf silicates, IEEE Transactions on Nuclear Science, 53, 2006, 36443648.
25. B. Miao, R. Mahapatra, N. G. Wright, and A. B. Horsfall, The role of carbon contamination in voltage
linearities and leakage current in high- metalinsulatormetal capacitors, Journal of Applied Physics,
104, 2008, 054510.
26. P. Zubko, D. J. Jung, and F. Scott, Electrical characterization of PbZr0.4Ti0.6O3 capacitors, Journal of
Applied Physics, 26, 2006, 114113.
27. Y. Wang, Z. Lin, X. Chenc, H. Ziao, F. Zhang, and S. Zou, Study of HfO2 thin films prepared by electron
beam evaporation, Applied Surface Science, 228, 2004, 9399.
28. S. Pan, S.-J. Ding, Y. Huang, Y.-J. Huang, D.W. Zhang, L.-K. Wang, and R. Liu, High temperature con-
duction behaviour of HfO2/TaN-based metalinsulatormetal capacitors, Journal of Applied Physics,
102, 2007, 073706.
29. M. R. Shaneyfelt, J. R. Schwank, D. M. Fleetwood, P. S. Winokur, K. L. Hughes, and F. W. Sexton, Field
dependence of interface-trap buildup in polysilicon and metal gate MOS devices, IEEE Transactions on
Nuclear Science, 37, 1990, 16321640.
30. C.-H. Choi, Y. Wu, J.-S. Goo, Z. Yu, and R. W. Dutton, Capacitance reconstruction from measured CV
in high leakage, nitride/oxide MOS, IEEE Transactions on Electron Devices, 47, 2000, 18431850.
31. E. M. Vogel, W. K. Henson, C. A. Richter, and J. S. Suehle, Limitations of conductance to the measure-
ment of the interface state density of MOS capacitors with tunneling gate dielectrics, IEEE Transactions
on Electron Devices, 47, 2000, 601608.
32. A. B. Pakhomov, S. K. Wong, X. Yan, and X. X. Zhang, Low frequency divergence of the dielectric con-
stant in metalinsulator nanocomposites with tunneling, Physical Review B, 58, 1998, R13373.
33. M. Houssa, T. Nigram, P. W. Mertens, and M. M. Heyns, Soft breakdown in ultrathin gate oxides:
Correlation with the percolation theory of nonlinear conductors, Applied Physics Letters, 73, 1998,
514516.
34. S. W. Kenkel and J. P. Straley, Percolation theory of nonlinear circuit elements, Physical Review Letters,
49, 1982, 767770.
35. R. Degraeve, G. Groeseneken, R. Bellens, M. Depas, and H. E. Maes, A consistent model for the thick-
ness dependence of intrinsic breakdown in ultra thin oxides, Proceedings of IEDM, Washington, DC,
1995, 863866.
36. A. Kraft and K.-H. Heckner, Neutron irradiation induced changes of the electrochemical properties of
n-GaAs, Journal of Electroanalytical Chemistry, 393, 1995, 2933.
37. C. Bonnelle, Charge trapping in dielectrics, Microscopy and Microanalysis, 10, 2004, 691696.
3 Piezoelectric Thin Films for
MEMS Applications
Isaku Kanno

CONTENTS
3.1 Introduction............................................................................................................................. 41
3.2 Preparation of Piezoelectric Thin Films.................................................................................. 42
3.2.1 Deposition of PZT Thin Films for MEMS.................................................................. 42
3.2.2 Sputtering Deposition.................................................................................................. 42
3.2.3 Crystal Structure of PZT Thin Films.......................................................................... 43
3.3 Evaluation of Piezoelectric Properties for Thin Films............................................................44
3.3.1 Dielectric and Ferroelectric Properties.......................................................................44
3.3.2 Modeling Piezoelectric Unimorph Actuators.............................................................. 45
3.3.3 Transverse Piezoelectric Properties of PZT Thin Films on Si
andMgOSubstrates.............................................................................................. 47
3.3.4 Piezoelectric PZT Thin Films on Metal Substrates.................................................... 51
3.4 Lead-Free Piezoelectric Thin Films........................................................................................ 53
3.5 Fabrication of Microactuators Using Piezoelectric Thin Films.............................................. 55
3.5.1 Microfabrication of Piezoelectric Cantilevers............................................................. 55
3.5.2 Piezoelectric MEMS Switches.................................................................................... 56
3.5.3 Piezoelectric Micropumps........................................................................................... 58
3.5.4 Optical MEMS Using Piezoelectric Thin-Film Actuators.......................................... 61
3.6 Summary................................................................................................................................. 65
References......................................................................................................................................... 65

3.1INTRODUCTION
Microelectromechanical system (MEMS) technologies have attracted considerable attention in
the development of next-generation functional microdevices. Generally, functionality of MEMS
devices originated from complicated microstructures fabricated by Si microfabrication technolo-
gies; therefore, the materials used have usually been limited in Si-based materials, or conducting
metals and specific organic materials. On the other hand, functional materials, such as ferroelectric
materials, have gradually been integrated into MEMS and can give new functionality on simple
microstructures. Among them, piezoelectricity is very attractive in the application of microsensors
and actuators. Piezoelectricity has two characteristics, one is piezoelectric effect, which means the
charge generation by external stress or strain, and the other is inverse piezoelectric effect, that is,
force generation by external electric field. These characteristics imply that the piezoelectric mate-
rials are inherently sensors and actuators. Therefore, if we integrate piezoelectric materials into
MEMS, it enables unique functionality, especially in simple microstructures [13].
For integration of piezoelectric materials into MEMS, the piezoelectric materials should be pre-
pared in thin-film forms to be microfabricated by photolithography. The most popular piezoelec-
tric materials are Pb(Zr,Ti)O3 (PZT), and the deposition and characterization of PZT thin films
have been intensively studied. Recently, some piezoelectric MEMS composed of PZT films have

41
42 MEMS: Fundamental Technology and Applications

been developed as commercial products. However, several issues such as deposition of the well-
crystallized films and precise measurement of piezoelectric properties of thin films still remain.
This chapter describes the fabrication process of PZT thin films and the evaluation method of the
piezoelectric characteristics of thin films from the viewpoint of MEMS applications. In addition,
the progress of the lead-free piezoelectric thin films and fundamental examples of microfabricated
piezoelectric MEMS actuators were also introduced.

3.2 PREPARATION OF PIEZOELECTRIC THIN FILMS


3.2.1Deposition of PZT Thin Films for MEMS
For the deposition of the piezoelectric materials, especially PZT-based perovskite thin films, several
methods such as chemical solution deposition (CSD; solgel deposition) [4], chemical vapor depo-
sition (CVD) [5,6], pulsed laser deposition (PLD) [7], and sputtering [8] have been studied. These
three methods can produce well-crystallized PZT thin films; however, each of them has advantages
and disadvantages from the viewpoint of piezoelectric MEMS. CSD method can produce PZT thin
films without expensive deposition apparatus based on vacuum system, and it is relatively easy to
prepare PZT thin films with perovskite structure. However, the thickness of the layer by the single
spin coating process is typically as thin as ~0.1m, and the thick PZT films more than 1m, which
is usually required to obtain sufficient piezoelectric actuation or sensing, have to be prepared by
multispin coating process. Therefore, the repetition of spin coating and drying processes needs long
time to accomplish the total deposition.
On the other hand, CVD is another candidate for PZT deposition. This method has advantage
for the excellent step coverage which is strongly required for semiconductor memories (FeRAM).
However, the source materials of PZT are relatively expensive so that it is not suitable for the
deposition of micrometer-thick PZT films. Therefore, CVD is rarely used for the PZT deposi-
tion in MEMS. PLD is also a popular method for high-quality PZT deposition; however, the area
with uniform thickness is so small that it is not suitable for the device fabrication as commercial
products.
For the piezoelectric MEMS, rf-magnetron sputtering is a practical deposition method of PZT
films. By sputtering deposition, PZT films can be formed on a variety of substrates with high depo-
sition rate more than 1m/h. In addition, commercial products such as inkjet printer heads and
gyro sensors have adopted sputtering method for the PZT deposition [9] because of its stable growth
conditions as well as cost-effective process compared with the other methods.

3.2.2Sputtering Deposition
The rf-magnetron sputtering is a useful deposition technique especially for the thin films composed
of complicated chemical composition and it is often used for the deposition of the piezoelectric PZT
thin films. Typical sputtering conditions of the PZT thin films are listed in Table 3.1. The sputtering

TABLE 3.I
Conditions for Deposition of PZT Thin Film
Substrate (001)Pt/MgO, (111)Pt/Ti/SiO2/Si
Target [Pb(Zr0.53,Ti0.47)O3]0.8+[PbO]0.2
Substrate temperature 600C
Gas composition Ar (19.5 SCCM)+O2 (0.5 SCCM)
Gas pressure 0.3~0.5Pa
Postannealing (as needed) 600C/30min
Piezoelectric Thin Films for MEMS Applications 43

is performed under Ar/O2 mixed gas atmosphere of 0.30.5Pa, where the substrates were heated
to 600C in order to grow the PZT films with perovskite structure. Postannealing at temperature
around 600C is also effective to crystallize the PZT films deposited under low substrate tem-
perature. However, postannealing often involves fatal damage such as cracking or peeling of the
films especially for the thick PZT films owing to large thermal stress. On the other hand, sintered
ceramics are normally used as target materials to stabilize film composition. It is well known that
the morphotropic phase boundary (MPB) exists at a Zr/Ti ratio of 52/48, where dielectric and piezo-
electric properties show the maximum value. Therefore, the PZT ceramic target with the MPB com-
position is usually used for the piezoelectric PZT films. Furthermore, since Pb and PbO are easy to
reevaporate from the deposited films during deposition, excess PbO was often added to the target to
maintain stoichiometry of the resulting film.

3.2.3Crystal Structure of PZT Thin Films


Since piezoelectricity originates from asymmetry of the crystal structure, control of the crystal
structure is the most important factor in determining piezoelectric properties. Usually, PZT films
were grown on Pt-coated Si substrates with a Ti adhesive layer at the interface of Si [10]. For Si
substrates, an oxide layer is deposited on the Si surface by thermal oxidation. The x-ray diffraction
(XRD) patterns of the PZT films deposited on Pt/Ti/SiO2/Si substrates are shown in Figure 3.1a.
The clear diffraction peaks from perovskite PZT can be observed without other phases such as
pyrochlore. Furthermore, the films were preferentially oriented along direction, since the Pt bot-
tom electrode on Si is oriented along due to the closest packing of fcc crystal structure. The cross-
sectional image of scanning electron microscopy (SEM) is shown in Figure 3.1b. Clear columnar
grains can be observed, indicating that the PZT films have polycrystalline structures.
On the other hand, epitaxial substrates such as MgO and SrTiO3 enable epitaxial growth of
PZT films by rf-sputtering, PLD, or CVD [57,11]. Epitaxial substrates can control orientation and
domain structure of the PZT films so that the electric properties including piezoelectricity can be

(a)
(111) PZT
(111) Pt

104
Intensity (cps)

102

1
20 30 40 50
2 (deg)

(b)

PZT

Si

FIGURE 3.1 Crystal structure of PZT thin films on Pt/Ti/SiO2/Si substrates: (a) XRD pattern and (b) cross-
sectional SEM image.
44 MEMS: Fundamental Technology and Applications

(a) 106

(101) PZT

(002) MgO

(002) Pt
(002) PZT
Intensity (cps)
104

102

1
20 30 40 50
2 (deg)

(b)

PZT

MgO

FIGURE 3.2 Crystal structure of c-axis-oriented epitaxial PZT thin films on Pt/MgO substrates: (a) XRD
pattern and (b) cross-sectional SEM image.

enhanced by perfect alignment of the polar direction. Figure 3.2 shows the XRD pattern and cross-
sectional SEM image of the PZT films on Pt-coated MgO substrates. PZT films were perfectly
oriented along the c-axis, which is the polarization direction of the tetragonal PZT. Pt electrode is
also epitaxially grown on the MgO or SrTiO3 substrates.
By sputtering deposition, PZT films can be directly deposited on Pt-coated metal substrates, for
example, stainless steel and titanium [12,13]. As mentioned in the subsequent sections, direct depo-
sition of PZT on metal substrates is advantageous for practical applications of piezoelectric MEMS.
Figure 3.3a andb shows the XRD patterns of the PZT films deposited on Pt-coated stainless steel
and titanium metalsubstrates, respectively. The diffraction peaks of perovskite PZT were clearly
observed for both substrates. The films are polycrystalline structures, although the orientation of the
PZT film on each substrate is different and it depends on the sputtering conditions. The deposition
of PZT films on metals by CSD or CVD has not been reported, thus sputtering deposition mitigates
the limitation of substrates for PZT deposition.

3.3 EVALUATION OF PIEZOELECTRIC PROPERTIES FOR THIN FILMS


3.3.1Dielectric and Ferroelectric Properties
Since PZT is a typical ferroelectric material, it shows a variety of functionalities such as large spon-
taneous polarization and dielectric constant, pyroelectric coefficient, and piezoelectricity. However,
these properties are closely related to each other, and therefore, dielectric and ferroelectric proper-
ties, which are easy to measure, are generally measured to evaluate the quality of the PZT films.
Dielectric properties are measured by an impedance analyzer. From the measurement of capaci-
tance between the top and bottom electrodes, relative dielectric constants r are estimated. The
typical relative dielectric coefficient r of the polycrystalline PZT films with MPB composition is
around 1000; however, c-axis-oriented epitaxial PZT films show a lower relative dielectric constant
of around 200 [10].
Piezoelectric Thin Films for MEMS Applications 45

(a)

(000) PZT

(002) PZT
Intensity (a.u.)

(111) Pt
(101) PZT
20 30 40 50
2 (deg)

(b)
(101) PZT
(001) PZT

(002) PZT
Intensity (a.u.)

(111) PZT
(111) Pt

20 30 40 50
2 (deg)

FIGURE 3.3 XRD patterns of the PZT films on Pt-coated metal substrates deposited by rf-magnetron sput-
tering: (a) stainless steel and (b) titanium metal substrates.

Ferroelectric materials have spontaneous polarization which can be reversed by the external
electric field. The ferroelectric properties can be evaluated from the polarizationelectric field
(PE) hysteresis curves taken from the SowyerTower circuit. Figure 3.4a and b shows the PE
hysteresis curves of the PZT films deposited on (001)Pt/MgO and (111)Pt/Ti/Si, respectively.
It can be confirmed that both PZT films have clear ferroelectric properties. The PE hyster-
esis loop of the epitaxial PZT film on Pt/MgO shows a clear square shape, indicating that the
polarization reversal abruptly occurred at the coercive electric field (E c) because the c-axis
orientation is the polarization direction of the tetragonal PZT. On the other hand, the PE
hysteresis loop of the polycrystalline PZT film on Pt/Ti/Si has similar characteristics of PZT
bulk ceramics, as shown in Figure 3.4b. Compared with Figure 3.4a, the polarization reversal
gradually occurred with the applied electric field. This result suggests that the contribution
of the off-axis polar domain corresponds to the nonlinear piezoelectric characteristics of the
polycrystalline PZT films.

3.3.2 Modeling Piezoelectric Unimorph Actuators


To design micropiezoelectric devices, it is necessary to identify precise piezoelectric properties of
the PZT films. Since the piezoelectric films are clamped by substrates, the piezoelectric measure-
ments of thin films are not easy. Several attempts have been made to evaluate piezoelectric coef-
ficients of the thin films [14]. One of the most popular methods is the measurement of the change
46 MEMS: Fundamental Technology and Applications

(a) 100

Polarization (C/cm2)
50

50

100
200 100 0 100 200
Electric field (kV/cm)

(b) 100
Polarization (C/cm2)

50

50

100
200 100 0 100 200
Electric field (kV/cm)

FIGURE 3.4 PE hysteresis curves of PZT films: (a) (001)Pt/MgO and (b) (111)Pt/Ti/Si substrates.

in thickness using the atomic force microscope (AFM) or the laser interferometer to measure the
longitudinal piezoelectric effect [15,16]. This method is popular due to the ease of the measurement
of the effective longitudinal piezoelectric strain. However, from the viewpoint of the device design
of piezoelectric MEMS, transverse piezoelectric coefficient d31 is an indispensable property rather
than the longitudinal piezoelectric coefficient d33, since long lateral dimension can generate large
output deformation or electric charge. Several methods, such as observation of the piezoelectric
response of the microcantilever made of PZT films or measurement of electric charge generated
by the laterally deformed PZT film on an Si wafer [8,1719], have been reported to measure d31
of piezoelectric thin films. However, standard methods for the evaluation of the proper transverse
piezoelectric coefficient d31 have not been established yet.
The most practical method to evaluate transverse piezoelectric properties of thin films are
the measurements of cantilever displacement. The piezoelectric properties of d- and e-forms are
expressed as

Ti = cijE S j eki Ek , (3.1)


Si = sijE T j dki Ek , (3.2)


where k=1, 2, 3 and i, j=1, 2, 3, 4, 5, 6. Ti, Sj, and Ek are the stress, strain, and electric field com-
ponents, cijE and sijE are constant-electric-field elastic stiffness components and elastic compliance
Piezoelectric Thin Films for MEMS Applications 47

components, respectively, and eki and dki are piezoelectric coefficients. In the case of piezoelectric
thin films on the substrate, we can neglect out-of-plane and shear strains. If we apply external elec-
tric field along thickness, the transverse piezoelectric coefficient e31 is written as

E
c13 S3 T1 cE S d
e31 = = 13 3 + E 31 E . (3.3)
E3 E3 s11 + s12

The effective transverse piezoelectric coefficient e31,f is defined as e31,f=d31/(s11E+s12


E) [20], and

then Equation 3.3 is expressed as

E
c13
e31 = e31, f + e .
E 33 (3.4)
c33

On the other hand, tip displacement of the unimorph cantilever is expressed as

dK
d31 = ,
, p 11, s s (hs + h p )VL
2
3s11
E
s h
(3.5)
K = 4 s11
E
, p s11, s hs (h p ) + 4 s11, p s11, s (hs ) h p + ( s11, p ) (hs )
3 E 3 E 2 4

+ (s11
E
, s ) (h p ) + 6 s11, p s11, s (hs ) (h p ) ,
2 4 E 2 2

where h, s11, L, V, and are the thickness, the elastic compliance, the length of the cantilever, the
applied voltage, and the tip displacement, respectively [21]. The subscripts s and p denote the sub-
strate and the PZT thin film, respectively. In the case of the unimorph cantilever composed of a
piezoelectric thin film and a thick substrate, transverse piezoelectric coefficient d31 can be approxi-
mated as

,p d
E
hs2 s11
d31 . (3.6)
3L2 s11,s V

The mechanical properties of the thin films depend on the deposition process and the substrate.
Therefore, it is inevitable to measure the elastic properties of the PZT thin films precisely to obtain
the transverse piezoelectric coefficient d31, although they are difficult to evaluate. In contrast, the
simplified transverse piezoelectric coefficient was defined to eliminate the ambiguous mechanical
properties of the thin films [10],

d31 hs2
*
e31 = d. (3.7)
E
s11,p 3s11,s L2V

The simplified transverse piezoelectric coefficient e31


* can easily be obtained from the tip dis-
placement of a unimorph cantilever and it is useful to evaluate transverse piezoelectric property as
a kind of figure of merit.

3.3.3Transverse Piezoelectric Properties of PZT Thin Films on Si and MgO Substrates


The measurement setup of the piezoelectric thin films is shown in Figure 3.5. For the measurement
of piezoelectric properties of the PZT films on MgO or Si substrates, we cleaved the substrates
into the beam shape with the size of around 152.5mm2. Then thin Au or Pt top electrode was
48 MEMS: Fundamental Technology and Applications

Pt upper electrode (~10 nm)


PZT film (~3 m)

Pt bottom electrode (~100 nm)

~1.0 mm
~15 mm
Laser doppler
Cleavage

Substrate (~0.3 mm)


FFT Oscilloscope
Function generator

FIGURE 3.5 Measurement setup of transverse piezoelectric properties of piezoelectric thin films. Tip dis-
placement of unimorph cantilever composed of the piezoelectric thin film and the substrate is measured by a
laser Doppler vibrometer.

evaporated over the surface of the PZT films. Finally, the edge of the beam was clamped using a
vise, and unimorph cantilevers composed of PZT films and substrates were prepared. The dimen-
sions of the cantilevers are listed in Table 3.2. Piezoelectric vibration is generated by means of
applying sine-wave voltage between the top and bottom electrodes, and the tip displacement was
measured using a laser Doppler vibrometer. Electric contact of the bottom electrode was obtained
from the clamped edge, whereas the top electrode was connected to a fine lead line using silver
paste in order not to disturb the piezoelectric actuation. From the tip displacement of the cantilever,
transverse piezoelectric properties of the PZT films were evaluated.
Figure 3.6 shows the tip displacements of the cantilevers of MgO and Si substrates applying
unipolar sine-wave voltage of 0 to 10V. The frequency of input sine-wave signal was 250Hz
which was much less than the mechanical resonant frequency of the cantilevers as described below.
Unipolar voltage should be applied to avoid polarization reversal during the measurements. For
the sputtered PZT films, we did not conduct compulsory poling treatments since the intrinsic self-
polarization is usually induced from the bottom to the top electrodes [8]. This is advantageous for
the sensors or actuators to obtain stable piezoelectric output. In both samples, clear piezoelectric
vibrations could be observed with the displacement more than 1m for simple unimorph cantile-
vers of PZT thin films and substrates.

TABLE 3.2
Dimension of Unimorph Cantilevers
PZT/MgO
Thickness MgO substrate 310m
PZT film 2.9m
Pt bottom electrode 0.1m
Length 15.2mm
Width 2.5mm
Orientation along the length <100>MgO

PZT/Si
Thickness Si substrate 212m
PZT film 2.4m
Pt/Ti bottom electrode 0.2m
Length 14.9mm
Width 2.5mm
Orientation along the length <110>Si
Piezoelectric Thin Films for MEMS Applications 49

(a) 15 1.5
PZT/MgO
10 1

Displacement (m)
5 0.5

Voltage (V)
0 0

5 0.5

10 1

15 1.5
0 0.005 0.01 0.015
Time (s)

(b) 15 3
PZT/Si
10 2

Displacement (m)
5 1
Voltage (V)

0 0

5 1

10 2

15 3
0 0.005 0.01 0.015
Time (s)

FIGURE 3.6 Tip displacement of unimorph cantilevers with the application of unipolar sinusoidal voltage:
(a) PZT on MgO and (b) PZT on Si substrates.

The maximum displacement was plotted in Figure 3.7 as a function of peak-to-peak voltage.
In the case of the unimorph cantilever composed of the PZT film on MgO substrate, tip displace-
ment exhibits excellent proportional relationship with applied voltage, as shown in Figure 3.7a. This
result indicates that the piezoelectric strain of the PZT film on Pt/MgO is caused by intrinsic lattice
motion of elongation and shrinkage since the PZT films on MgO show perfect c-axis orientation
which is the polarization axis of the tetragonal PZT. In contrast, the PZT/Si cantilever shows obvi-
ous nonlinear displacement and it is enhanced in the higher applied voltage as shown in Figure 3.7b.
The PZT films on Pt/Ti/Si substrates have polycrystalline structures with preferential (111) orien-
tation, and this result suggests that extrinsic domain motions were superimposed on the intrinsic
lattice motion of the PZT films, especially under high applied voltage.
The domain motion was also observed by applying bipolar sine-wave voltage on the unimorph
cantilevers. For the measurements, a low frequency of 10Hz is applied because high frequency often
induces the resonant vibration and disturbs precise measurements. Tip displacement is measured
by using the laser interferometer which can measure the precise deflection at low frequency, and
butterfly displacement curves caused by domain rotation can easily be observed. Figure 3.8a shows
the tip deflection of the cantilever made of c-axis-oriented PZT film on the MgO substrate. The
deflection curve is the ideal butterfly loop with a clear 180 polarization rotation (domain switch-
ing) at +29V and 17V. Furthermore, the unimorph cantilever shows excellent linearity due to the
perfect c-axis orientation of the PZT films. On the other hand, the deflection curve of the cantilever
made of polycrystalline PZT films on Si substrates was different from that of PZT/MgO and showed
large displacement hysteresis as shown in Figure 3.8b. This curve is similar in characteristics to the
transverse strain curve of bulk PZT, indicating that the piezoelectric strain of the polycrystalline
PZT films originates not only from the lattice motion, but also from the off-axis domain motion like
50 MEMS: Fundamental Technology and Applications

(a) 5

Displacement (m)
3

0
0 5 10 15 20 25 30 35
Voltage |Vpp| (V)

(b) 20

15
Displacement (m)

10

0
0 5 10 15 20 25 30
Voltage |Vpp| (V)

FIGURE 3.7 Tip displacement of unimorph cantilevers as a function of applied voltage: (a) PZT on MgO
and (b) PZT on Si substrates.

(a) 6

4
Deflection (m)

6
40 30 20 10 0 10 20 30 40
Voltage (V)
(b) 15
10
5
Deflection (m)

0
5
10
15
20
40 30 20 10 0 10 20 30 40
Voltage (V)

FIGURE 3.8 Tip deflectionhysteresis curves (butterfly curves) of unimorph cantilevers with the application
of bipolar sinusoidal voltage: (a) PZT on MgO and (b) PZT on Si substrates.
Piezoelectric Thin Films for MEMS Applications 51

10
MgO
8 Si

|e31*| (C/m2)
6

0
0 5 10 15 20 25 30 35
Voltage |Vpp| (V)

FIGURE 3.9 Transverse piezoelectric coefficient e31


* of the PZT films on MgO and Si substrates as a function
of applied voltage.

reorientation of 90 domains. These results correspond with the results of the PE hysteresis curves
as shown in Figure 3.4.
The simplified transverse piezoelectric coefficient e31 * was evaluated from the results of Figure
3.7. Figure 3.9 shows e31 * of the PZT films on MgO and Si substrates calculated by Equation 3.7.
Both films show excellent e31 * of 4.7 to 4.9C/m2 for PZT/MgO and 4.3 to 7.7C/m2 for PZT/Si.
It should be noted that the absolute value of e31* of the PZT films on Si is higher than those on MgO
especially at high applied voltage, while they show strong dependence on applied voltage. On the
contrary, the PZT films on MgO substrate show almost constant values of e31 *, which is independent
of the applied voltage. The variation of e31* of PZT/Si is caused by the nonlinear displacement of the
cantilever as shown in Figure 3.7b. These results indicate that c-axis-oriented epitaxial PZT films
on MgO have very stable transverse piezoelectric properties since their piezoelectricity is caused
only by the intrinsic lattice motion due to the absence of the off-axis domain motions. For the poly-
crystalline PZT films on Si, large e31 * is attributed to extrinsic effects such as reorientation of 90
domains and it is superimposed on the intrinsic lattice motion.

3.3.4 Piezoelectric PZT Thin Films on Metal Substrates


Single-crystal silicone substrate is used for the PZT deposition because of the ease of three-
dimensional microfabrication by surface or bulk micromachining techniques in various geom-
etries such as membranes, bridges, and cantilevers. However, the brittleness of the silicone is often
problematic for the fabrication and practical use of the device. As mentioned before, sputtering
deposition enables PZT thin films to be formed on the metal substrates such as stainless steel
or titanium. Because metals have excellent mechanical elasticity and strong fracture toughness
compared with Si, a thin metal-based microcantilever or membrane has sufficient toughness and
flexibility even in small thickness less than 100m. Furthermore, if we deposit PZT films directly
on microfabricated metal sheet, it can simplify the fabrication process such as etching of PZT,
electrodes, and substrates which are conducted after PZT deposition. Furthermore, metal sheets
usually cost less than Si, thus the sputtering deposition of PZT on metal substrates is advantageous
to commercialize piezoelectric MEMS.
The PZT thin films can be deposited directly on the Pt-coated stainless steel or titanium sub-
strates under the same sputtering conditions as those of Si or MgO substrates [12,13]. Figure 3.10
shows the photograph of the PZT film on 50-m-thick Ti sheets which were preliminarily micro-
fabricated into the shape of the cantilever array. The length of the cantilever ranges from 0.7 to
1.25mm with the width of 0.2mm. After deposition of Au/Cr top electrodes, the actuator character-
istics were evaluated by measuring the tip displacement of the cantilever. The relationship between
52 MEMS: Fundamental Technology and Applications

L = 1.15 L = 1.05 L = 0.95

1 mm

FIGURE 3.10 Photograph of the PZT film deposited on a Pt-coated microfabricated Ti sheet.

the tip displacements of the cantilevers with the length of 0.95, 1.05, and 1.15mm was measured by
applying a unipolar sine-wave signal at a frequency of 1kHz, and the results are shown in Figure
3.11. The tip displacement proportionally increased with the applied voltage. The hysteresis of tip
deflection of the unimorph cantilever was also observed by applying bipolar sine-wave voltage and
the result is shown in Figure 3.12. A clear butterfly-shaped curve was observed with polarization
reversals at the electric fields of +20 and 10kV/cm, indicating that displacement is caused by typi-
cal transverse piezoelectric actuation of the PZT films on the Ti cantilevers.
The simplified transverse piezoelectric coefficient e31* was evaluated from the results of Figure
3.10. Figure 3.13 shows the e31 * of the PZT films on Ti substrates as a function of applied voltage.
The e31* of the PZT films on Ti which was calculated to be 3.6 to 4.3C/m2 is slightly smaller than
that of Si substrates. However, it is almost a constant value against the external electric field due to
the linear relationship between applied voltage and displacement.
The same measurement was performed for the PZT films on a stainless-steel sheet with a pre-
liminary microfabricated cantilever array, and clear piezoelectric deflection could be observed.
However, the e31 * of the PZT films on stainless-steel substrates was about three times smaller than
that for Ti substrates as shown in Figure 3.13. Better piezoelectricity for the PZT film on Ti is
attributed to the compatibility of the thermal expansion coefficient. Another reason is compositional

1.5
Displacement of cantilever (m)

Cantilever length:
1.15
1.05
1 0.95 (mm)

0.5

0
0 5 10 15 20 25
Applied voltage (Vp-p)

FIGURE 3.11 Relationship between the tip displacements of the cantilevers with the length of 0.95, 1.05,
1.15 and applied voltage.
Piezoelectric Thin Films for MEMS Applications 53

Displacement of cantilever (m)


2

0
30 20 10 0 10 20 30
Applied voltage (V)

FIGURE 3.12 Tip deflectionhysteresis curves (butterfly curves) of PZT/Ti unimorph cantilever.

L:1.15
* | (C/m2)

3
L:1.05
L:0.95 (mm)
|e31

2 Stainless steel

0
0 10 20 30 40 50
Electric field (kV/cm)

FIGURE 3.13 Transverse piezoelectric coefficient e31


* of the PZT films on Ti and stainless-steel substrates
as a function of applied voltage.

affinity; Ti is one of the components of the PZT, indicating it would be harmless against the diffu-
sion of the Ti atoms from the substrate to the PZT films.

3.4 LEAD-FREE PIEZOELECTRIC THIN FILMS


The alkali niobate-based ferroelectric perovskite materials have attracted attention as promising
substitutes for lead-based piezoelectric materials. Among the alkali-based perovskite materi-
als, it is known that the bulk solid solution of KNbO3 and NaNbO3, (K xNa1x)NbO3 (KNN),
shows a high electromechanical coupling at around x=0.5 [22,23]. Furthermore, it has been
reported that the doping of Li, Ta, and/or Sb as well as the orientation control leads to further
enhancement of the piezoelectricity [24]. On the contrary, KNN thin films are useful for the
environment-friendly piezoelectric MEMS. Several deposition processes have been employed
for the growth of the KNN films, including sputtering [25], CVD [26], and PLD [27,28]. Among
these processes, rf-magnetron sputtering can produce high-quality KNN thin films by almost
the same sputtering conditions as PZT. Shibata reported that he successfully fabricated the KNN
thin films by rf-magnetron sputtering and confirmed excellent piezoelectric properties compat-
ible with PZT [29,30].
54 MEMS: Fundamental Technology and Applications

(a) 106
(002)
(001) (002) KNN
KNN MgO
104 (002)

Intensity (cps)
Pt

102

100
10 20 30 40 50 60
2 (deg)

(b) 106
(111)
(001) Pt
(002)
104
Intensity (cps)

KNN
(200) KNN
Si

102

100
10 20 30 40 50 60
2 (deg)

FIGURE 3.14 XRD patterns of KNN thin films on: (a) (001)Pt/MgO and (b) (111)Pt/Ti/SiO2/Si substrates.

Figure 3.14 shows the XRD patterns of the KNN thin films on (001)Pt/MgO and (111)Pt/Ti/
SiO2/Si substrates, respectively. KNN films on both substrates were grown with the perovskite
structure by rf-magnetron sputtering. The transverse piezoelectric properties were evaluated from
the tip displacement of unimorph cantilevers by the same system as shown in Figure 3.15. Figure
3.15 shows the tip displacement of the KNN/MgO or KNN/Si unimorph cantilever as a function

1500

on Pt/MgO
Displacement (nm)

on Pt/Ti/SiO2/Si
1000

500

0 5 10 15 20 25
Applied voltage (V)

FIGURE 3.15 Tip displacement of the KNN/MgO or KNN/Si unimorph cantilever as a function of the
applied voltage.
Piezoelectric Thin Films for MEMS Applications 55

10
on Pt/MgO
8
on Pt/Ti/SiO2/Si

* | (C/m2)
6

4
|e31
2

0
0 5 10 15 20 25
Applied voltage (V)

FIGURE 3.16 Transverse piezoelectric coefficient e*31 of the KNN films on MgO and Si substrates as a func-
tion of applied voltage.

of the applied voltage. The tip displacement proportionally increased with the applied voltage. The
transverse piezoelectric coefficient e31
* was evaluated by Equation 3.7, and the results were shown
in Figure 3.16. The values of e31
* of the KNN films on MgO and Si substrates were calculated to be
3.6 and5.5C/m2, respectively. The characteristics of the KNN films on MgO and Si are almost
compatible with those of PZT films, although the piezoelectric coefficients are slightly smaller
than those of PZT films. However, lead-free piezoelectric thin films are strongly required for
practical applications, and piezoelectric MEMS of lead-free thin films will be commercialized in
the near future.

3.5 FABRICATION OF MICROACTUATORS USING PIEZOELECTRIC THIN FILMS


A variety of piezoelectric MEMS devices has been reported using piezoelectric thin films as micro-
sensors and actuators. This section introduces a typical microfabrication process for the piezoelec-
tric microcantilevers and some examples of piezoelectric MEMS devices.

3.5.1 Microfabrication of Piezoelectric Cantilevers


A microcantilever is a fundamental structure for the application of piezoelectric sensors and actua-
tors [3133]. By using photolithography, piezoelectric thin films on Si substrates can be fabricated
into microcantilevers. Figure 3.17 shows the typical microfabrication process of the unimorph can-
tilevers composed of PZT thin films on Si substrates. The process sequence is as follows:

1. Sputtering deposition of Ti adhesive layer (~20nm)


2. Sputtering deposition of Pt bottom electrode (~100nm)
3. Sputtering deposition of PZT thin films (23m)
4. Spin coat and photolithograph of lift-off resist
5. Deposition of Al top electrode (~1m)
6. Lift-off of Al electrode
7. PZT wet etching
8. Pt/Ti dry etching
9. Si isotropic etching

Patterning of PZT thin films is possible using HNO3+HF solution. At the end of the process, Si
substrate underneath the cantilever beam is etched out by isotropic dry etching of SF6, or backside
56 MEMS: Fundamental Technology and Applications

Ti

Si
(1) Ti sputtering

Pt

(6) Al pattering by removal


of resist
(2) Pt sputtering
PZT

(7) PZT wet etching


(3) PZT sputtering
Lift-off resist

(4) Lift-off resist pattering


(8) Pt/Ti dry etching
Al

(5) Deposition of Al (9) Si dry etching

FIGURE 3.17 Typical microfabrication process of piezoelectric unimorph cantilevers composed of PZT thin
films on Si substrates.

etching by deep-reactive ion etching (D-RIE) to release the cantilever. Figure 3.18 shows the simple
microcantilever and fixedfixed beam fabricated by the aforementioned process. In this case, a
thick Cr layer is used as the top electrode, which also acts as the vibrating layer for the unimorph
structure. Asshown in Figure 3.18, the cantilever beam is initially bended by the residual stress
because of the mismatch of thermal expansion coefficient, and control of the internal stress of each
layer is an important issue to obtain a flat beam structure.
The tip displacement was observed by a laser Doppler vibrometer, and dependence on applied
voltage is plotted in Figure 3.19. The length and width of the cantilever is 500 and 86m, respec-
tively. The displacement increases proportionally with the voltage and relatively large displacement
of more than 2m could be obtained by a low voltage of 10V.

3.5.2 Piezoelectric MEMS Switches


Micromechanical switches called MEMS switches are the promising devices for the radio-fre-
quency (RF) communications, especially in the millimeter wave band. Compared to conventional
semiconductor devices, RF-MEMS switches have the advantage of not only high isolation and low
insertion loss, but also low power consumption [34]. An electrostatic microactuator has generally
been used for driving force of the switch due to the ease of microfabrication using well-established
semiconductor integrated circuit (IC) technologies. Considering compatibility of MEMS switches
with the ICs, a low-voltage operation of the switch is strongly required because the typical opera-
tion voltage of ICs is as low as 5V; however, electrostatic MEMS switches need a relatively large
Piezoelectric Thin Films for MEMS Applications 57

500 m

700 m

FIGURE 3.18 Piezoelectric microcantilever and fixedfixed beam of unimorph structure composed of PZT
thin films on Si substrate.

3.0
Displacement (m)

2.0

1.0

0.0
0.0 5.0 10.0
Voltage (V)

FIGURE 3.19 Tip displacement of piezoelectric microcantilever as a function of applied voltage.

operation voltage of more than 30V. In contrast, piezoelectric actuator can generate larger force
originated from the deformation of the volume, which is advantageous for a low-voltage drive.
Recently, piezoelectric MEMS switches have been developed, and low-voltage actuation as well as
excellent switching performance was reported [33]. As mentioned before, for the MEMS switch with
a simple cantilever structure, large initial bending is due to the mismatch of thermal stress of the
58 MEMS: Fundamental Technology and Applications

40 m

200 m

20 m
350 m Cr 0.8 m

PZT 2.5 m
Length 800 m
50 m 100 m Fixed

Cr

PZT

L 250 300 m

FIGURE 3.20 SEM image of a piezoelectric unimorph microactuator with an X-shaped connector fabri-
cated from PZT thin films on Si substrates.

multilayered films, while for the fixedfixed beam, insufficient displacement is due to the restriction
of the beam motion by holding both ends of the beam. To solve these problems, the unique structure
of a piezoelectric microactuator such as two connected cantilevers has been reported.
The illustration and SEM photograph of the resulting actuator is shown in Figure 3.20 [35]. The
piezoelectric PZT films of 2.5m in thickness are deposited on Pt/Ti-coated Si substrates using
therf-sputtering, and successively, 0.8-m-thick Cr elastic layer is deposited onto the PZT films.
The length and width of the beam is 800 and 200m, respectively. In this configuration, the flat
beam structure could be prepared, indicating that the X-shaped connector is effective to mitigate the
initial bending of a simple cantilever. Furthermore, the X-shaped connector is flexible not to sup-
press the piezoelectric motion like the conventional fixedfixed beam structure. Figure 3.21 shows
the displacement at the center part of the beam as a function of voltage. The displacement increases
with the applied voltage. Although the displacement of 0.5m/5V is relatively small, the flat shape
of the actuator is very important for the applications such as microswitches.

3.5.3 Piezoelectric Micropumps


In recent years, microfluidic systems have attracted considerable attention in a variety of applica-
tions, especially for micro-total analysis systems. The general components of microfluidic systems
are flow sensors, micromixers, microvalves, and micropumps. Among them, micropumps are sig-
nificant devices and a variety of pumping systems have been studied for downsizing, integration,
Piezoelectric Thin Films for MEMS Applications 59

2.0

Displacement (m)
1.5

1.0

0.5

0.0
0 5 10 15 20
Volt (V)

FIGURE 3.21 Displacement of the piezoelectric unimorph actuator with an X-shaped connector as a func-
tion of applied voltage.

and high efficiency [36,37]. At microscale, the flow field is generated typically by piezoelectric
actuators which are equipped with a pressure chamber for generating a pressure difference between
the inlet and the outlet. The piezoelectric micropumps can transport a variety of liquids at a high
flow rate and with excellent controllability; however, further miniaturization is not easy because of
the difficulty in integration of rectification system in microchannels to control flow direction such
as diffusers or check valves. On the other hand, the flexural plate wave (FPW) has been proposed
as a valveless micropump [38,39]. It consists of piezoelectric thin films on an Si membrane with an
interdigital transducer (IDT) electrode. FPW is advantageous for microfluidic systems because of
its simple structure. Liquid flow is induced by generating plate waves on the membrane; however,
the displacement is as small as a few nanometers, thus the maximum flow velocity is localized a few
micrometers below the membrane [39]. On the other hand, a new mechanical micropumping device
has been reported for a microfluidic system that uses a piezoelectric vibrating channel wall [40].
The vibrating wall has a unimorph structure of piezoelectric Pb(Zr,Ti)O3 (PZT) thin films directly
deposited on the ceiling of the microchannel. In this configuration, there is no need to prepare a
pressure chamber and microvalves, thus it is advantageous to integrate the mechanical pumping
system on a chip.
Schematics of the microchannel and the photographs are shown in Figure 3.22. The top ceil-
ing walls of the microchannels were composed of Si and buried SiO2 (BOX) layers of silicon-on-
insulator (SOI) wafer whose surface was covered by piezoelectric PZT thin films. Nine separate
top electrodes were arranged on the microchannel, and the traveling wave was induced beneath
theceiling wall by applying sinusoidal voltages with a phase difference of 2/3. The liquid beneath
the wall moves along an elliptic curve when a channel wall oscillates in the form of a traveling
wave as shown in Figure 3.23 [41]. After a period of oscillation, the fluid moves slightly forward
from the initial position due to its viscosity. The transportation of the liquid by a traveling wave is
controlled by the magnitude and frequency of the piezoelectric vibration, and the flow direction can
be changed by altering the direction of the traveling wave.
The flow velocity was measured by micro particle image velocimetry (PIV). Fluorescent micro-
beads with a diameter of 1m and a density of 1.05g/cm3 were dispersed in water and the motion
of the microbeads was observed. A traveling wave was generated beneath the channel wall when
a sinusoidal voltage was applied with a phase difference of 2/3. For the 200-m-wide channel,
the flow velocity increased with the frequency, and the peak flow velocity (118m/s) was clearly
observed at the first resonant frequency of 311kHz, as shown in Figure 3.24a. We also observed
clear peaks at higher resonant frequencies, and the mean flow velocity reached 153m/s at the
third resonance. On the other hand, the 500-m-wide channel showed the peak flow velocity at
a resonant frequency of around 100kHz; however, the peak was low and broad. The mean flow
60 MEMS: Fundamental Technology and Applications

(a) Actuator side (b) Channel side

(c) Actuators on channel


Cr electrode

PZT thin film

(d) Structure of microchannel


Cr: 150 nm
PZT: 2.5 m
Pt/Ti: 100 nm
Si: 5.0 m
SiO2: 1.0 m

Si: 300 m
Glass: 1 nm

FIGURE 3.22 Photographs and schematic illustration of micropumps composed of PZT thin films: (a) PZT
thin-film microactuator array, (b) microchannels, (c) electrode pattern prepared on the microchannel, and (d)
structure of the micropumps.

A sin(2ft + 2/3)
A sin(2ft 2 /3) A sin(2 ft)

Traveling wave

FIGURE 3.23 Liquid flow in microchannel induced by traveling wave.


Piezoelectric Thin Films for MEMS Applications 61

(a)

Mean flow velocity (m/s)


200-m width
150 500-m width

100

50

0 100 200 300 400 500 600


Frequency (kHz)

(b)
Mean flow velocity (m/s)

100

50

0 5 10 15 20
Applied voltage (Vpp)

FIGURE 3.24 Mean flow velocity in microchannel: (a) frequency dependence in 200-m-wide and
500-m-wide microchannels and (b) operating voltage dependence of 200-m-wide channel at a resonance
of 311kHz.

velocity increased monotonically at higher frequencies with a maximum flow velocity of 172m/s
at 600kHz. The increase in the flow velocity with frequency is attributed to the simple increase in
the pumping cycle. The dependence of flow velocity on the applied voltage was measured for the
200-m-wide channel at a resonant frequency of 311kHz, and the result is shown in Figure 3.24b.
The mean flow velocity increased proportionally to the square of the voltage applied to each actua-
tor. In the case of the 500-m-wide channel, almost the same tendency could be observed over a
wide frequency range. This result obeys the theoretical calculation of peristaltic pumping phenom-
ena [41]. The flow velocity profile of the 500-m-wide channel was measured under the actuator
array with a confocal micro PIV system, by changing the observation height. Figure 3.25 shows the
velocity profiles along with the width and height of the channel at 10Vpp and a frequency of 80kHz.
The velocity profile in the width direction was approximately parabolic, indicating the generation of
Poiseulle flow. On the other hand, the flow profile along the height direction was Couette-like flow.
These results are consistent with the flow profile generated by the FPW [39]. Although the maxi-
mum velocity was located at about 25m beneath the vibrating wall, it was larger than that of FPW
flow (~5 m). This is attributed to the large displacement of the traveling waves induced beneath the
channel wall in our pumping device.

3.5.4Optical MEMS Using Piezoelectric Thin-Film Actuators


Adaptive optics (AO) is a significant technique to compensate for optical aberration caused by atmo-
spheric turbulence [42], which has been used in astronomical research to obtain high-resolution
images. In the AO system, a deformable mirror (DM) is commonly used as a wavefront corrector
by adjusting the deflection of the mirror surface to the aberration. Recently, the application area of
62 MEMS: Fundamental Technology and Applications

(m/s)
2.80
2.66
2.52
(a) 2.38
2.24
2.7 2.10
2.4 1.96
2.1 1.82
1.8 1.68
1.5 1.54
1.2 1.40
0.9 1.26
(m) 1.12
0.6
500 0.98
0.3
400 0.84
0.0
0 300 0.70
55 200 Width 0.56
110
165 100 0.42
220
Depth 275 0 0.28
(m) 0.14
0.00
(b) (c)
2.7 2.7
2.4 2.4
2.1 2.1
1.8 1.8
1.5 1.5
1.2 1.2
0.9 0.9
0.6 0.6
0.3 0.3
0.0 0.0
0 55 110 165 220 275 0 100 200 300 400 500
Depth (m) Width (m)

FIGURE 3.25 Flow velocity profile of a 500-m-wide channel measured by a confocal micro PIV system.

AO has been expanded to industrial and medical optics, and, in particular, in vivo high-resolution
human retinal imaging has attracted attention in AO [4345]. To achieve small and low-cost DMs,
MEMS DMs have successfully been developed and manufactured as commercial products [46].
The MEMS DMs are commonly actuated by an electrostatic force; however, for practical use, sev-
eral issues still remain unsolved, for example, the large driving voltage of more than 100V and
the vibration noise of the mirror membrane owing to its very small thickness. Furthermore, since
an electrostatic actuator only generates an attractive force and its magnitude is not large, there is a
limitation on the control of the mirror deformation.
On the other hand, large-scale DMs are commonly actuated by bulk piezoelectric actuator arrays,
and recently bimorph DMs have been developed for low-cost AO systems [47]. A piezoelectric actua-
tor can generate a large force and its response is very fast. In addition, concave and convex deformation
can be generated by just changing the polarity of the applied voltage. To produce small piezoelectric
DMs, the mirror membrane should be actuated by piezoelectric thin films because of the microfab-
rication of the piezoelectric actuators. To realize small, low-cost DMs, especially for human retinal
imaging, simple MEMS DMs actuated by piezoelectric PZT thin films have been proposed [48].
The piezoelectric MEMS DM consists of a continuous membrane mirror on the backside of
which piezoelectric PZT films are deposited on a silicon-on-insulator (SOI) substrate. The piezo-
electric actuator array consists of a unimorph structure of PZT films and a Si device layer, and the
Piezoelectric Thin Films for MEMS Applications 63

(a) Active area: 8 mm


1

14 mm 2
20 mm

20 mm

(b) 3 mm 2 mm Al electrode (0.2 m)


A PZT (2 m)
Pt/Ti (200/30 nm)
620 m Si (20 m)
SiO2 (1 m)
15 mm
Al reflective layer

FIGURE 3.26 Schematic illustration of piezoelectric MEMS DMs: (a) top view and (b) cross-sectional view.

structure is shown in Figure 3.26. Application of the voltage induces a transverse strain, which is
converted into the vertical deflection due to the restriction of the Si elastic layer. Both concave and
convex deformations are possible by just changing the polarity of the applied voltage. Compared to
conventional electrostatic MEMS DMs, the thickness of the membrane can be greater because the
piezoelectric force is generally larger than the electrostatic one. The photographs of the piezoelec-
tric MEMS DMs are shown in Figure 3.27. The 19-actuator array is prepared on the Si device layer
of SOI, while the backside of the SOI was etched out to prepare diaphragm structure and the buried
oxide (BOX) layer acted as a stopping layer for Si etching by dry etching process of SF6. The Al
layer was deposited on the exposed BOX layer as a mirror surface.
Figure 3.28 shows the maximum displacement of three different actuators as a function of volt-
age. The number of dots corresponds with the position of the actuator as shown in Figure 3.26a. The
displacement increases with increasing applied voltage, and an application of 10V on one actuator
can generate more than 1m displacement. For the deformation of the mirror, the maximum oper-
ating voltage is limited by the breakdown voltage and the polarization reversal voltage of the PZT

FIGURE 3.27 Photographs of piezoelectric MEMS DMs with a 19-actuator array: (a) actuator side and
(b)mirror side.
64 MEMS: Fundamental Technology and Applications

4
3.5 1
2

Displacement (m)
3 3
2.5
2
1.5
1
0.5
0
0 5 10 15 20 25
Voltage (Vpp)

FIGURE 3.28 Displacement vs applied voltage for three different actuators. The number corresponds with
the actuator position in Figure 3.26a.

film. The breakdown voltage of the 2-m-thick PZT films is approximately 100V, which is the limit
of the voltage along the polar direction for convex curvature of the mirror. On the other hand, the
polarization reversal voltage is around 10V, which is the maximum voltage in the opposite direction
of the polarization to produce concave deformation of the mirror.
To evaluate the applicability of piezoelectric MEMS DMs to the AO system, deformation of the
mirror corresponding to Zernike mode was produced. The Zernike polynomials are commonly
used to express the deformation of a circular plane by a complete set of orthogonal polynomials
[49]. If the optical wavefront is represented by the Zernike polynomials, aberration can be cor-
rected by producing deformation of the Zernike mode by the DMs. Figure 3.29 shows both the
ideal and reproduced Zernike modes of Z3, Z4, Z6, and Z7. The Zernike modes of Z3, Z4, and Z7
are known as the aberrations of astigmatism, defocus, and coma-aberration, respectively. Although
some deviations still remain between ideal and observed deformations, it was confirmed that the

Z3 = 62 sin 2 Z4 = 3(22 1)
(astigmatism) (deforcus)
m m
10.0 10.0

0.0 0.0

4.0 4.0 m
10.0 10.0
4.0 0.0 4.0 0.0 3.0
0.0 mm 0.0 mm 2.7
mm 4.0 4.0 mm 4.04.0 2.4
2.1
1.8
Z6 = 83 sin 3 Z7 = 8(32 2) sin 1.5
1.2
m (y-coma) m 0.9
10.0 0.6
10.0 0.3
0.0
0.3
0.6
0.0 0.0 0.9
1.2
4.0 4.0 1.5
1.8
10.0 10.0 2.1
4.0 0.0 4.0 0.0 2.4
0.0 mm 0.0 mm 2.7
mm 4.0 4.0 mm 4.0 4.0 3.0

FIGURE 3.29 Ideal and measured surface profiles corresponding to Zernike modes of Z3, Z4, Z6, and Z7.
Piezoelectric Thin Films for MEMS Applications 65

piezoelectric MEMS DMs can reproduce low-order Zernike modes by low-voltage operation. For
the practical use of the piezoelectric MEMS DMs to AO, it is necessary to increase the number of
individual electrodes to correct the higher-order aberration. However, piezoelectric MEMS DMs
composed of PZT films are promising devices for the low-voltage wavefront generator in AO.

3.6SUMMARY
In this chapter, the outline of MEMS technologies integrated with piezoelectric thin films was
introduced. Ferroelectric materials have variety of functionalities, including piezoelectricity, and
integration of piezoelectric materials on MEMS causes enhancement of the function of the devices
even in the simple microstructures. For the development of piezoelectric MEMS, deposition, evalu-
ation, and microfabrication of piezoelectric thin films should be established. PZT is the most popu-
lar piezoelectric material and for the MEMS applications, the deposition of PZT thin films with
the thickness of a few micrometer is required. rf-Magnetron sputtering is a suitable method for
the deposition of piezoelectric PZT films. Transverse piezoelectric properties of the thin films are
indispensable to design the piezoelectric MEMS and can be evaluated from the actuator properties
of the unimorph cantilevers of a PZT film and a substrate. On the other hand, lead-free piezoelec-
tric thin films have been investigated as environment-friendly materials, and it was reported that
the KNN thin films show large piezoelectric properties almost compatible with that of the PZT
films. Recently, piezoelectric MEMS have been fabricated by photolithographic techniques of the
PZT films and some of them have been produced as commercial products. Because of attractive
functionality of the piezoelectric thin films, piezoelectric MEMS will play an important role in the
development of next-generation microdevices.

REFERENCES
1. P. Muralt, Recent progress in materials issues for piezoelectric MEMS, J. Am. Ceram. Soc., 91, 2008,
13851396.
2. P. Muralt, Ferroelectric thin films for micro-sensors and actuators: A review, J. Micromech. Microeng.,
10, 2000, 136146.
3. S. Trolier-McKinstry, P. Muralt, Thin film piezoelectrics for MEMS, J. Electroceram., 12, 2004, 717.
4. B. Piekarski, M. Dubey, E. Zakar, R. Polcawich, D. DeVoe, D. Wickenden, Solgel PZT for MEMS
applications, Integr. Ferroelectr., 42, 2002, 2537.
5. Y. K. Kim, H. Morioka, H. Funakubo, Domain structures in highly (100)-oriented epitaxial Pb(Zr0.35,Ti0.65)
O3 thin films, J. Appl. Phys., 101, 2007, 064112.
6. C. M. Foster, G. R. Bai, R. Csencsits, J. Vetrone, R. Jammy, L. A. Wills, E. Carr, J. Amano, Single-crystal
Pb(Zrx,Ti1x)O3 thin films prepared by metal-organic chemical vapor deposition: Systematic composi-
tional variation of electronic and optical properties, J. Appl. Phys., 81, 1997, 23492357.
7. I. Vrejoiu, G. Le Rhun, L. Pintilie, D. Hesse, M. Alexe, U. Gsele, Intrinsic ferroelectric properties of
strained tetragonal PbZr0.2Ti0.8O3 obtained on layer-by-layer grown, defect-free single-crystalline films,
Adv. Mater., 18, 2006, 16571661.
8. I. Kanno, S. Fujii, T. Kamada, R. Takayama, Piezoelectric properties of c-axis oriented Pb(Zr,Ti)O3 thin
films, Appl. Phys. Lett., 70, 1997, 13781380.
9. E. Fujii etal., Preparation of (001)-oriented Pb(Zr,Ti)O3 thin films and their piezoelectric applications,
IEEE Trans. Ultrason. Ferroelectr. Freq. Contr., 54, 2007, 24312438.
10. I. Kanno, H. Kotera, K. Wasa, Measurement of transverse piezoelectric properties of PZT thin films,
Sens. Actuators A, 107, 2003, 6874.
11. I. Kanno, H. Kotera, K. Wasa, T. Matsunaga, T. Kamada, R. Takayama, Crystallographic characterization
of epitaxial Pb(Zr,Ti)O3 films with different Zr/Ti ratio grown by radio-frequency-magnetron sputtering,
J. Appl. Phys., 93, 2003, 40914096.
12. T. Suzuki, I. Kanno, J. J. Loverich, H. Kotera, K. Wasa, Characterization of Pb(Zr, Ti)O3 thin films depos-
ited on stainless steel substrates by RF-magnetron sputtering for MEMS applications, Sens. Actuators A,
125, 2006, 382386.
66 MEMS: Fundamental Technology and Applications

13. K. Kanda, I. Kanno, H. Kotera, K. Wasa, Simple fabrication of metal-based piezoelectric MEMS by
direct deposition of Pb(Zr,Ti)O3 thin-films on titanium substrates, J. Microelectromech. Syst., 18, 2009,
610615.
14. J.-M. Liu, B. Pan, H.L.W. Chan, S.N. Zhu, Y.Y. Zhu, Z.G. Liu, Piezoelectric coefficient measurement of
piezoelectric thin films: An overview, Mater. Chem. Phys., 75, 2002, 1218.
15. G. Zavala, J. H. Fendler, S. T. McKinstry, Characterization of ferroelectric lead zirconate titanate films
by scanning force microscopy, J. Appl. Phys., 81, 1997, 74807491.
16. A. L. Kholkin, C. Wutchrich, D. V. Taylor, N. Setter, Interferometric measurements of electric field-
induced displacements in piezoelectric thin films, Rev. Sci. Instrum., 67, 1996, 19351941.
17. I. Kanno, S. Fujii, T. Kamada, R. Takayama, Piezoelectric characteristics of c-axis oriented Pb(Zr,Ti)O3
thin films, J. Kor. Phys. Soc., 32, 1998, S1481S1484.
18. J.F. Shepard Jr., P.J. Moses, S.T. McKinstry, The wafer flexure technique for the determination of the
transverse piezoelectric coefficient (d31) of PZT thin films, Sens. Actuators A, 71, 1998, 133138.
19. J. F. Shepard Jr., F. Chu, I. Kanno, S. T. McKinstry, Characterization and aging response of the d31 piezo-
electric coefficient of lead zirconate titanate thin films, J. Appl. Phys., 85, 1999, 67116716.
20. M. A. Dubois, P. Muralt, Measurement of the effective transverse piezoelectric coefficient e31,f of AlN
and Pb(Zrx,Ti1x)O3 thin films, Sens. Actuators A, 77, 1999, 106112.
21. J. G. Smits and W. Choi, The constituent equations of piezoelectric heterogeneous bimorphs, IEEE
Trans. Ultrason. Ferroelectron. Freq. Control, 38, 1991, 256270.
22. G. Shirane, R. Newnham, R. Pepinsky, Dielectric properties and phase transitions of NaNbO3 and (Na,
K)NbO3, Phys. Rev., 96, 1954, 581588.
23. G. H. Haertling, Properties of hot-pressed ferroelectric alkali niobate ceramics, J. Am. Ceram. Soc., 50,
1967, 329330.
24. Y. Saito, H. Takao, T. Tani, T. Nonoyama, K. Takatori, T. Homma, T. Nagaya, M. Nakamura, Lead-free
piezoceramics, Nature, 432, 2004, 8487.
25. X. Wang, U. Helmersson, S. Olafsson, S. Rudner, L. Wernlund, S. Gevorgian, Growth and field depen-
dent dielectric properties of epitaxial Na0.5K0.5NbO3 thin films, Appl. Phys. Lett., 73, 1998, 927929.
26. A. Onoe, A. Yoshida, K. Chikuma, Heteroepitaxial growth of KNbO3 single-crystal films on SrTiO3 by
metal organic chemical vapor deposition, Appl. Phys. Lett., 69, 1996, 167169.
27. S. I. Khartsev, M. A. Grishin, A. M. Grishin, Characterization of heteroepitaxial Na0.5K0.5NbO3/
La0.5Sr0.5CoO3 electro-optical cell, Appl. Phys. Lett., 86, 2005, 062901.
28. T. Saito, T. Wada, H. Adachi, and I. Kanno, Pulsed laser deposition of high-quality (K,Na)NbO3
thin films on SrTiO3 substrate using high-density ceramic targets, Jpn. J. Appl. Phys., 43, 2004,
66276631.
29. K. Shibata, F. Oka, A. Ohishi, T. Mishima, I. Kanno, Piezoelectric properties of (K,Na)NbO3 films depos-
ited by RF magnetron sputtering, Appl. Phys. Express, 1, 2008, 11501.
30. K. Shibata, F. Oka, A. Nomoto, T. Mishima, I. Kanno, Crystalline structure of highly piezoelectric (K,Na)
NbO3 films deposited by RF magnetron sputtering, Jpn. J. Appl. Phys., 47, 2008, 89098913.
31. Y. Lee, G. Lim, W. Moon, A piezoelectric micro-cantilever bio-sensor using the mass-micro-balancing
technique with self-excitation, Microsyst. Technol., 13, 2007, 563567.
32. M. Renaud, K. Karakaya, T. Sterken, P. Fiorini, C. Van Hoof, R. Puers, Fabrication, modelling and char-
acterization of MEMS piezoelectric vibration harvesters, Sens. Actuators A, 145146, 2008, 380386.
33. H. C. Lee, J. Y. Park, Piezoelectrically actuated RF MEMS DC contact switches with low voltage opera-
tion, IEEE MicroWirel. Compon. Lett., 15, 2005, 202204.
34. G. Reveiz, J. Muldavin, RF MEMS switches and switch circuits, IEEE Microwave Mag., 2, 2001, 5971.
35. I. Kanno, Y. Tazawa, T. Suzuki, H. Kotera, Piezoelectric unimorph microactuators with X-shaped struc-
ture composed of PZT thin films, Microsyst. Technol., 13, 2007, 825829.
36. N. T. Nguyen, X. Huang, T. K. Chuan, MEMS-micropumps: A review, J. Fluids Eng., 124, 2002,
384392.
37. D. J. Laser, J. G. Santiago, A review of micropumps, J. Micromech. Microeng., 14, 2004, R35R64.
38. R. M. Moroney, R. M. White, R. T. Howe, Microtransport induced by ultrasonic Lamb waves, Appl.
Phys. Lett., 59, 1991, 774776.
39. N. T. Nguyen, R. M. White, Design and optimization of an ultrasonic flexural plate wave micropump
using numerical simulation, Sens. Actuators A, 77, 1999, 229236.
40. J. Ogawa, I. Kanno, H. Kotera, K. Wasa, T. Suzuki, Development of liquid pumping devices using vibrat-
ing microchannel walls, Sens. Actuators A, 152, 2009, 211218.
41. F. C. P. Yin, Y. C. Fung, Comparison of theory and experiment in peristaltic transport, J. Fluid Mech., 47,
1971, 93112.
Piezoelectric Thin Films for MEMS Applications 67

42. J. W. Hardy, J. E. Lefebvre, C. L. Koliopoulos, Real-time atmospheric compensation, J. Opt. Soc. Am.,
67, 1977, 360369.
43. J. Liang, D. R. Williams, D. T. Miller, Supernormal vision and high-resolution retinal imaging through
adaptive optics, J. Opt. Soc. Am. A, 14, 1997, 2884.
44. H. Hofer, L. Chen, G. Y. Yoon, B. Singer, Y. Yamauchi, D. R. Williams, Improvement in retinal image
quality with dynamic correction of the eyes aberrations, Opt. Express, 8, 2001, 631643.
45. N. Doble, G. Yoon, L. Chen, P. Bierden, B. Singer, S. Olivier, D. R. Williams, Use of a microelectrome-
chanical mirror for adaptive optics in the human eye, Opt. Lett., 27, 2002, 15371539.
46. J. A. Perreault, T. G. Bifano, B. M. Levine, M. N. Horenstein, Adaptive optic correction using microelec-
tromechanical deformable mirrors, Opt. Eng., 41, 2002, 561566.
47. E. Dalimier, C. Dainty, Comparative analysis of deformable mirrors for ocular adaptive optics, Opt.
Express, 13, 2005, 42754285.
48. I. Kanno, T. Kunisawa, T. Suzuki, H. Kotera, Development of deformable mirror composed of piezoelec-
tric thin films for adaptive optics, IEEE J. Select. Top. Quantum Electron., 13, 2007, 155161.
49. L. Zhu, P.-C. Sun, D.-U. Bartsch, W. R. Freeman, Y. Fainman, Wave-front generation of Zernike polyno-
mial modes with a micromachined membrane deformable mirror, Appl. Opt., 38, 1999, 60196026.
4 CMOS Systems and Interfaces
for Sub-Deg/Hr
Microgyroscopes
Ajit Sharma, Mohammad Faisal Zaman, and Farrokh Ayazi

CONTENTS
4.1 Introduction............................................................................................................................. 69
4.1.1 Principle of Operation................................................................................................. 70
4.1.2 Applications of MEMS Gyroscopes............................................................................ 70
4.1.3 Performance Metrics................................................................................................... 71
4.1.3.1 Resolution..................................................................................................... 71
4.1.3.2 Scale Factor................................................................................................... 71
4.1.3.3 Zero Rate Output and Bias Stability............................................................. 72
4.1.3.4 Bandwidth and Dynamic Range................................................................... 72
4.1.4 Review of Micromachined Gyroscopes...................................................................... 72
4.2 Electronic Control Systems in Gyroscopes............................................................................. 75
4.2.1 Drive Loop................................................................................................................... 75
4.2.2 Quadrature Nulling...................................................................................................... 76
4.2.3 Mode Matching............................................................................................................ 76
4.2.4 Sense Channel.............................................................................................................. 76
4.2.5 Self-Test and Trim........................................................................................................ 76
4.3 Case Study: Mode-Matched Tuning Fork Gyroscope............................................................. 77
4.3.1 Challenges and Trade-Offs in Microgyro Interfacing................................................. 77
4.3.2 Review of Microgyro Front Ends................................................................................ 79
4.3.3 Transimpedance Front Ends for Motional Current Detection.....................................80
4.3.4 Low-Noise Wide-Dynamic-Range T-Network TIA.................................................... 81
4.3.4.1 Design Considerations.................................................................................. 81
4.3.4.2 Characterization Results of T-Network TIA Front End............................... 82
4.3.5 Drive and Sense Channels...........................................................................................84
4.3.6 System Integration....................................................................................................... 86
4.4 Future Directions and Conclusions.......................................................................................... 87
References......................................................................................................................................... 89

4.1INTRODUCTION
A gyroscope is a sensor used to measure angle or velocity of rotation. Spinning wheel gyroscopes that
rely on conservation of angular momentum were used for most part of the previous century. However,
in recent years, the advent of the micromachining technology has made microelectromechanical
system (MEMS)-based angular rate sensors increasingly common. Micromachined gyroscopes use
vibrating elements to sense rotation and are devoid of any rotating parts or bearings. This makes them
ideally suitable for inexpensive batch fabrication using planar processes and for potential integration

69
70 MEMS: Fundamental Technology and Applications

with complementary metaloxidesemiconductor (CMOS) circuitry[1]. This chapter will, therefore,


focus on systems and CMOS circuits that interface with these micromachined gyroscopes.

4.1.1 Principle of Operation


Micromachined gyroscopes are based on the Coriolis effect, where rotation about an axis causes a
transfer of energy between two vibratory modes that are orthogonal to the axis of rotation and also
to each other. Consider the tuning fork shown in Figure 4.1. The tines of the tuning fork are excited
into resonance along the x-axis. This mode is referred to as the primary mode or drive mode. When
the tuning fork is rotated about the z-axis with an angular rate Z , there is an apparent force that
acts orthogonally on the tines. This apparent force is referred to as the Coriolis force and causes the

tines to deflect along the y-axis with an acceleration equal to 2v Z . The deflection of the tines
along the y-axisreferred to as the sense modeis proportional to the input rotation rate, Z , and
is the basis of all vibratory gyroscopes.

4.1.2Applications of MEMS Gyroscopes


Micromachined gyroscopes constitute one of the fastest growing segments in the microsensor
market. The application domain of these devices is quickly expanding from automotive to consumer
and personal navigation systems. A multitude of applications exist in the automotive sector, includ-
ing navigation, antiskid and safety systems, roll-over detection, next-generation airbag systems, and
antilock brake systems [1]. Consumer electronics applications include image stabilization in digital
cameras, smart user interfaces in handhelds, gaming, and inertial pointing devices.
Miniature gyroscopes can also be used for navigation purposes. Inertial navigation is the process
of determining the position of a body in space by using the measurements provided by accelerom-
eters and gyroscopes installed on the body [2]. Inertial measurement units (IMUs) are vital compo-
nents in aircraft, unmanned aerial vehicles, global positioning system (GPS) augmented navigation,
and personal heading references. Being self-contained, an IMU can perform accurate short-term
navigation of a craft/object in the absence of GPS signals [2]. The petroleum industry uses gyro-
scopic sensors for real-time monitoring and correction of drilling in offshore rigs. Guidance sys-
tems and platform stabilization of missiles are but a few of the military applications that require
accurate angular rate measurements.
Although bulk-mechanical and optical gyroscope technologies have successfully demonstrated
navigation-grade performance, their constituent components are difficult to miniaturize and
implement on silicon substrates using conventional CMOS fabrication techniques. Hence, MEMS
research has extensively focused on the development of vibratory gyroscopes that are capable of
approaching sub-degree per hour rate resolutions [1].

Coriolis
force

z
Z
x
y

Drive mode Sense mode

FIGURE 4.1 Demonstration of Coriolis force in a tuning fork subject to rotation.


CMOS Systems and Interfaces for Sub-Deg/Hr Microgyroscopes 71

4.1.3 Performance Metrics


Different parameters are used to specify a high-performance vibratory gyroscope system [3]. These
performance metrics are briefly discussed below.

4.1.3.1Resolution
The resolution of a gyroscope is the minimum rotation rate that can be distinguished from the noise
floor of the system per square root of bandwidth of detection and is expressed in units of /h/Hz.
The overall resolution of the microgyroscope (total noise equivalent rotation [TNE]) is deter-
mined by two uncorrelated components: the mechanical (or Brownian) noise equivalent rotation
(MNE) and the electronic noise equivalent rotation (ENE).

TNE = MNE2 + ENE2 (/h) (4.1)


Brownian motion of the structure caused by molecular collisions from surrounding medium
represents the mechanical noise component of any vibratory gyroscope [1]. By equating the dis-
placement caused by Brownian motion to the displacement induced by Coriolis acceleration, the
mechanical resolution of a vibratory microgyroscope is derived to be

1 4 k BT
MNE = BW ( /h) (4.2)
2qDrive o MQEFF

where quantities k B, T, and BW represent the Boltzmann constant (1.38 1023 J/K), operating tem-
perature (K), and measurement bandwidth (Hz), respectively. In the expression, o is the resonant
frequency of the sensor, M the mass, qDrive the amplitude of vibration along the reference axis, and
Q EFF the effective quality factor of the system.
The ENE of the microgyroscope depends on the minimum detectable capacitance (CMIN) of
the sense channel interface electronics and the mechanical scale factor (F//h):

CMIN
ENE = ( /h) (4.3)
Capacitive sensitivity

4.1.3.2 Scale Factor


Coriolis-induced sense mode deflections of the proof masses are detected through capacitive, piezo-
resistive, piezoelectric, or optical means. The scale factor of a microgyroscope is the ratio of a
change in output to a change in the input rotation rate. It is generally evaluated as the slope of the
straight line that can be fit by the method of least-squares to inputoutput data [3] and is commonly
expressed in units of V//s.
In a vibratory gyroscope, the sense mode deflections are proportional to the effective quality fac-
tor (Q EFF) and the drive amplitude qDrive. The Q EFF depends significantly on the distance between the
drive and sense resonant mode frequencies. Increasing sense mode deflections while maintaining a
high aspect ratio for the capacitive gaps for sensing allows for large changes in the sense capacitance
(C), thereby generating a larger electrical pick-off signal for a given input rotation rate. Therefore,
high effective quality factors, large drive amplitudes, low parasitics, and a high sense capacitance
aspect ratio all contribute to a high gyro scale factor, as shown in

2VP CSOQEFF qDrive


Scale factor (4.4)
(CSO + Cparasitics ) dsow o Z

72 MEMS: Fundamental Technology and Applications

4.1.3.3 Zero Rate Output and Bias Stability


Zero rate output (ZRO) is the output signal from the gyroscope in the absence of input rotation. The
drift of this ZRO bias (referred to as Bias drift and expressed in /h) is an important metric that
ultimately determines the long-term stability of a microgyroscope. Any long-term variations in the
rate, of which the bias drift is an accurate indicator, add up and cause a large error in angle informa-
tion when the gyro is used in an IMU. Modern gyroscope systems periodically calibrate themselves
with GPS to ensure that the heading information is accurate [2]. However, a long interval between
calibration sequences is crucial for applications such as deep-sea navigation and oil exploration,
where it is not possible to resurface often to calibrate with GPS. In such cases, the longer a system
can function accurately without the need for calibration, the better and more accurate heading and
orientation information it delivers.
The bias drift of a gyro is composed of systematic and random components. The systematic
components arise due to temperature variations, linear accelerations, vibrations, and other environ-
mental factors [3]. The random component has been found to have a 1/f characteristic and depends
significantly on the noise floor of the gyroscope. The Allan variance technique is used to specify the
drift of a microgyroscope [3]. Empirical studies [4] have formulated an expression that can predict
drift in gyroscopes:

w o2
NB (4.5)
QEFF Area Electrodes

4.1.3.4 Bandwidth and Dynamic Range


The bandwidth of the microgyroscope determines the response time of the system. This is the time
required for the output to settle within a certain range of the expected value for an input step func-
tion. The bandwidth requirements for a gyroscope depend on its application. Applications which
demand very low rate resolutions typically require small bandwidths (~1Hz), for example, for use
in gyrocompass navigation, where settling times of 1min are tolerable. However, applications such
as automotive roll-over detection require larger bandwidth to detect the high yaw rates associated
with vehicular skidding. Dynamic range refers to the range of input values over which the output is
detectable. Typically it is computed as the ratio between the maximum input rotation rate (full scale
range) that the sensor can tolerate and the system noise floor.

4.1.4 Review of Micromachined Gyroscopes


In the late 1980s, after successful demonstration of batch-fabricated silicon accelerometers, exten-
sive efforts were initiated to replace quartz with silicon in micromachined vibratory gyroscopes
[1]. In the last two decades, a number of vibratory gyroscope structures were demonstrated which
include: (i) tuning fork structures, (ii) vibrating beams or proof masses, (iii) vibrating shells, and
(iv) frame gyroscopes.
The first batch-fabricated silicon micromachined rate gyroscope was demonstrated by the Charles
Stark Draper Laboratory in 1991. This silicon bulk mechanical device was a double gimbal vibratory
gyroscope supported by torsional flexures [5] and a rotation rate resolution of 4/s was realized using
this structure.
Subsequently, in 1993, Draper Laboratory reported a silicon-on-glass tuning fork gyroscope [6],
as shown in Figure 4.2. This gyroscope was electrostatically vibrated in its plane using a set of inter-
digitated combs. A rotation signal normal to the drive mode would excite the out-of-plane rocking
mode of the structure which is capacitively monitored. The noise equivalent rate observed for this
structure was 0.1/s in a 60-Hz bandwidth.
Berkeleys z-axis vibratory rate gyroscope [7] resembled a vibrating beam design consisting
of an oscillating mass which was electrostatically driven into resonance using comb drives. Any
CMOS Systems and Interfaces for Sub-Deg/Hr Microgyroscopes 73

Perforated
masses (tines)

Drive
combs

Suspension

FIGURE 4.2 Draper Laboratorys silicon-on-glass tuning fork gyroscope. (From Bernstein, J. et al. A micro
machined comb-drive tuning fork rate gyroscope, Proc. IEEE Micro Electro Mechanical Systems Workshop
(MEMS 93), Fort Lauderdale, FL, USA, pp. 143148. (1993) IEEE. With permission.)

deflections resulting from Coriolis acceleration were detected differentially along the sense mode
using interdigitated combs, as shown in Figure 4.3. This device, 1mm across, was integrated with
a transresistance amplifier on a single die using the Analog Devices BiMEMS process and demon-
strated a resolution of 1/s/Hz.
Currently, a popular commercial micromachined silicon gyroscope is the Analog Devices
ADXRS iMEMS gyroscope series [8]. The sensor is implemented using a surface micromachining
process that integrates both the mechanical elements and electronics on a single die, as shown in
Figure 4.4. The ADXRS gyroscope displays a rate sensitivity of 12.5mV//s and an estimated Allan
deviation (bias drift) of 50/h.
Surface micromachined gyroscopes suffer from thin-film residual stress, squeeze-film damping,
and other problems associated with low mass. Therefore, significant research is focused toward
developing bulk-micromachined technologies that yield greater mass per unit area.
In 1999, Murata reported a DRIE gyroscope with decoupled sense and drive nodes with a reso-
lution of 0.07/s in a 10-Hz bandwidth [9]. Also reported by Samsung was a 40-m-thick single-
crystal silicon gyroscope fabricated using silicon-on-insulator (SOI) technology [10]. This device

500 m

FIGURE 4.3 Berkeleys z-axis single-chip gyroscope. (From Clark, W. A. and Howe, R. T. 1996. Solid
State Sensors and Actuator Workshop, Hilton Head Island, pp. 283287. Copyright Transducers Research
Foundation. With permission.)
74 MEMS: Fundamental Technology and Applications

FIGURE 4.4 Die picture of an Analog Devices ADXRS series microgyroscope. (From Geen, J. et al. Single-
chip surface micromachined integrated gyroscope with 50/h Allan deviation, IEEE Journal of Solid State
Circuits, 37(12), 18601866. (2002) IEEE. With permission.)

demonstrated a resolution of 0.015/s in 25-Hz bandwidth, a bias drift of 500/h, and a sensitivity
of 145mV//s.
In 2000, researchers at the University of Michigan developed a high-aspect ratio poly- and
single-crystalline silicon (HARPSS) process [11], capable of producing thick MEMS structures
while implementing sub-micron high-aspect ratio sensing and tuning capacitive gaps. A polysilicon
HARPSS vibrating ring gyroscope [12], as shown in Figure 4.5, demonstrated a rate sensitivity of
0.2mV//s, full-scale range of 250/s, and a measured noise floor of 0.1/s/Hz.
In 2002, the University of Michigan reported a high-resolution vibrating ring gyroscope, imple-
mented using (111) single crystalline silicon structural material [13]. The 150-m structural device
was defined by deep reactive ion etching and displayed a noise floor of 10/h/Hz in a 2-Hz band-
width with a reported rate sensitivity of approximately 130mV//s.

0003 10 kV 43 100 m WD45

FIGURE 4.5 Implementation of the polysilicon vibrating ring gyroscope using HARPSS. (From Ayazi, F. and
Najafi, K. A HARPSS polysilicon vibrating ring gyroscope, IEEE/ASME Journal of Microelectromechanical
Systems, 10, 169179. (2001) IEEE. With permission.)
CMOS Systems and Interfaces for Sub-Deg/Hr Microgyroscopes 75

4.2 ELECTRONIC CONTROL SYSTEMS IN GYROSCOPES


As silicon vibratory gyroscopes attain navigation-grade performance, the interface electronics that
actuate, sense, and control these micromechanical structures become key elements in determining
the overall performance of the microgyro system. Automotive and consumer product applications
require rate noise floors in the order of 1001000/h and must be able to sense rotation rates as
large as 500/s. Navigation-grade gyroscopes have similar full-scale ranges, but the noise floor
specifications are in the order of 0.1/h. This is approximately three orders of magnitude lower
than the requirements for the commercial counterparts. Since vibratory microgyroscopes, such
as micromachined accelerometers, are capacitive sensors, this translates to the need for ultra-low
noise front ends, able to detect sub-atto Farad capacitance changes [14,15]. In addition, while
mechanical structures can typically attain dynamic ranges in excess of 120dB, designing front-
end electronics with such large dynamic range is challenging. High-performance electronics for
the actuation, sensing, and control of the CVG are therefore essential to realize navigation-grade
performance. Figure 4.6 shows a schematic overview of the constituent system blocks in a typical
microgyroscope system.
On the basis of functionality, the electronics for any vibratory microgyroscope can be divided
into the following subsystems.

4.2.1Drive Loop
The drive loop electronics is responsible for starting and sustaining vibrations along the reference
axis, at constant amplitude. It is essential that constant drive amplitude is maintained, as any varia-
tions in the drive amplitude manifests a change in velocity of the mechanical structure, resulting in
false rate output. The drive loop uses an automatic level control (ALC) circuit to achieve and main-
tain constant drive amplitude. There are two approaches to implement the drive loop:

An electromechanical oscillator: Here the drive mode oscillations are started and sus-
tained by using a positive feedback loop that satisfies the Barkhausen criteria (loop
gain=1, loop phase shift=0). The gyroscope forms the frequency-determining element

DRV 0
Pre- Rate
DAC LPF
processing
Sense
C/V

Automatic channel
mode-matching
LPF
Quadrature
DRV 90
Microgyro

C/V
Self-test
and
Gain
trim
control
Drive
oscillator
Quadrature
nulling DRV 0
PLL
DRV 90

FIGURE 4.6 Overview of a typical micromachined vibratory gyroscope system.


76 MEMS: Fundamental Technology and Applications

of the electromechanical oscillator. A high mechanical quality factor for the drive reso-
nant mode (Q DRV) can significantly ease the design of the drive oscillator, enabling the
drive oscillations to be built up, and sustained, using much smaller AC voltage levels.
A phase-locked-loop (PLL) oscillator: Here the PLL center frequency and capture range
are set close to the drive resonant frequency of the gyroscope. On power up, the PLL locks
on to the output of the front-end IV converter. The PLL output is amplified or attenuated
to achieve the desired voltage amplitude and is used to drive the microgyroscope. The PLL
oscillator relies on the precise 90 phase shift occurring at drive resonance. A variable gain
amplifier is used to implement ALC.

4.2.2Quadrature Nulling
Fabrication imperfections of the mechanical structure results in off-axis movement of the proof
mass, causing a residual displacement along the sense axis even in the absence of rotation [7].
This is referred to as ZRO or quadrature error. A number of techniques have been used to null
quadrature error. Some of the earliest work involved trimming and bucking to control quadrature
error [16,17], but suffered from the fact that this did not track over temperature and sensor lifetime.
Other techniques involved servo-mechanisms, where a force was applied to the mass so as to null
displacement that is in phase with position [7]. The Analog Devices gyroscope uses a set of opti-
mally designed levers [8] to reduce quadrature error to less than 1ppm by improving the selectiv-
ity of the suspension flexures. Yet another technique that has been implemented is the use of torque
cancellation electrodes [18,19] to correct for any misalignment between the drive and sense axes.

4.2.3 Mode Matching


A Coriolis vibratory gyroscope (CVG) relies on the energy transfer between two resonant modes
to sense rotation. Gyroscope performance is enhanced when the mechanical sense frequency is
matched to the drive resonant frequency, due to the mechanical amplification provided by the effec-
tive quality factor (Q EFF). Again, due to the limits imposed by fabrication tolerances, the drive
and sense frequencies are seldom equal. Therefore, it is necessary to use electronic or mechanical
means to decrease, and eventually null, the separation between the two resonant frequencies [18].
This mode-matching is usually achieved by varying the mechanical bias voltages on the MEMS
structure until the frequencies are equalized [20].

4.2.4Sense Channel
The amplitude of sense-mode deflections is modulated by the applied input rotation rate. The primary
function of the sense channel is to extract the input rotation information from the gyroscope output.
Phase-sensitive demodulation allows for rejection of the interfering mechanically generated quadrature
error, due to the inherent 90 phase difference between the quadrature and Coriolis signals. The AM
Coriolis output is synchronously demodulated using the drive oscillator signal. The low-pass-filtered
signal is proportional to the input rotation rate and may be amplified if necessary at a later stage.

4.2.5Self-Test and Trim


To be viable in a large-scale manufacturing environment, microgyroscope systems are equipped
with a self-test capability to ensure quality and reliability. Apart from significantly reducing test
times at the production facility, this allows for calibration of the sensor in the field. Currently, most
gyroscope systems use a certain amount of post-fabrication trimming to account for microfabrica-
tion imperfections. With the development of more advanced lithographic tools and etching systems,
these trimming procedures can be minimized.
CMOS Systems and Interfaces for Sub-Deg/Hr Microgyroscopes 77

4.3 CASE STUDY: MODE-MATCHED TUNING FORK GYROSCOPE


Figure 4.7 shows the scanning electron micrograph (SEM) view of a 1.51mm2, in-plane, mode-
matched tuning fork gyroscope (M2-TFG) [20] fabricated on a 40-m thick SOI substrate, using
a simple two-mask process similar to the one used for micro-gravity accelerometers reported in
Ref. [15]. The gyroscope is comprised of two proof masses, supported by flexural springs and
anchored at a central post. Actuation, sensing, quadrature nulling, and tuning electrodes are distrib-
uted around the proof masses and flexures.
The sensor structure is maintained at a DC polarization voltage (VP) to provide the bias for
capacitive transduction, to prevent frequency doubling of the drive force [21], and for electrostatic
frequency control. The proof masses are driven at resonance along the x-axis using interdigitated
comb drives. When the sensor undergoes a rotation about the z-axis, the resultant Coriolis accel-
eration causes the proof masses to vibrate along the y-axis [1]. This rotation induced proof-mass
motion causes the gap between the sense electrode and the proof mass to change, proportional to
the applied rate, and is detected electronically. The gyroscope is a resonant sensor, and the drive
and sense modes have been designed to yield mechanical quality factors in excess of 40,000 [20].
The resonant frequencies are in the range 1020kHz. Figure 4.8 shows the complete implemented
interface electronics for the M2-TFG presented in this case study.

4.3.1Challenges and Trade-Offs in Microgyro Interfacing


The minimum detectable rotation rate depends on the Brownian noise floor of the sensor and the
electronic noise floor of the front-end interface. Since a fixed DC potential (VP) is maintained across
the sense gap (dso), Coriolis-induced y-axis displacement of the proof mass in response to input
rotation Z changes the sense rest capacitance (CSO), generating a motional current ISENSOR, given by
2VP CSOQEFF qDrive
I SENSOR = Z (A) (4.6)
dso
For a parallel-plate capacitive transducer, the minimum detectable capacitance change (CMIN)
is proportional to the input-referred current noise of the interface electronics integrated over the
bandwidth of interest, as given by

I noise BW
CMIN = (F/ Hz ) (4.7)
w 0VP
Parallel-plate sense
electrodes

Proof
Drive mode z
mass Central y
drive
Proof x
electrode
mass

Sense mode

FIGURE 4.7 SEM of the M2-TFG and illustration of the mode shapes. (From Sharma, A., Zaman, M. F., and
Ayazi, F. A 104 dB dynamic range transimpedance-based CMOS ASIC for tuning fork microgyroscopes. IEEE
Journal of Solid-State Circuits, 42(8), 17901802. (2007) IEEE. With permission.)
78 MEMS: Fundamental Technology and Applications

DRV 90
Sense LPF Quadrature
~5 m
gap

1 & 5 shorted
LPF
Proof Drive Sense channel Rate
DRV 0
mass comb
4 & 8 shorted
1 LPF
2
Drive and sense
3
electrode close-up 4
8
7
DRV 0 DRV 90
ALC
6
5 4
MEMS die
at VP
VCO

Drive oscillator
PLL (off-chip)

FIGURE 4.8 Implemented M2-TFG interface electronics showing drive oscillator and sense channel. Inset:
Close-up SEM showing the 5-m sense gap and drive comb fingers. (From Sharma, A., Zaman, M. F., and
Ayazi, F. A 104 dB dynamic range transimpedance-based CMOS ASIC for tuning fork microgyroscopes. IEEE
Journal of Solid-State Circuits, 42(8), 17901802. (2007) IEEE. With permission.)

While the use of high-aspect ratio micromachining techniques such as HARPSS [22] can lower
the ENE by increasing mechanical sensitivity, the focus of this case study will be to present circuit
techniques to reduce the total input-referred current noise of the sensor electronics. The theoretical
MNE of the sensor used here is 0.5/h/Hz, which means the electronic front end must be able to
detect a proof-mass displacement as small as 0.1, or resolve a capacitance change of 0.02aF/Hz
at the sensor operating frequency (~15kHz).
The drive resonant mode of the TFG can be modeled as a two-port series RLC circuit [23,24].
The reactive elements determine the mechanical frequency of resonance and the motional resis-
tance (RMOT-DRV) represents the transmission loss element. The value of RMOT is obtained by equat-
ing the mechanical energy dissipated per cycle to the electrical energy supplied by the sustaining
sources. To avoid lateral snap-down and maximize the drive displacement, the gap, g, between
adjacent comb electrodes must be increased [25]. To achieve drive amplitudes of about 45m, the
gap between adjacent combs must be at least 7m, which results in this microgyroscope having a
drive motional impedance of about 16M in vacuum.
Large motional impedances require a large gain to be provided by the sustaining circuitry in the
drive oscillator loop. These also require a higher AC drive voltage to be applied to the comb-drive
electrodes, thereby dissipating more power. Circuits that achieve large on-chip gains for capacitive
detection, with low power and area overheads, are therefore necessary.
The M2-TFG is fabricated using a bulk-micromachining technology which allows for the fabri-
cation of MEMS structures with narrow capacitive gaps and large inertial mass [20]. The sensor
is fabricated on a different substrate and is connected directly to the IC via wire bonds as shown
in Figure 4.9. A two-chip implementation allows decoupling of the MEMS design and fabrication
from the design of the interface electronics. Sensor performance can be improved considerably,
unlike [8], by leveraging the benefits of high-aspect ratio mixed-mode processes [11]. Second, stan-
dard CMOS processes can be used, which significantly lowers cost and allows the electronics to be
optimized for low power dissipation, speed, and reliability. However, the front-end analog interface
must be strategically chosen to ensure that the sub-pico-ampere-level motional currents can be
detected even in the presence of the increased parasitics.
CMOS Systems and Interfaces for Sub-Deg/Hr Microgyroscopes 79

Reactive elements determine Motional


frequency of resonance impedance
ISNS CF (stray capacitance)
VP
IF
Equivalent circuit model of a resonant sensor
RF
Bond wire (R ~ 10 )

Proof ISNS v2op-amp


mass +
Vout
VP
CPAD 2 pF CPAD 2 pF i2op-amp +
Cso + Cso Avo, P1

VP

MEMS die IC chip


(40-m SOI) (Standard CMOS)

FIGURE 4.9 Schematic representation of a TIA (with noise sources) interfaced with the microgyroscope.
Inset: Series RLC model of a resonant microstructure. (From Sharma, A., Zaman, M. F., and Ayazi, F. A 104
dB dynamic range transimpedance-based CMOS ASIC for tuning fork microgyroscopes. IEEE Journal of
Solid-State Circuits, 42(8), 17901802. (2007) IEEE. With permission.)

4.3.2 Review of Microgyro Front Ends


Several techniques have been used in electronic front ends to sense the small capacitive displace-
ments in MEMS gyroscopes. Charge integration using switched capacitor front ends with correlated
double sampling was initially used for static MEMS accelerometers [14,15], but have recently been
used for microgyroscopes [26,27]. These schemes are best suitable for microgyroscopes with low
operating frequency (<5kHz) because of the power budget associated with the switching and clock
generation [28]. In addition, the effects of the capacitive loading of these front ends on the micro-
gyroscope quality factor have not been studied. Further, the use of such front ends necessitates
a switching voltage to be applied to the mechanical structure, which results in significant feed-
through and parasitic electrical coupling.
In Ref. [12], a unity gain, CMOS source follower amplifier was used as the front end to detect
capacitance changes in a vibrating polysilicon ring gyroscope. The DC bias at the pick-off electrode
was set using a minimum geometry diode. The noise injected by the diode at the input significantly
degrades performance [19]. Further, special techniques such as internal bootstrapping and feedback
need to be applied to minimize the capacitance of the input transistor. Finally, the use of a unity
gain buffer does not allow independent control of the signal-to-noise ratio (SNR) of the electronic
front end.
Continuous time (CT) charge integrator front ends are attractive for sensing capacitive dis-
placements in microgyroscopes [7,8], because at typical operating frequencies, much larger AC
impedances can be generated in a standard CMOS process using capacitors rather than resistors.
Additionally, since these capacitors are not switched, there is no kT/C noise associated with
them. However, the CT charge integrator requires the use of a large resistor to bias the input
node. Various techniques such as the use of controlled impedance FETs [8] and sub-threshold
MOSFETS [7] have been proposed in the literature to implement these feedback resistors. The
thermal noise of this resistor forms the dominant noise contributor of the front end and deter-
mines the overall performance.
80 MEMS: Fundamental Technology and Applications

4.3.3Transimpedance Front Ends for Motional Current Detection


Figure 4.9 shows a schematic of a CT-TIA interfaced with a microgyroscope. This work differs
significantly from Refs. [7] and [8], in that the TIA has been optimized for noise is used as the
front end in both the drive loop, as well as for sub-attoFarad capacitive detection in the sense chan-
nel. Further, the gain of the TIA is variable and the proof mass is maintained at a constant DC
potential unlike Refs. [7,26,27]. In Figure 4.9, RF is the feedback resistance and CF is the associ-
ated stray capacitance. The lumped parasitic capacitance at the inverting terminal of the op-amp,
referred to as C TOT, is composed of the electrode to substrate capacitance on the MEMS die
(CPAD-MEMS~1.5pF), the interface IC pad capacitance (CPAD-ASIC~1.5pF), and the gate capaci-
tance of the input differential pair transistors (CGS-IN~0.5pF) of the op-amp.
The high open-loop DC gain of the op-amp ensures that the inverting terminal is a good virtual
ground, and the shuntshunt feedback presents low input impedance to the high-impedance sensor
pick-off node. This makes the signal path relatively insensitive to the total parasitic capacitance
(CTOT=CPAD-MEMS+CPAD-ASIC+CGS-IN), preventing significant signal loss. The low input imped-
ance provided by the shuntshunt feedback further helps to reduce the loading that the sustaining
electronics will have on the mechanical quality factor of the gyroscope drive mode.
The TIA interface allows the proof masses to be maintained at a constant DC potential unlike
Refs. [2527], where an AC capacitance bridge configuration is used. Applying a switching signal
to the proof masses as in Ref. [27] increases the amount of electronic coupling into the ZRO of the
gyroscope. By maintaining the proof mass at a constant DC potential, any spurious signal cou-
pling into the sensing electrodes is eliminated, and the number of demodulation and filtering stages
required are minimized, thereby lowering power consumption.
2
Figure 4.9 shows the main noise contributors in the transimpedance front end, where vop-amp
2
and iop-amp are the input-referred voltage and current noise of the core op-amp, respectively, and
IF=4k BT/RF represents the thermal noise power of the feed-back resistor (RF). Since the sensor out-
put is a current proportional to proof-mass displacement, the total input-referred current noise of the
TIA front end determines the minimum detectable capacitance (Equation 4.5) and hence resolution
of the microgyroscope. The equivalent input noise current (IN-TOT) [29] for a TIA front end is given
by Equation 4.8 which includes effects of both, the total parasitic capacitance seen at the input node
2
(CTOT), and the input resistance of the core amplifier, RIN-op-amp. The noise contributions of iop-amp and
of RIN-op-amp are ignored for succinctness:

4 k BT 1
2
iN-TOT + vop-amp
2
+ w 2CTOT
2
(4.8)
RF RF
2

In a bandwidth of 10Hz about the sensor operating frequency, the equivalent input noise spectrum
is assumed white, and thermal noise of the feedback resistor forms the dominant noise contributor.
The advantage of using a TIA front end is evident when we consider the SNR of the front end inter-
faced with a microgyroscope. A TIA with a transimpedance gain RF yields an output signal voltage
of ISNSRF (for input motional current ISNS) and an output noise voltage of 4kBTRF. The amount of
displacement current iBrownian due to the random Brownian motion of the proof mass along the sense axis
can be derived by applying the equi-partition theorem [22,30] to the M2-TFG at resonance and comput-
ing the noise displacement xn [22,24]. The sense resonant mode of the microgyroscope can be modeled
as a second-order system with an equivalent series RLC representation, very similar to that presented
for the drive mode. The Brownian noise displacement is related to the mechanical motional resistance
of the sense mode (RMOT-SNS) and the equivalent Brownian noise current is derived (Equation 4.9).

2
C 4 k BT
2
iBrownian = w o2VP2 so xn2 = (4.9)
x RMOT-SNS

CMOS Systems and Interfaces for Sub-Deg/Hr Microgyroscopes 81

By using ISNS=iBrownian, the overall SNR is derived to be

RF
SNR = (4.10)
RMOT-SNS

Therefore, increasing RF improves the total SNR of an angular rate sensor. From Equations
4.8 and 4.10, it is evident that a large RF for capacitive detection is beneficial not only in terms of
increased transimpedance gain, but also for better SNR and lower input current noise. Therefore,
the basis of this case study is to focus on strategies that yield large on-chip transimpedance.

4.3.4Low-Noise Wide-Dynamic-Range T-Network TIA


Large transimpedance gains can be implemented on-chip in a number of ways [7,8,31,32]. In Ref. [8],
the transresistance was implemented using a controlled impedance FET. In Ref. [31], long MOSFETs
biased in the linear regime using a constant voltage were used. MOS-bipolar pseudo-resistors are
used in Ref. [32] for generating large resistances, but the maximum bandwidth obtained for the
neural amplifier was 7.2kHz. The main disadvantage of these approaches is that real-time control
of the transresistance gain is not possible. Variation of the transresistance is possible to some extent
using the approach proposed in Ref. [8], but it involves variation of the duty cycle used to switch the
controlled impedance FET. A variable duty cycle-controlled resistance contributes to the bias drift
of the sensor and was therefore not adopted for this work. The strategy adopted in this work is to
implement the feedback resistor in a TIA using a T-network of resistors. The implemented T-network
TIA provides both high gain and low noise for sub-attoFarad capacitive detection in an area and
power-efficient manner. Further it allows for a simple analog control of the transimpedance without
excessive phase shift, and any DC offset can be nulled by varying the bias voltage at the base of the T.

4.3.4.1 Design Considerations


Figure 4.10 shows the complete schematic of the implemented T-network TIA front end, inter-
faced for capacitive detection. The op-amp used is a noise-optimized, two-stage miller-compen-
sated OTA. The equivalent transimpedance of the T-network TIA (RF-EQ) is given by Equation 4.11,

VDD

2 A

M1 M2
1 A Vin 300/3 300/3
2 pF Vout

10 1 1.5/6 1.5/6 CL
80 k M3 M4
VSS
Start-up circuit Constant-gm Bias
CF VCNTRL T-network
R1 R2
R2
R3 VP
Iin
Iin
CTOT R3
Vout
+ Cs C
CTOT VOFFSET NULL
+

VOS

FIGURE 4.10 Circuit schematic T-network TIA interfaced for capacitive detection. (From Sharma, A.,
Zaman, M. F., and Ayazi, F. A 104 dB dynamic range transimpedance-based CMOS ASIC for tuning fork
microgyroscopes. IEEE Journal of Solid-State Circuits, 42(8), 17901802. (2007) IEEE. With permission.)
82 MEMS: Fundamental Technology and Applications

where the voltage divider formed by R2 and R3 in the feedback path provides an amplification of the
equivalent transimpedance:

Vout R
RF -EQ = R1 1 + 2 + R2 (4.11)
IS R3

The primary advantage of using the T-network is that it reduces the resistance levels to be placed
on-chip, making on-chip integration tractable. In this work, R1 is implemented as a long MOS tran-
sistor operating in the triode or deep-triode regions, and R2 and R3 were on-chip poly-resistors. The
MOSFET adds a degree of gain control to the transimpedance, which can be used for temperature
compensation or for ALC applications. The resistances are designed such that R1>>R2 and R3.
From Equation 4.11, it might seem that arbitrarily high transimpedance can be obtained by increas-
ing the R2/R3 ratio. However, in practice, bandwidth, noise, offset, and stability trade-offs limit the
choice of this ratio [33].
For the case of the T-network TIA interfaced to a capacitive sensor, the SNR of the front end
degrades by a factor of (1+R2/R3) as given by Equation 4.12. This places a limit on the maximum
transimpedance that can be used in the front end:

RF-EQ
SNR =
R (4.12)
RMOT-SNS 1 + 2
R3

The relationship to prevent excessive noise increase due to the T-networks amplification of the
op-amps voltage noise is therefore given by

R2 C
TOT (4.13)
R3 CF

CTOT for the two-chip solution varies between 2 and 5pF. The stray feed-through capacitance
(CF) between the input and the output is typically around 100500fF. An R2/R3 ratio of 2 was there-
fore chosen as it allowed for sufficient amplification of the transimpedance gain, without excessively
increasing the noise gain.
DC offset restricts the maximum output signal swing, thereby determining the upper limit of the
dynamic range. The expression for the output DC voltage due to finite offset (VOS) for a T-network
TIA interfaced directly with a capacitive sensor is given by Equation 4.14, which interestingly is the
value of the noise gain at DC. Optimizing the R2/R3 ratio for SNR automatically minimizes effects
of DC offset.

R
VO = 1 + 2 VOS (4.14)
R3

4.3.4.2 Characterization Results of T-Network TIA Front End


The transimpedance gain of the T-network TIA was characterized for different values of gate con-
trol voltage (VCNTRL) and is plotted in Figure 4.11a. At 10kHz, the transimpedance gain can be var-
ied between 0.2 and 22M by varying the gate control voltage of the MOS resistor in the feedback
T. A transimpedance as large as 25M has been implemented on-chip, in a fraction of the area
consumed otherwise. Optimizing the R2/R3 ratio has ensured that there is no gain peaking at the
frequencies of interest, as is evident from Figure 4.11a.
CMOS Systems and Interfaces for Sub-Deg/Hr Microgyroscopes 83

(a) (b) 1011


VCNTRL = 0.96 V, RF = 1.6 M
170 VCNTRL = 1.0 V, RF = 0.72 M
VCNTRL = 0.5 V VCNTRL = 1.1 V, RF = 0.2 M
160
150 V
CNTRL = 0.8 V
Transimpedance (dB)

1012
140 VCNTRL = 0.9 V

A/sqrt (Hz)
130 V
CNTRL = 0.96 V
120 VCNTRL = 1 V
110 1013
VCNTRL = 1.1 V
100
90
80
1.0E+03 1.0E+04 1.0E+05 1.0E+06 1.0E+07 1014 3
10 104
Frequency (Hz) Frequency (Hz)

FIGURE 4.11 (a) Transimpedance gain characterization of the T-network TIA. (b) Measured input-referred
current noise for the front-end T-network TIA as a function of RF. (From Sharma, A., Zaman, M. F., and Ayazi,
F. A 104 dB dynamic range transimpedance-based CMOS ASIC for tuning fork microgyroscopes. IEEE
Journal of Solid-State Circuits, 42(8), 17901802. (2007) IEEE. With permission.)

In reality, it is impossible to directly measure the input-referred noise of a circuit. The total output
noise of the T-network TIA was measured using a spectrum analyzer for different values of VCNTRL.
The measured output voltage noise is divided by the measured transimpedance to yield the total input-
referred current noise of the TIA. Figure 4.11b plots the measured total input-referred current noise
of the T-network TIA for different values of transimpedance. Figure 4.11b clearly shows that with
increasing RF, the current noise floor decreases, as predicted by Equation 4.8. Therefore, a larger RF
lends to a lower noise floor and hence smaller minimum detectable capacitance. In the region between
1 and 10kHz, flicker noise is still significant and accounts for the 1/f characteristic. The noise gain
peaking due to the effect of the capacitance at the input node (CTOT) is clearly visible from the plot.
However, this noise gain peaking occurs beyond the sensor operating range (1020kHz) and there is a
minima in the noise floor for the case of RF=1.6M within the sensor operating range [34].
When interfacing CMOS front ends with high-Q narrow-band resonant MEMS sensors, the spot
noise of the interface at the sensor resonant frequency determines the minimum detectable capaci-
tance. At 15kHz, the T-network TIA with a VCNTRL of 0.96V has a transimpedance gain of 1.6M
and an input-referred current noise of 88100fA/Hz. This corresponds to a capacitive resolution
of 0.020.04aF/Hz at 15kHz (VP=40V). This is an order of magnitude better than that reported
for the CT integrator of Ref. [31] and of the same order as the transcapacitance amplifier of Ref.
[8]. Further, this is comparable to the capacitive resolution of the chopper-stabilized front-end inter-
face of Ref. [28], has been attained without any power-consuming switching, and further, does not
require any clock generation.
The maximum dynamic range provided by the front-end T-network TIA for sensing is therefore
of interest. The maximum dynamic range is defined as [29]

Maximum output signal


DR MAX = (4.15)
noise floor bandwidth

From Figure 4.12a, the measured output spot voltage noise of the T-network TIA at 10kHz is
about 250300nV/Hz for the case of RF=1.6M (VCNTRL=0.96V). This is slightly higher than
the thermal noise from an ideal 1.6-M resistor, which must be expected because of the noise gain
of the T-network. This noise is integrated over a bandwidth of 10Hz and is used to determine the
lower bound of the dynamic range.
84 MEMS: Fundamental Technology and Applications

(a) Output voltage noise measured (b) 0.6


105
VGC = 0.96 V
PMOS-OTA
0.5
Maximum nonlinearity 2%

106 0.4

Vout (VRMS)
V/sqrt (Hz)

0.3

107 0.2

0.1
Measured
Ideal
108 0
103 104 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
Frequency (Hz) Vin (VRMS)

FIGURE 4.12 (a) Measured output voltage noise of the core amplifier and the T-network TIA for RF of
1.6M. (b) Measured SNR plot of the T-network TIA for an RF of 1.6M at 10kHz. (From Sharma, A.,
Zaman, M. F., and Ayazi, F. A 104 dB dynamic range transimpedance-based CMOS ASIC for tuning fork
microgyroscopes. IEEE Journal of Solid-State Circuits, 42(8), 17901802. (2007) IEEE. With permission.)

DC offset limits the output swing, thereby determining the maximum linear range of the front-
end interface. To find the maximum (nondistorted) SNR, that is, signal-to-(noise+distortion) ratio
(SNDR) for the circuit, the input voltage level was swept upwards until the output signal was found
distorted. The maximum linear output swing of the TIA with TZ gain of 1.6M at a frequency of
10kHz is limited to about 0.4VRMS, as shown in Figure 4.12b. Beyond this level, the nonlinearity in
the output exceeds 2%, which is unacceptable. The maximum dynamic range computed for a 10-Hz
bandwidth is found to be at least 104dB, at the sensor resonant frequency.

4.3.5Drive and Sense Channels


Reference vibrations of the proof masses along the drive (x)-axis are excited using a PLL oscillator
circuit that locks on to the mechanical drive resonance frequency of the TFG. The T-network TIA
is used as the front end to convert the motional current from the drive combs into a voltage [34].
An off-chip PLL [35] locks on to this voltage signal and provides the required phase-shifted signals
to sustain electromechanical drive oscillations as well as to perform the various signal-processing
operations in the sensor system. The output square wave signal from the PLL is attenuated and
applied to the central comb-drive electrode. The level of the PLL output is set so as to achieve the
desired drive amplitude (qDrive). The VCO center frequency and the track/capture range are set such
that drive oscillations are maintained over a wide range of temperature.
The rotation-induced Coriolis acceleration deflects the proof masses along the y-axis, exciting the
sense resonant mode of the M2-TFG. The sense resonant output (carrier signal) is amplitude modu-
lated by the magnitude of the input rotation signal. The rotation information is extracted from the
sense output by performing a synchronous demodulation using the PLL output that is proportional to
the proof-mass velocity. A CMOS Gilbert multiplier with 200-k on-chip poly-load resistors is used
for the multiplication. Figure 4.13 shows the mixer schematic and input and output test waveforms.
The output of the Gilbert multiplier is low-pass-filtered to yield an analog signal proportional to
the rotation rate. The integrated, active first-order low-pass filter uses a 1.5-nF off-chip capacitor to
set the cut-off frequency to 100Hz and has a low pass gain of 2. The rate signal from the two chan-
nels is converted into a single-ended signal using an off-chip instrumentation amplifier.
The M2-TFG was placed on a rate table and its scale factor was characterized as shown in
Figure 4.14. The measured scale factor from one of the channels is 2mV//s, with a maximum
CMOS Systems and Interfaces for Sub-Deg/Hr Microgyroscopes 85

(a) (b)
DRV 90
Quadrature
AM signal at LPF RL RL
sensor VOUTP VOUTN
frequency LPF Rate

DRV 0 DRV 90
4 IBIAS
Drive signal
from EM VCO fcarrier
oscillator
VIN2
PLL (off-chip)

FIGURE 4.13 Schematic of the sense channel showing (a) IQ demodulation and (b) Gilbert cells used for
multiplication. (From Sharma, A., Zaman, M. F., and Ayazi, F. A 104 dB dynamic range transimpedance-
based CMOS ASIC for tuning fork microgyroscopes. IEEE Journal of Solid-State Circuits, 42(8), 17901802.
(2007) IEEE. With permission.)

nonlinearity of 3% over the measured range. The sources of nonlinearity in the sense channel
signal-processing chain are the slight difference in the capacitive gaps on the MEMS structure, the
nonlinearity of the front-end TIA, and more significantly, the incomplete cancellation of the higher-
order harmonic terms as the Gilbert cell was operated in a single-ended configuration.
Figure 4.14 also shows the sensor response to a 1.5-Hz sinusoidal input rotation as well as the
response of the microgyroscope to both positive (CCW) and negative (CW) input step rotations.

1 5mv 0.00s 5.00s/ Stop

CCW
10

Rest 8

6
CW
4
X = 10.00 s 1/X = 100 mHz Y(1) = 5.31 mV
2

0
Output voltage (mV)

20 10 2 0 10 20
20 mV 1.37s 300 m/s Stop
4

10
Rotation rate (/s)
X = 690.0 ms 1/X = 1.4000 Hz Y(1) = 113 mV

FIGURE 4.14 Scale factor of the microgyroscope and measured output of the microgyroscope to sinu-
soidal and step input rotations. (From Sharma, A., Zaman, M. F., and Ayazi, F. A 104 dB dynamic range
transimpedance-based CMOS ASIC for tuning fork microgyroscopes. IEEE Journal of Solid-State Circuits,
42(8), 17901802. (2007) IEEE. With permission.)
86 MEMS: Fundamental Technology and Applications

4.3.6System Integration
The noise floor and long-term stability of the M2-TFG interfaced with electronics was character-
ized by performing an Allan variance analysis [3] on the ZRO. For microgyroscopes, the root Allan
variance is the preferred means of specifying the noise floor rather than the power spectral density
and is the method adopted in this work. The ZRO from one of the channels was buffered with an
off-chip amplifier with a gain of 10 and sampled every 100ms for a period of 12h using a digital
multimeter. The root Allan variance plot obtained without applying any pre-whitening or filtering is
shown in Figure 4.15 and the inset shows a time slice of the sampled ZRO. The slope at short cluster
times () yields the angle random walk (ARW) which is a measure of the white noise in the system.
The ARW is 0.045/h which corresponds to a measured noise floor of 15V/Hz (96dBV/Hz)
in the signal bandwidth (110Hz) for the entire microsystem. The output-referred total equivalent
noise density (MEMS plus electronics) is therefore 2.7/h/Hz. This is about an order of magnitude
better than commercially available gyroscopes [8] and is one of the lowest recorded for a silicon
vibratory gyroscope.
The second significant performance metric is the bias drift, which is a measure of the long-term
stability of the microgyro system. The minimum of the Allan variance plot gives the value of the
bias drift of the system [3], which for this case is 1/h. This is about 50 better than [8] and is one
of the lowest recorded for MEMS gyroscopes till date.
The increase in the root Allan variance at large cluster times indicates the presence of a rate ran-
dom walk component [3,36]. In this case, it is attributed to the incomplete nulling of the quadrature
error in the MEM structure. Despite a low-noise TIA front end, the measured spot rate noise floor
at the output of the microgyroscope system is slightly higher than the theoretical noise floor of the
MEM sensor itself 0.5/h/Hz. This is primarily due to the amplification of the TIA noise by the gain

500

400

4 300

200
Output (V)

3.5 100

0
100
3
200
Time slice of the
300
zero rate output
2.5 400
500
/h

6.4 6.5 6.6 6.7 6.8 6.9 7


Time (s) 104
2
ARW
0.445/h
1.5

Bias drift 1/h


0.5
101 100 101 102 103
Sampling time (s)

FIGURE 4.15 Root-Allan variance of the M2-TFG interfaced with electronics showing a bias drift of 1/h.
Inset: Time slice of the recorded ZRO. (From Sharma, A., Zaman, M. F., and Ayazi, F. A 104 dB dynamic
range transimpedance-based CMOS ASIC for tuning fork microgyroscopes. IEEE Journal of Solid-State
Circuits, 42(8), 17901802. (2007) IEEE. With permission.)
CMOS Systems and Interfaces for Sub-Deg/Hr Microgyroscopes 87

FIGURE 4.16 0.6-m CMOS ASIC for gyroscope drive and sense channels. (From Sharma, A., Zaman, M.
F., and Ayazi, F. A 104 dB dynamic range transimpedance-based CMOS ASIC for tuning fork microgyro-
scopes. IEEE Journal of Solid-State Circuits, 42(8), 17901802. (2007) IEEE. With permission.)

TABLE 4.1
Summary of Key Sensor and IC Parameters
Parameter Measured Value
Sensor capacitive sensitivity 80 aF//s
Amplitude of drive voltage applied 130mV
Range of minimum detectable C (at 15kHz) 0.022aF/rt(Hz)
Linear dynamic range of front-end T-TIA 104dB
Rate sensitivity of gyro+IC 2mV//s
Die area (0.6-m CMOS) 2.25mm2
Total power consumption 15mW (1.5V)
Output voltage noise level at 10Hz 15 V/rt (Hz)
Measured rate noise floor 2.7/h/rt (Hz)
Sensor+electronics bias stability 1/h
Source: Sharma, A., Zaman, M. F., and Ayazi, F. A 104 dB dynamic range transimpedance-based CMOS
ASIC for tuning fork microgyroscopes. IEEE Journal of Solid-State Circuits, 42(8), 17901802.
(2007) IEEE. With permission.

of the remaining portion of the sense signal chain. Additionally, the noise contribution of the sub-
sequent signal-processing stages, which include the multiplier, low-pass filters, and external buffers,
adds to the overall output-referred noise floor. This can be significantly decreased by the use of bipo-
lar stages [8] or by the use of low-noise chopper stabilization techniques [37] in the final output stage.
Figure 4.16 shows the micrograph of the 3-V, 0.6-m CMOS IC that is interfaced to M2-TFG using
wire bonds on a custom PCB [34]. The key microsystem parameters are summarized in Table 4.1.

4.4 FUTURE DIRECTIONS AND CONCLUSIONS


Most of the research and development of silicon micromachined gyroscopes over the last decade
have been driven by the automotive industry. The performance of micromachined gyroscopes has
significantly improved over a rather short period, as illustrated in Figure 4.17 which is based on a
88 MEMS: Fundamental Technology and Applications

103

UC Berkeley
[Juneau]
102 Draper Labs
[Greiff]
U Mich
Angle random walk (/h)

Draper Labs [Ayazi]


101 UC Berkeley
[Bernstein]
[Clark] Analog Devices

100
JPL
Robert Bosch
U Mich GmBH
[He]
101

This work
102

Performance improvement is about 10 every two years


103
93

95

03

05

07

09
97

01
99
91

19

19

20

20

20

20
19

20
19
19

Year

FIGURE 4.17 Performance of micromachined gyroscopes has improved by a factor of 10 every 2 years since
1991.

sample of devices reported in the literature (Juneau [38] and JPL [39]). Since 1991, the resolution of
micromachined gyroscopes, indicated by the random angle walk, has improved by a factor of 10
every 2 years as shown below. It is anticipated that a continuing improvement in the performance
of micromachined gyroscopes (resolution, bias stability, dynamic range, etc.) will be seen over the
next decade. This will open up new avenues and market segments for micromachined gyroscopes,
specifically inertial navigation for military and civilian applications.
Performance improvement is but one aspect of microgyroscope development. Considerable
effort is underway for mass production of these micromachined sensors. Packaging and reliability
are the two most important factors that must be considered before micromachined gyroscopes
can be viably commercialized. To facilitate low-cost production of microgyroscopes, there is an
increased emphasis on the design-for-manufacture and design-for-test [40]. The Analog Devices
iMEMS gyroscopes are hermetically sealed, but operate at atmospheric pressure. Although this
might suffice for rate-grade sensors, robust vacuum packaging that can withstand temperature
variations will be required for navigation-grade gyroscopes [41]. Researchers at the University of
Michigan and Georgia Tech are developing hermetically packaged, environmentally protected,
near-inertial-grade micromachined silicon gyroscopes. This work aims at developing wafer-scale
micromachining technologies with high reliability, long lifetime, low power consumption, and
high immunity against shock and environmental variations, such as temperature [42]. While high-
aspect ratio micromachining provides significant improvement in sensor performance, it also
enables the development of MEMS gyroscopes that can operate at lower voltages. The MEMS
devices can then leverage the benefits offered by state-of-the-art CMOS processes and operate off
a low-voltage battery.
CMOS Systems and Interfaces for Sub-Deg/Hr Microgyroscopes 89

REFERENCES
1. Yazdi, N., Ayazi, F., and Najafi, K. 1998. Micromachined inertial sensors, Invited paper, Proceedings of
the IEEE, pp. 16401659.
2. Lawrence, A. 1993. Modern Inertial Technology: Navigation, Guidance and, Control. Springer-Verlag,
New York.
3. IEEE Std 15542005. IEEE recommended practice for inertial sensor test equipment, instrumentation,
data acquisition, and analysis, pp. 1103.
4. Watson, W. S. and Henke, T. J. 2002. Coriolis gyro configuration effects on noise and drift performance.
In: Symposium of Gyro Technology, Stuttgart, Germany.
5. Greiff, P., Boxenhorn, B., King, T., and Niles, L. 1991. Silicon monolithic micromechanical gyroscope,
Tech. Digest 6th Int. Conf. on Solid-State Sensors and Actuators (Transducers91), San Francisco, CA,
USA, pp. 966968.
6. Bernstein, J., Cho, S., King, T., Kourepenis, A., Maciel, P., and Weinberg, M. 1993. A micromachined
comb-drive tuning fork rate gyroscope, Proc. IEEE Micro Electro Mechanical Systems Workshop
(MEMS93), Fort Lauderdale, FL, USA, pp. 143148.
7. Clark, W. A. and Howe, R. T. 1996. Surface micromachined Z-axis vibratory rate gyroscope, Solid State
Sensors and Actuator Workshop, Hilton Head Island, pp. 283287.
8. Geen, J., etal. 2002. Single-chip surface micromachined integrated gyroscope with 50/h Allan devia-
tion, IEEE Journal of Solid State Circuits, 37(12), 18601866.
9. Park, K. Y. 1999. Lateral gyroscope suspended by two gimbals through high aspect ratio ICP etching, Tech.
Dig. 10th Int. Conf. on Solid-State Sensors and Actuators (Transducers99), Sendai, Japan, pp.972975.
10. Tanaka, K., Mochida, Y., and Sugimoto, M. 1995. A micromachined vibratory gyroscope, Sensors and
Actuators A (Physical), 50, 111115.
11. Ayazi, F. and Najafi, K. 2000. High aspect-ratio combined poly and single-crystal silicon (HARPSS)
MEMS technology, IEEE/ASME Journal of Microelectromechanical Systems, 9(5), 288294.
12. Ayazi, F. and Najafi, K. 2001. A HARPSS polysilicon vibrating ring gyroscope, IEEE/ASME Journal of
Microelectromechanical Systems, 10, 169179.
13. He, G. and Najafi, K. 2002. A single-crystal silicon vibrating ring gyroscope. In: Proc. of IEEE MEMS
2002, Las Vegas, USA, pp. 718721.
14. Lemkin, M. A., Ortiz, M., Wongkomet, N., Boser, B., and Smith, J. 1997. A 3-axis surface microma-
chined accelerometer, in Proc. IEEE Int. Solid-State Circuits Conf., San Francisco, CA, pp. 202203.
15. Amini, B. V. and Ayazi, F. 2004. A 2.5V 14-bit sigma-delta CMOS-SOI capacitive accelerometer, IEEE
Journal of Solid State Circuits, 39(12), 24672476.
16. Barnaby, R. and Reinhardt, A. 1951. U.S. Patent 2 544 646.
17. Barnaby, R. and Morrow, C. 1956. U.S. Patent 2 753 173.
18. Sharma, A., Zaman, M., and Ayazi, F. 2007. A 0.2 /hr microgyro with automatic CMOS mode-matching.
In: Technical Digest of ISSCC 2007, San Francisco, USA.
19. Putty, M. and Najafi, K. 1994. A micromachined vibrating ring gyroscope, Digest, Solid-State Sensors
and Actuators Workshop, Hilton Head, SC, pp. 213220.
20. Zaman, M. F., Sharma, A., and Ayazi, F. 2006. High performance matched-mode tuning fork gyroscope,
Proc. IEEE MEMS 2006, 6669.
21. Senturia, S. D. 2002. Microsystem Design, 4th edition. Kluwer Academic Publishers, Massachusetts.
22. Ayazi, F. 2000. A high aspect-ratio high-performance polysilicon vibrating ring gyroscope, PhD disserta-
tion, the University of Michigan.
23. Pourkamali, S., Hashimura, A., Abdolvand, R., Ho, G., Erbil, A., and Ayazi, F. 2003. High-Q single
crystal silicon HARPSS capacitive beam resonators with sub-micron transduction gaps, IEEE Journal of
Microelectromechanical Systems, 12(4), 487496.
24. Nguyen, C. T.-C. and Howe, R. T. 1999. An integrated high-Q CMOS micromechanical resonator-oscil-
lator, IEEE Journal of Solid-State Circuits, 34(4), 440455.
25. Tang, W. etal. 1990. Electrostatic comb-drive of lateral polysilicon resonators, Journal of Sensors and
Actuators A, 21, 328331.
26. Jiang, X., Seeger, J. I., Kraft, M., and Boser, B. E. 2000. A monolithic surface micromachined Z-axis
gyroscope with digital output, in Symp. on VLSI Circuits Dig. of Tech. Papers, June 2000.
27. Petkov, V. and Boser, B. 2005. A fourth-order interface for micromachined inertial sensors, IEEE
Journal of Solid State Circuits, 39(5), 722730.
28. Wu, J. etal. 2004. A low-noise low-offset capacitive sensing amplifier for a 50-gHz monolithic CMOS
MEMS accelerometer, IEEE Journal of Solid State Circuits, 39(5), 722730.
90 MEMS: Fundamental Technology and Applications

29. Gray, P. R., Meyer, R. G., Hurst, P. J., and Lewis, S. H. 2001. Analysis and Design of Analog Integrated
Circuits. Hoboken, NJ: John Wiley and Sons.
30. Gabrielson, T. 1993. Mechanicalthermal noise in micromachined acoustic and vibration sensors,
Electron Devices IEEE Transactions, 40(5), 903909.
31. Saukoski, M. et al. 2005. Fully integrated charge sensitive amplifier for readout of micromechanical
capacitive sensors, Proc. ISCAS 2005, Kobe, Japan, pp. 53775380, May 2005.
32. Harrison, R. and Charles, C. 2003. A low-power low-noise CMOS amplifier for neural recording applica-
tions, IEEE Journal of Solid-State Circuits, 38(6), 958965.
33. Graeme, J. 1996. Photodiode Amplifiers: Op Amp Solutions. McGraw-Hill, New York, NY.
34. Sharma, A., Zaman, M. F., and Ayazi, F. 2007. A 104dB dynamic range transimpedance-based CMOS
ASIC for tuning fork microgyroscopes. IEEE Journal of Solid-State Circuits, 42(8), 17901802.
35. PLL HC4046 data sheet from Phillips Semiconductor.
36. Gomez, U., Kuhlman, B., Classen, W., and Bauer, W. 2005. New surface micromachined angular rate
sensor for vehicle stabilizing systems in automotive applications. Tech. Digest Int. Conf. on Solid-State
Sensors and Actuators (Transducers05), Seoul, South Korea, pp. 184187.
37. Enz, C.C. and Temes, G. C. 1996. Circuit techniques for reducing the effects of Op-amp imperfections:
Autozeroing, correlated double sampling, and chopper stabilization. Proceedings of the IEEE, 84(11),
15841614.
38. Juneau, T. and Pisano, A. 1996. Micromachined dual input axis angular rate sensor. Tech. Digest Solid-
State Sensor & Actuator Workshop, Hilton Head Island, SC, pp. 299302.
39. Bae, S., Hayworth, K., Shcheglov, K., Yee, K., and Wiberg, D. 2002. JPLs MEMS gyroscopefabrica-
tion, 8-electrode tuning and performance results, Technical Digest of Solid-State Sensors and Actuators
Workshop 2002, Hilton Head, USA.
40. Geen, J. A. 2004. Progress in Integrated Gyroscopes, IEEE PLANS.
41. HERMIT site, DARPA.
42. Chae, J., Giachino, J. M., and Najafi, K. 2005. Wafer-level vacuum package with vertical feed throughs,
Proc. of IEEE Int. Micro Electro Mechanical Systems (MEMS05) Miami, FL, pp. 548551.
5 Bulk Acoustic Wave
Gyroscopes
Houri Johari

CONTENTS
5.1 Introduction............................................................................................................................. 91
5.2 Principle of Operation.............................................................................................................92
5.3 Design of BAW Gyros............................................................................................................. 93
5.3.1 Angular Gain Estimation............................................................................................. 95
5.3.2 Sensitivity Analysis.....................................................................................................96
5.3.3 Resolution Analysis..................................................................................................... 98
5.3.4 Dynamic Range........................................................................................................... 98
5.3.5 Thermoelastic Damping..............................................................................................99
5.4 Implementation of BAW Gyros............................................................................................. 101
5.4.1 Implementation in (100) SCS..................................................................................... 101
5.4.2 Fabrication Method.................................................................................................... 103
5.5 Measurements of BAW Gyros............................................................................................... 103
5.5.1 Frequency Characterization and Mode Matching..................................................... 103
5.5.2 Performance Characterization................................................................................... 104
5.5.3 Quality Factor Characterization................................................................................ 104
5.6 Summary............................................................................................................................... 107
Acknowledgments........................................................................................................................... 109
References....................................................................................................................................... 109

5.1INTRODUCTION
Coriolis-based microgyroscopes have increasingly been used from a utomotive safety to consumer
electronics to personal navigation. Examples include antiskid stability, control systems in auto-
mobiles, image stabilization in digital cameras, gaming consoles, and dead reckoning in portable
devices using inertial measurement units. As high-volume consumer applications for microma-
chined gyroscopes continue to grow, the design and manufacturing techniques that improve the
dynamic range, shock survivability, and bias stability of the device while reducing the manufactur-
ing cost and die size become increasingly valuable.
The vast majority of high-performance micromachined vibratory gyroscopes reported in the
literature to date utilize the flexural modes of a microstructure, which typically fall in the frequency
range 350kHz [15]. Low-frequency flexural-mode microgyroscopes rely on increases in mass
and vibration amplitude to reduce the noise floor and to improve the bias stability [6,7], at the
cost of large form factors and high operating voltages. In bulk acoustic wave (BAW) gyroscopes,
single-crystal silicon (SCS) disk gyroscopes are designed to operate in their degenerate elliptic bulk
acoustic modes with frequencies in the range 120MHz. This enhances the operational bandwidth
of the gyroscope in the mode-matched condition compared to low-frequency (<100kHz) flexural-
mode gyroscopes. Operating gyroscopes in the mode-matched condition with a high-quality factor
(Q) enhances the signal-to-noise ratio significantly and improves the performance of the gyroscope.

91
92 MEMS: Fundamental Technology and Applications

Also, migrating to the bulk modes operation reduces the form factor while achieving high shock
tolerances, high Q, and high temperature stability.
This chapter gives an overview of the high-frequency BAW gyroscopes. The concept of the
BAW gyroscopes is introduced and the principle of operation for these devices is discussed in
Section 5.1. The fundamental parameters of the BAW gyroscope design are addressed in Section
5.2. The performance parameters including sensitivity, resolution, and dynamic range are analyzed
and explained in Section 5.3. Section 5.4 describes the design requirements for the implementation
of these devices in silicon. Finally, the preliminary characterization and measurement of these
devices are presented in Section 5.5, followed by the environmental effects on the performance of
the devices.

5.2 PRINCIPLE OF OPERATION


The schematic view of a capacitive BAW disk gyroscope is shown in Figure 5.1. It consists of a
center-supported silicon disk with capacitive drive, sense, and control electrodes surrounding the
disk. The locations of the electrodes depend on the modes of operation and the crystal orientation of
the silicon. Electrodes are located on antinode lines of the two degenerate bulk modes, to provide a
large transduction area and to facilitate mode-matched operation.
The BAW disk gyroscope is made on a silicon-on-insulator (SOI) substrate. The resonating
disk is supported at its center by a small island of the buried oxide (BOX) layer of the SOI
(Figure5.1). To fabricate these devices on a standard SOI, circular perforations or release holes
should be added to the solid disk to enable the release of the structure from the front side of the
wafer (though not shown in Figure 5.1). The size and configuration of such perforations need to
be carefully optimized to minimize the frequency split between the two modes [8]. As verified
by finite element analysis software (ANSYS) simulations, which are discussed in Section 5.4,
small holes (with diameter <10m) are preferable to minimize the mode shape distortion and
frequency separation. The polysilicon traces attached to the center of the disk, to which they are
self-aligned, provide a DC bias voltage to the disk structure.
To excite the bulk acoustic modes of the disk gyroscope, large electrostatic forces are required,
which in turn necessitate strong capacitive coupling. This is obtained with high-aspect-ratio nar-
row capacitive gaps. Thus, the device is implemented in thick SOI substrates (3060m) with deep
submicron capacitive gaps (of typically 200nm).

z-axis
Sense electrode

Polysilicon trace

Center 30
support
Drive electrode

Silicon handle
Tuning
electrodes
Silicon device layer

FIGURE 5.1 Schematic diagram of a capacitive BAW disk gyro in (100) silicon.
Bulk Acoustic Wave Gyroscopes 93

To actuate the capacitive BAW disk gyroscope, an AC signal is applied to the drive electrode
at the selected resonance frequency, while a DC bias is applied to the disk itself. When the disk
is driven in its elliptic resonant mode, Coriolis acceleration causes a transfer of energy between
the two degenerate elliptic modes due to the application of rotation rate around the normal axis
of the device. As energy builds up in the degenerate mode, output current is created at the sense
electrodes. A custom-built interface circuit amplifies, demodulates, and filters the sense current to
yield rotation-induced Coriolis signal [9]. The principal features of the design are the axisymmetry
of the disk along with the cyclic-symmetric arrangement of the release holes. These features allow
the intended modes of vibration to exist in degenerate pairs [10]. To facilitate the mode-matching
process and consequently improve the performance, typically, two degenerate modes with the same
resonance frequency are used as the drive and sense modes [11,12]. When the resonating disk is
implemented in an isotropic material such as (111) SCS or polycrystalline silicon [13,14], the two
primary elliptic degenerate modes that are spatially 45 apart will have identical resonance fre-
quencies (degenerate pair). However, if the disk gyroscope is implemented in (100) silicon, the two
primary degenerative modes have a frequency split of about 1MHz. This makes them unsuitable for
mode-matched operation of a gyroscope. Instead, the secondary elliptic modes of the same device,
which are spatially 30 apart, have nearly identical frequencies and should be employed to enable
mode-matched operation in (100) silicon.
Fabrication of nonidealities and the existence of perforations inevitably introduce some amount
of frequency separation between the two degenerate modes. Some electrodes around the device are
used to electrostatically tune and null the frequency split between the two modes [15].

5.3 DESIGN OF BAW GYROS


In this section, the equations of motion for the BAW disk gyroscopes are discussed and the response
to an applied rotation rate is calculated. For simplicity, a solid disk structure is assumed in the entire
analysis.
In general, the displacement of a linear elastic body due to vibration can be written as a linear
combination of its normal mode shape functions and its amplitudes [16,17]. For a disk gyroscope,
operating in its degenerate elliptic modes, the vibration displacement of each point on the disk is a
summation of both degenerate mode displacements written as

ur = q1fr1 + q2fr 2
(5.1)
uq = q1fq1 + q2fq 2

where ur and u are the radial and circumferential components of the displacement vector in a
vibrating disk, r and are the mode shape functions in the radial and circumferential directions,
respectively, and q1 and q2 are the amplitudes of the two degenerate modes. Subscripts 1 and 2,
respectively, represent the first degenerate mode (drive) and the second degenerative mode (sense)
in the entirety of this section. The mode shape functions for a solid disk [18,19] operating in its
bulk modes are

fr1 = Ur cos(mq ), fq1 = Uq sin(mq )


(5.2)
fr 2 = Ur sin(mq ), fq 2 = Uq cos( mq )

where m is the mode order number; for the primary elliptic mode, m=2 and for the secondary
elliptic mode, m=3. Ur and U are the normalized dimensionless displacements in the radial and
circumferential directions, respectively [16], defined as
94 MEMS: Fundamental Technology and Applications

d k r m h r
Ur = R J m m + Rxm J m m
dr R r R
(5.3)
m k r d h r
Uq = RJ m m Rxm Jm m
r R dr R

where R is the disk radius at the perimeter of the disk. The normalized displacements are expressed
in terms of Bessel functions of the first kind (Jm) as well as km and hm, which are both dimensionless
frequency parameters and expressed in Ref. [20]. m is the next parameter in Equation 5.3, which
was derived and explained in detail in Refs. [16,20] and it depends both on the materials Poisson
ratio and on the operating mode number (m). With km, hm, and m being known, the normalized dis-
placements (Ur and U) can be estimated, and the mode shape functions (r and ) are fully defined.
Next, the expressions for the amplitude of the two modes (q1, q2) should be determined to fully
quantify the displacements (ur and u ). To accomplish this, the normal mode differential equations
were solved, using the Lagrange method [20]. The details of these derivations can be found in
Ref. [20]. The coupled second-order differential equations used to model the disk gyroscope are
expressed in (5.4) and can be solved for q1 and q2:

M1q1 + D1q1 2g z q2 g  q M 2 q + ( K K )q = F


z 2 1 z 1 m1 e1 1 1
(5.4)

M 2 q2 + D2 q2 2g z q1 g z q1 M 2 z q2 + ( K m 2 K e 2 )q2 = F2
2

where Km1 and Ke1 are mechanical and electrical stiffnesses and are defined in Ref. [20]. Also, D1
and D2 are the damping coefficients for the drive and sense modes, respectively. F1 and F2, the elec-
trostatic forces originating from the electrical potential term, are derived and expressed in Ref. [20].
F2 is identical to F1 except that drive voltages are replaced with sense voltages. Also, M1 and are,
respectively, the effective mass and Coriolis coupling coefficient and are defined as

M1 = r (f + f ) dV
2
r1
2
q1
(5.5)


= r (f f f f ) dV
r1 q 2 r 2 q1

The schematic view of a capacitive disk gyroscope operating in its secondary elliptic modes is
shown in Figure 5.2. As shown, n is the angle at which the electrode spans, n the angle from
the x-axis to the center of the nth electrode, and d the capacitive gap change, which is defined as
d=ur=qr1r1+qr2r2.

n
n

vd

d0
VP

FIGURE 5.2 Schematic view of a capacitive disk gyroscope operating at its secondary elliptic modes.
Bulk Acoustic Wave Gyroscopes 95

It is worth noting that the change in the capacitive gap (d) depends on the mode of vibration
and the location of the electrode. Since the capacitive gap (d0) is much smaller than the radius (R)
of the disk gyroscope, the circumferential displacement is very small in comparison with a radial
displacement and can be neglected. Thus, only the radial displacement is considered for the capaci-
tive gap changes. Given that the drive electrodes are simultaneously located on the antinodal lines
of the drive mode and on the nodal lines of the sense mode, it implies that (r1r2) at the drive
electrodes and similarly (r2r1) at the sense electrodes. However, owing to the fabrication of
nonidealities, the antinodal lines of the mode shapes might be slightly misaligned with respect to
the electrode center. This can be corrected for by electrically canceling the quadrature errors.

5.3.1Angular Gain Estimation


One of the critical parameters in designing a Coriolis-based gyroscope is the angular gain (Ag).
The angular gain is the amount of the effective mass during resonance that couples into the sense
Coriolis mode. The angular gain has the maximum value of 1 for the frame-based (rectangular-
shaped) gyros. However, the angular gain has a smaller value than one for the shell-typed gyro
structures. The angular gain in shell-typed gyros depends on the sensor structure as well as the
type of the resonant modes in operation. In shell-typed gyros, when a rotation rate is applied
to the sensor, the nodal lines of the vibration pattern lag behind the rotation of the sensor. The
angular gain (Ag) is defined as the ratio of the change in the vibration pattern angle to the applied
angle of rotation (z). To estimate the angular gain in BAW gyros, matched drive and sense
frequencies, along with zero damping, are assumed. Also, the angular acceleration coupling is
neglected due to its small magnitude compared to the Coriolis coupling. With these assumptions,
the normal model Equations 5.4 are simplified and solved for the drive and sense amplitudes
(q1,q2) as expressed as

g
q1 = A cos z t sin(w t )
M
(5.6)
g
q2 = A sin z t sin(w t )
M

where A is a constant amplitude term and is defined as w 0 2 z 2 (1 (g /M )2 ) in which 0, z,


, and M are, respectively, the resonant angular frequency, an applied rotation rate around the z-axis,
the Coriolis coupling coefficient, and the effective mass.
The drive mode amplitude (q1) is assumed to have the maximum amplitude (A) at 0. This is
because the electrode located at 0 is aligned with the antinodal line of the drive mode. With the
application of a constant rotation rate (z), the vibration pattern also rotates at a related constant
rate. After a certain time (t), the drive mode has transferred completely to the sense mode, due to
the modes degenerate interrelation. At this time, the term in the first parentheses in Equation5.6,
(zt/M), is equal to 90, and the amplitude of the sense mode will reach its maximum value. The
sensor has rotated through an angle = zt = M/2. While the sensor rotates through , Coriolis
force shifts the vibration pattern through an angle () from the drive mode to its degenerate mode
(sense mode).
It is worth noting that the degenerate primary elliptic modes in (111) SCS are separated by 45
about the disks axis. However, the secondary elliptic modes in (100) SCS are separated by 30.
Taking the ratio of the vibration pattern angle () to the angle of rotation in the sensor (), the angu-
lar gain for a disk operating in its elliptic modes is calculated as

q p / 2m g
Ag = = = (5.7)
b pM / 2g mM
96 MEMS: Fundamental Technology and Applications

TABLE 5.1
Design Parameters in BAW Solid Disk Gyroscopes
(111) SCS Primary (100) SCS Secondary
Material/Mode Elliptic Mode (m=2) Elliptic Mode (m=3)
Frequency parameter (km) 1.4243 2.2988
Frequency parameter (hm) 2.3447 3.5836
Elastic wave ratio (m) 2.2071 1.1369
Resonant frequency (MHz) ANSYS 5.01 ANSYS 7.51
Theoretical 5.00 Theoretical 7.42
Normalized radial displacement (Ur) at r=R 1.5105 0.9420
Normalized tangential displacement (U) at r=R 0.4621 0.0708
Effective mass (m, kg) 2.0676e8 1.4661e008
Coriolis coupling coefficient (, kg) 1.8954e8 1.0175e008
Angular gain (Ag) 0.45 0.23

To evaluate the angular gain (Ag) for primary and secondary elliptic modes, the mode shape
functions for the solid disk need to be integrated over the disk volume to determine the Coriolis cou-
pling coefficient () and the effective mass (M). Given the disk radius (R) and the material properties
(E, ) of the disk as well as the resonance frequency of operation (0), the frequency parameters (km)
and (hm) should be estimated first. Next, the ratio of the elastic wave constants (m) is estimated by
solving the Bessel function with the frequency parameters. Then, the normalized displacements (Ur
and U) are calculated and the mode shape functions are determined in terms of (r, ) and integrated
over the disk volume. Finally, the angular gain values are calculated and summarized in Table 5.1.
As can be seen, the angular gain is found to be ~1.9 times larger for primary elliptic modes in (111)
silicon than for secondary elliptic modes in (100) silicon.

5.3.2Sensitivity Analysis
The sensitivity of a gyroscope is determined by the capacitance change at the sense electrodes, orig-
inating from the sense mode excitation due to the Coriolis force. Here, we consider an ideal device
with matched degenerate mode frequencies and symmetric damping. As the angular acceleration
coupling is insignificant in comparison with Coriolis coupling, this can be neglected. Similarly, the
centrifugal stiffness, being very small compared to mechanical and electrical stiffnesses, is also
assumed to be negligible. Consequently, the second-order differential equations for the drive and
sense modes are simplified and expressed as

w0 F
q1 + q + w 02 q1 = 1
Q 1 M1
(5.8)
w0
q2 + q + 2 mAg z q1 + w 02 q2 = 0
Q 2

These equations are solved for the drive and sense amplitudes, and their ratio is derived [20] as
stated as

A2 q 2 mAg z Q
= 2 = (5.9)
A1 q1 w0

As can be seen, the sense mode amplitude is linearly proportional to the mode-matched quality
factor (Q), angular gain (Ag), and the drive mode amplitude (q1), and is inversely proportional to
Bulk Acoustic Wave Gyroscopes 97

the operating resonance frequency (0). As a result, high mode-matched quality factor is required
to enhance the sense mode amplitude and the sensitivity in high-frequency gyroscopes due to their
small drive amplitude (a few tens of nm). To determine the sensitivity of a BAW gyroscope, the
total capacitance change at the sense electrode should be evaluated. The capacitance change (Cs)
due to the vibration motion of the sense mode at the sense electrode is derived in Ref. [20] and is
expressed as

dCs 4 me0 hRq1 Ag QUr = R q


= sin(mq )sin m (5.10)
z w 0 md0 2 2

It is worth noting that sin(m) is equal to 1 for both primary and secondary elliptic modes due
to the multiplication of the mode number (m) by the vibration pattern angle (). Also, the sensitiv-
ity is linearly proportional to the angular gain. Thus, higher sensitivity can be achieved for mode-
matched devices implemented in (111) SCS and operated in their primary elliptic modes.
The change of the sense capacitance due to the applied rotation is very small and needs to be
amplified properly to be readable. Therefore, each sense electrode is accompanied by a low-noise
transimpedance amplifier (TIA) and two cascaded voltage amplifiers. The fully amplified signal
is a cross-product of two signals (carrier and Coriolis) and can thus be treated as an amplitude-
modulated (AM) signal. It is then demodulated to extract the rotation rate (Coriolis signal) from the
device by mixing the carrier signal from the drive loop and the AM output. Finally, a low-pass filter
is used to extract the Coriolis signal from the mixer output. Figure 5.3 shows the configuration for
detecting the rotation response from the output signal at the sense electrode.
The sensitivity of the disk gyroscope in terms of output voltage, using readout circuitry in
Figure 5.3, can be expressed as

uout 4e0 hRq1 Ag QUr = R mq


= VP RF Av sin (5.11)
z d02 2

CF

VGAIN

R2

Is (AM-IN)
C
Vp R1

+ Vout (AM-OUT)
+
Cpad

+
VREF
VREF1
VP
R3

R4 Rate
output
LPF
VREF2

Demodulator

Carrier signal
(from drive loop)

FIGURE 5.3 Readout circuitry used for measuring the rotation rate in BAW disk gyroscopes.
98 MEMS: Fundamental Technology and Applications

where RF and Av are, respectively, the gain of the TIA and the total gain from the cascaded voltage
amplifiers.

5.3.3 Resolution Analysis


Resolution, defined as the minimum detectable rotation rate, is a major performance parameter
in a gyroscope. The total resolution has two principal components: the Brownian noise, originat-
ing from the mechanical motion of the resonating structure, and the electronic noise, originating
from the interface circuitry. By equating the displacement caused by Brownian motion [21,22] to
the displacement induced by Coriolis acceleration, the mechanical resolution of BAW gyroscopes
operating in their elliptic modes is derived in Ref. [20] and is expressed as

1 4 kBT
z (Brownian ) = (5.12)
2 mAg q1 w 0 MQ

where q1 is the drive amplitude, 0, M, and Q are, respectively, the natural angular frequency, effective
mass, and mode-matched quality factor, kB is the Boltzmann constant, and T is the absolute tempera-
ture. The effective mass for disk gyroscopes operating in their BAW modes can be calculated by the
integral of the kinetic energy [20], normalized to the maximum displacement at the disk edge, Ur=R.
Furthermore, the electronic noise arising from the interface circuitry can be determined by assuming
that the output noise (Inoise) has a white spectrum near the resonant frequency. The electronic noise
of the interface circuitry at mode-matched operation in a disk is derived in Ref. [20] and is stated as

d02 I noise BW (5.13)


z (Electronics) =
4VP q1QAg (e0 hRUr = R sin (mq / 2))

where Inoise is the input-referred current noise of the interface circuitry. To fully realize the poten-
tial of BAW gyroscopes, the design and performance parameters for a typical BAW gyroscope are
evaluated and summarized in Table 5.2.

5.3.4Dynamic Range
Dynamic range, another important performance parameter in gyroscopes, refers to the range of
input rates over which the output is detectable. It is typically computed as the ratio between the
maximum input rotation rate (full-scale rate) that the sensor can tolerate and the system noise
floor. To ensure linear operation, the maximum input rate is considered to be reached when the
disk deforms one-tenth of the capacitive gap. Also, it is assumed that the electronic noise can be
reduced substantially employing advanced low-noise front-end circuitry, leaving Brownian noise
as the dominant noise source. To estimate the dynamic range, the maximum input rotation rate is
divided by the product of the Brownian noise and the square root of the device bandwidth. The
bandwidth of the device is replaced by (fresonance /2Qmode-matched) and the dynamic range for these
devices is stated as

q2 - maxw 01.5 M 0.1d0w 0 4pM


Dynamic Range = = (5.14)
4 kBTQ BW 4kBT

In Equation 5.14, d0, 0, and M are, respectively, the initial gap, the angular frequency, and the
effective mass, kB is the Boltzmann constant, and T is the absolute temperature. These devices, due
Bulk Acoustic Wave Gyroscopes 99

TABLE 5.2
Analytical Estimation of Performance Parameters in BAW Solid Disk Gyros
(111) SCS Primary (100) SCS Secondary
Material/Mode Elliptic Mode (m=2) Elliptic Mode (m=3)
Disk diameter (m) 800 800
Disk thickness (m) 40 40
Electrode length (Le) (m) 175 175
Capacitive gap (nm) 200 200
Drive amplitude (nm) 20 20
Effective quality factor (Q) 100,000 100,000
Operating frequency (MHz) 5.00 7.42
Ur=R 1.5105 0.9420
Effective mass coefficient 0.4413 0.3129
Polarization voltage (V) 10 10
Inoise (pA/Hz) 2.5 2.5
Frequency bandwidth (Hz) 25 38
Sensitivity (aF//s) 4.76 1.01
MNE (m/s/Hz) 0.79 1
ENE (m/s/Hz) 1.7 5.3
Dynamic range (dB) 112 114

to high operating frequency and mode-matched operating, offer a wide dynamic range up to 114dB,
as listed in Table 5.2, with a large bandwidth.

5.3.5Thermoelastic Damping
Thermoelastic damping (TED) is a dominant loss mechanism in flexural-based gyroscopes, intro-
ducing highly temperature-dependent performance deterioration that circumscribes the thermal
stability of these sensitive devices [2325]. Thus, designing micromachined gyroscopes such that
their Qs are not largely affected by TED is always desirable. To do this, the effect of TED is studied
for solid and perforated disk structures operating at their primary and secondary elliptic modes as
well as at their breathing modes.
As a starting point, it is noted that the total Q of a resonating structure [26] generally can be
expressed as

1
1 1 1 1 (5.15)
Q= + + +
QAir QTED QSupport QOther

1 1 1 1
where QAir , QTED , QSupport , and QOther are, respectively, defined as air damping losses, TED losses,
the losses through support, and other losses not included in the preceding terms. The latter might
originate from, for example, surface roughness or intrinsic material energy dissipation. As one can
expect, each of the Qs on the right-hand side of Equation 5.15 has less impact upon the overall Q
when it is larger. This implies that the total Q would be less dominated by QTED if the QTED value
was very large compared to the rest of the Qs.
To determine the QTED for a disk operating in BAW modes, the coupled deformation and tem-
perature partial differential equations (PDEs) were estimated and solved for their eigenvalues [27].
Consequently, for each resonant mode, QTED can be evaluated as the ratio of the imaginary part to
the real part of the corresponding eigenvalue. The scaled thermoelastic equations were derived in
100 MEMS: Fundamental Technology and Applications

(a) (b)
7.871e6 lambda(59)=1.519636e4 to 4.642986e13i Subdomain: T 5.184e6
lambda(41)=9.954261e5 to 2.514262e12i Subdomain: T 106
106
Deformation: u,v,w Deformation: u,v,w 5

6 4

3
4
2

2 1

0
0
1

2 2

3
4
4
6 5
OTED = 37e6 OTED = 170e6
6.788e6 5.169e6

FIGURE 5.4 Steady-state vibration-induced temperature distribution in an 800-m diameter solid disk for
(a) primary elliptic and (b) secondary elliptic modes, simulated in COMSOL.

Ref. [20] and were solved in COMSOL multiphysics engineering simulation software. The steady-
state temperature distributions and QTED for primary and secondary elliptic modes in an exemplary
800-m diameter solid disk structure are shown inFigure5.4.
As one can observe, QTED in these modes for an exemplary 800-m diameter disk is very large
and it has the value in the range of low hundreds of millions. This implies that in a solid disk operat-
ing in its primary and secondary elliptic modes, QTEDs can respectively contribute only ~0.027 and
0.006ppm on the total Q.
Furthermore, thermoelastic losses are modeled for a variety of disk geometries. Figure 5.5 shows
that decreasing the disk diameter lowers QTED in BAW modes. This clearly indicates that the total
Q becomes more reliant on QTED when the disk dimensions are reduced, driving the resonant fre-
quencies higher. Interestingly, the rate of the decrease in QTED for primary elliptic mode is less than
QTED for secondary elliptic modes. This may be simply due to the higher frequency of secondary
elliptic modes.
Next, symmetrical release holes are added to the disk, as dictated by fabrication needs (Section
5.4). Including perforations in the disk introduces localized dynamic strain concentrations, which

(a) (b)
10,000 1000
Primary elliptic mode Primary elliptic mode
Breathing mode
1000 Breathing mode
Secondary elliptic mode
Secondary elliptic mode 100
QTED (106)

QTED (106)

100
10
10

1 1

0.1 0.1
0.0001 0.001 0.01 0.1 1 10 0 5 10 15 20 25 30 35 40 45
1/R (m) Release hole diameter (m)

FIGURE 5.5 The estimated QTED simulated in COMSOL (a) for solid disk structures in the range of 0.5MHz
to 2GHz and (b) the effect of release holes sizes in QTED.
Bulk Acoustic Wave Gyroscopes 101

induce temperature gradients and result in additional TED losses. To study the effect of release hole
sizes on QTED, an exemplary 800-m diameter disk with a variety of hole sizes were modeled in
COMSOL. In each model, the perforation sizes are uniform across the disk and equally spaced as
shown in Figure 5.5.
As seen, QTED drops substantially for perforated disks with large release holes. This establishes
that the optimization of QTED for perforated disks favors very small release holes (<8m). Also,
according to the simulation results, beyond a certain release hole size, the rate of decrease in
QTED becomes less pronounced, perhaps because the operating frequency also begins to decrease.
Also, it was observed that for disks without any perforations, QTED of secondary elliptic mode is
~4.5 times larger than the primary elliptic mode. However, when perforations are introduced, QTED
of the secondary elliptic mode reduces to that of the primary elliptic modes. Furthermore, due to the
common axisymmetry between the breathing mode and the release holes pattern, QTED in this mode
is much higher than in the elliptic modes with perforations present. Although breathing modes are
not suitable for disk gyroscopes, they could be utilized for disk resonators.

5.4 IMPLEMENTATION OF BAW GYROS


5.4.1Implementation in (100) SCS
This chapter focuses on implementing BAW gyroscopes in (100) SCS substrates because silicon
resonant structures are significantly less susceptible to the energy losses compared with polysili-
con, resulting in higher quality factors (Q). In addition, there is no limitation on the thickness of
the structure as opposed to polysilicon structures, depending on the number of depositions. The
primary elliptic modes, which are 45 offset, have a large frequency split of (>1MHz in 4.5MHz)
between two degenerate modes in (100) SCS, an anisotropic material. This makes them unsuitable
for gyroscope applications. On the other hand, the secondary elliptic modes of a (100) silicon disk,
which are 30 offset, have nearly identical frequencies (f<100Hz in 6MHz) as shown in Figure
5.6. This implies that for (100) SCS, the secondary elliptic modes should be employed to enable
matched-mode operation.
Implementation of a solid disk requires a backside etch step, presenting the need for both top-
side and backside packaging. To eliminate the latter, perforations were added to the disk, enabling
its release from the front side of SOI wafer. However, adding perforations to the disk introduces
frequency shift between the modes. Finite element analysis is used to model the introduced fre-
quency split as well as to guide the optimization of the release holes accordingly. To minimize the
effect of perforations on frequency split, some basic design rules have been investigated and are
discussed in the following section. First, the orientations of the perforations need to be compatible
with the antinodes and nodes of the vibration patterns to have the equal effects on both degenerative
modes. This results in minimizing the frequency split between two modes [20]. Second, perfora-
tions tend to distort the mode shapes. This effect can be mitigated by choosing the shape, size, and
configuration of the perforations appropriately. A variety of configurations such as radial grooves,
tangential grooves, and holes with different sizes were modeled in ANSYS. As a result, holes are
preferable over grooves with respect to lessening mode shape distortion and frequency separation.
Further optimizations addressed the holes distributions and sizes. To do this, two major categories
of equally spaced rings of release holes were developed. The first category includes nonuniform-
sized holes along the radial direction of the disk. This approach placed the holes exactly at every
30 and 15, coincident with the nodal lines of mode shapes. The second category is comprised of
uniform small-sized holes, located mostly at the fraction of 30 offset. Both structure types are sym-
metric about the x- and y-axes.
The results for a variety of uniform hole sizes are shown in Figure 5.7. As expected, the disks
with uniform small release holes exhibit very small frequency split (f<150Hz). This is because
the frequency behavior of a disk with uniform small holes closely mirrors that of the solid disk.
102 MEMS: Fundamental Technology and Applications

(a)
1 1
STEP = 1 ANSYS STEP = 1 MX ANSYS
SUB = 3 SUB = 9
FREQ = .438E+07 FREQ = .546E+07
UX (AVG) UX (AVG)
RSYS = 1 RSYS = 1 Y
DMX = 6485 Y DMX = 6703 Z X
SMN = 6482 Z X MX SMN = 6702
SMX = 6483 SMX = 6698

MN

MN

6482 3601 720 .176 2161 5042 6702 3724 746.174 2232 5209
5042 2161 720.404 3602 6483 5213 2235 742.668 3720 6698

(b)
1 1 MX
STEP = 1 ANSYS STEP = 1 ANSYS
SUB = 4 SUB = 5
FREQ = .752E+07 FREQ = .752E+07
UX (AVG) UX (AVG)
RSYS = 1 Y MX RSYS = 1
DMX = 8542 Z X DMX = 8540 Y
SMN = 8443 Z X
SMN = 8442 MN
SMX = 8445 SMX = 8443

MN

8442 4690 93.8956 2816 6569 8443 4691 938.055 2815 6567
6566 2813 939.478 4692 8445 6567 2814 938.245 4691 8443

FIGURE 5.6 ANSYS simulation results of an 800m diameter solid disk gyroscope in (100) silicon.
(a)Primary elliptic modes with f=1.08MHz and (b) secondary elliptic modes with f=70Hz.

600 7.60

500 7.50

400 7.40
Frequency (MHz)
f (Hz)

300 7.30
Freq. split
Freq.
200 7.20

100 7.10

0 7.00
0 4 6 8 10 18
Release hole diameter (m)

FIGURE 5.7 The effect of release hole sizes on the frequency split (f) of two modes and operating
frequencies.
Bulk Acoustic Wave Gyroscopes 103

Release holes VDC through poly trace

FIGURE 5.8 The process flow of a center-supported silicon disk gyro on SOI wafer.

If an isotropic material (such as [111] SCS or polysilicon) is used, the two primary elliptic degen-
erative modes, spatially 45 apart, have identical resonance frequencies (f=70Hz). The same
perforation design method employed for (100) SCS disks can be applied to (111) SCS disks [20].
However, in (111) SCS substrates, release holes need to be placed and repeated symmetrically every
45, instead of every 30 as required in (100) SCS substrates.

5.4.2Fabrication Method
The BAW gyroscopes should be fabricated in a high-aspect-ratio process. Owing to the high fre-
quency of operation, large electrostatic forces should be applied to enable excitation of the device.
As a result, narrow gaps (in submicrometer) are necessary for these devices. The signal-to-noise
ratio can be enhanced largely if thick structures are employed. Thus, thick SOI substrates using the
HARPSS process [21,28,29] are used to implement these devices. The cross-section of fabricated
BAW gyros is shown in Figure 5.8 and is outlined thoroughly in Ref. [12].
It is worth noting that the center post diameter is approximately one-twentieth of the disk diam-
eter. The small center post size minimizes losses through support [20] and enhances the Q of the
device.

5.5 MEASUREMENTS OF BAW GYROS


A number of fabricated silicon disk gyroscopes were fabricated and characterized on a variety of
thick SOI substrates (3060m). The preliminary measurement results of 40-m-thick disk gyro-
scopes implemented in (100) SCS substrates are presented here. The MEMS gyroscope chip was
mounted on a printed circuit board (PCB) including a drive loop and sense amplifiers [9,20]. All
coupled drive, sense, and tuning electrodes as well as polarization voltage (VP) pads are connected
to the board through wire bonds. The board is placed inside a vacuum chamber, and coaxial cables
are utilized to apply signals to the device. The test setup used here is similar to the one reported in
Refs. [7,20].
The scanning electron microscope (SEM) view of a fabricated and exemplary 800-m diameter
disk gyroscope with nonuniform perforations is shown in Figure 5.9. The close-up view of the elec-
trode area shows the capacitive gap of 200nm between the electrode and the disk in 40-m-thick
SOI, providing a gap aspect ratio of 200.

5.5.1Frequency Characterization and Mode Matching


The secondary elliptic modes of this prototype were observed at 5.95MHz with a frequency split
of 450Hz. This is in good agreement with ANSYS simulation results, as shown in Figure 5.10. The
Qs were measured to be 200,560 and 207,660 for the drive and sense modes in 1mTorr vacuum,
104 MEMS: Fundamental Technology and Applications

Release Poly-silicon 200-nm capacitive gap


holes trace
(100) SCS
disk

40-m thick
poly-silicon
SE 03-Mar-08 WD32.2 mm 5.00 kV x100 500 um
electrode
WD22.5 mm 5.00 kV x1.8 k 25 um

FIGURE 5.9 SEM view of an 800-m diameter silicon disk gyro with a 200-nm gap and a 40-m-thick disk.

Ach log MAG 3 dB/ REF 12dB 4.6831 dB Ach log MAG 3 dB/Reg 12 dB 4.7719 dB
Step = 1
Sub = 4
5.949167 MHz Step = 1 5.949624 MHz
Freq. = .612E+07 Sub = 5
UX
RSYS = 1
(AVG) Trk Freq. = .613E+07
UX (AVG) Trk
RSYS = 1
DKX = 8934
SMN = 8913 BW: 29.663 Hz DMX = 8945
SKN = 8895
BW: 28.651 Hz
SMX = 8888
0 Cent: 5.9491679 MHz SMX = 8923
0 Cent: 5.9496243 MHz
Q: 200.56 k Q: 207.66 k
8913 4957 1001 2955 6910 2 3 Loss: 4.6831 dB 2 3 Loss: 4.7719 dB
6935 2979 976.709 4932 8888 8895 4935 975.682 2984 6943

L.F: 16.923 Hz 6915 2955 1004 4964 8923


L.F: 19.98 Hz
R.F: 12.741 Hz R.F: 8.671 Hz

Freq. = 5.95 MHz


Freq. = 5.95 MHz
QDrive = 200,560
QSense = 207,660
BW = 15 Hz
BW = 15 Hz
In 1 mTorr vacuum
In 1 mTorr vacuum
IF BW 10 Hz Power 2 dBm SWP 47.28 s IF BW 10 Hz Power 2 dBm SWP 47.28 s
Center 5.94917 MHz Span 200 Hz Center 5.94963 MHz Span 200 Hz

FIGURE 5.10 The measured frequency response and ANSYS simulation results for an 800-m diameter
silicon disk gyroscope operating in its secondary elliptic modes, having the resonance frequency of 5.95MHz
with f=450Hz at VP=6V in 1 mTorr vacuum.

respectively. The corresponding Q values at 1Torr vacuum were still very high and recorded to be
185,280 and 192,060, respectively.
The as-fabricated frequency split of 450Hz (~75ppm compared to the operating frequency
of 5.95MHz) was electrostatically nulled to improve the device performance (Figure 5.11). The
quadrature error was also minimized by adjusting the appropriate quadrature voltages. The mode-
matched Qs of 180,000 and 235,810 are measured, respectively, before and after quadrature signal
minimization.

5.5.2 Performance Characterization


The scale factor is measured only from one sense electrode both at split modes and at matched
mode. The rate sensitivity in mode-matched condition after quadrature cancellation (Figure 5.11c)
was also measured. Figure 5.12 shows the measured rate sensitivity of 267V//s with discrete elec-
tronics at VP of 13.2 V and mode-matched Q of 235,810 in 1 mTorr vacuum.

5.5.3Quality Factor Characterization


The temperature-induced frequency drift of a similar prototype was measured using a tempera-
ture-controlled chamber. As shown in Figure 5.13a, the measured frequency drifts for both drive
and sense modes have a linear trend with a slope of 26ppm/C over the temperature range 5C
(a) (b) (c)
Ach log MAG 5 dB/ REF 25 dB 40.92 dE Ach log MAG 5 dB/ REF 5 dB 8.689 dB A/R log MAG 3.5 dB/ REF 10 dB 2.9769 dB
5.947025 MHz 5.94827675 MHz
1 2 5.94816025 MHz
Trk 0 1 Trk
Bulk Acoustic Wave Gyroscopes

BW: 86.867 Hz BW: 25.224 Hz


0 Cent: 5.9482471 MHz 2 3
Cent: 5.9481589 MHz
2 1 3 Q: 68.475 k Q: 235.81 k
Loss: 8.689 dB
Loss: 2.9769 dB
L.F: 93.011 Hz
L.F: 8.916 Hz
R.F: 6.144 Hz
R.F: 16.309 Hz

f = 450 Hz f = 60 Hz f = 0 Hz

IF BW 10 Hz Power 2 dBm SWP 47.26 s IF BW 100 Hz Power 10 dBm SWP 5.93 s IF BW 10 Hz Power 3 dBm SWP 94.69 s
Center 5.949525 MHz Span 5 kHz Center 5.94829675 MHz 1 kHz Center 5.94815525 MHz Span 1 kHz

FIGURE 5.11 Collection of plots showing electrostatic frequency tuning and mode-matched operation in BAW disk gyroscope in (100) SCS.
105
106 MEMS: Fundamental Technology and Applications

25
20
15
10
5

Vout (mV)
0
100 75 50 25 5 0 25 50 75 100
10
15
20
25
Rotation rate (/s)

FIGURE 5.12 The measured rate sensitivity results from silicon disk gyroscope at mode-matched operation
after quadrature cancellation with VP=13V and Q=236,000, using discrete electronics.

(a) (b)
5.898 175
~ 4% reduction in Q over T = 60C
5.896 170

5.894 165
Frequency (MHz)

5.892 160
155
5.890
Q (K)

Slope = 26.2 ppm/C 150


5.888
145
5.886
140
5.884 Sense mode (1 mTorr)
Drive mode 135 Drive mode (1 mTorr)
5.882 Sense mode Sense mode (2 Torr)
130
5.880 Drive mode (2 Torr)
5 10 25 40 55 125
5 10 25 40 55
Temperature (C) Temperature (C)

FIGURE 5.13 Measured temperature characteristics of the frequency and Q in BAW gyros, showing a linear
frequency drift profile with a slope of 26ppm/C. The Q dropped ~4% for both drive and sense modes at
T=60C. An optimized design characterization.

to 55C. The frequency drift is mainly due to the temperature dependence of Youngs modulus of
silicon, resulting in both degenerate modes tracking each other with the same slope. This implies
the stability of the frequency separation between the modes over a temperature range 60C. Also,
the Qs of both modes were characterized over the same temperature range both in 1 mTorr and in
2 Torr vacuum pressure and are shown in Figure 5.13. The Qs were dropped ~4% over the 60C
temperature range. This indicates that the Qs in BAW modes are not mainly limited by the TED
mechanism in contrast with low-frequency gyros [3,30], resulting in performance stability over
temperature.
The design of the perforations in the disk gyroscopes was optimized to significantly reduce
the frequency split between the two modes. An SEM view of a fabricated 800-m diameter (100)
SCS disk gyroscope including uniform 6-m diameter holes on a 40-m-thick SOI along with the
ANSYS simulation results is shown in Figure 5.14.
The frequency response of this prototype was measured and shown in Figure 5.15 and is in
very good agreement with the ANSYS simulation results (with a difference of 2.8%). This very
small difference can be readily attributed to slight geometric differences between the fabricated
and designed structures. The secondary elliptic modes were observed to be matched at 7.30MHz
in 1 mTorr vacuum and air (with very small frequency separation of less than 100Hz). The
Bulk Acoustic Wave Gyroscopes 107

(a)
Symmetrical
uniform 6-m
release holes

Poly-silicon trace
30 m X_GAO Scan speed = 6 Width = 1.030 mm 111 X WD = 36.7 mm
16 Aug 2008 18:13:31 Frame Int Busy N = 150 Stage at T = 36 6 500 kV Stage A = SE2

(b)

1 ANSYS 1 ANSYS
Nodal solution Nodal solution
STEP = 1 STEP = 1
SUB = 5 SUB = 6
FREQ = .750E+07 FREQ = .750E+07
UX (AVG) UX (AVG)
RSYS = 1 z x RSYS = 1 zx
DMX = 8701 DMX = 8703
SMN = 8655 SMN = 8655
SMX = 8655 SMX = 8658

8655 4808 961.444 2885 6732 8655 4808 960 .269 2887 6734
6731 2885 961.901 4809 8655 6731 2884 963.422 4811 8658

FIGURE 5.14 (a) SEM view of a disk gyro with uniform 6-m diameter holes in a 40-m-thick silicon disk
and (b) ANSYS simulation results.

mode-matched Qs of 73,000 and 14,435, respectively, were measured in 1mTorr vacuum and air
at VP=7 V.
The rotation rate sensitivity was measured only from one sense electrode in air, as shown in
Figure 5.15c. The measured rate sensitivity of 64.5V//s is recorded with discrete electronics and
VP of 12.5V, with Q at a mode-matched Q of 14,435. The measurement results in air confirms that
the large stiffness of BAW gyroscopes makes them less sensitive to environmental pressure, obvi-
ating the need for high-vacuum encapsulation. This simplifies wafer-scale packaging for reduced
manufacturing cost in these devices.

5.6SUMMARY
An overview of high-frequency BAW gyroscopes is presented in this chapter. The concept of the
BAW gyroscopes is introduced and the principle of operation for these devices is discussed. The
fundamental parameters of the BAW gyroscopes design are addressed. The degenerate in-plane
elliptic modes are employed for the operation of these devices and the normal bulk mode model
is derived using the Lagrange method. The performance parameters including sensitivity, resolu-
tion, and dynamic range are analyzed and explained. The implementation methods for BAW gyros
are presented. Finally, the preliminary characterization and measurement of these devices are pre-
sented, followed by the environmental effects on the performance of the devices.
108

(a) (b) (c)


A/R log MAG 2 dB/REF 16 dB 10.086 dB A/R log MAG 5 dB/REF 50 dB 34.735 dB
7.300165 MHz 7.3001 MHz
Trk Trk 6
0 BW: 99.822 Hz 0 BW: 505.711 Hz 5
Cent: 7.3001619 MHz 2 3 Cent: 7.3001578 MHz
Rate sensitivity 4
Q: 72.881 k Q: 14.435 k
Loss: 10.086 dB Loss: 34.735 dB
64.5 V//s 3
2 3
L.F: 62.975 Hz L.F: 195.015 Hz In air 2
R.F: 36.847 Hz R.F: 310.896 Hz 1
0
90 70 50 30 10
1 10 30 50 70 90
Vout (mV)
2
3
Freq. = 7.30 MHz Freq. = 7.30 MHz
4
Qeffective = 72,881 Qeffective = 14,345 5
BW = 50 Hz BW = 505 Hz 6
In 1 mTorr vaccum In air Rotation rate (/s)

IF BW 10 Hz Power 2dBm SWP 94.69 s IF BW 30 Hz Power 0 dBm SWP 23.54 s


Center 7.300175 MHz Span 2 kHz Center 7.3001 MHz Span 10 kHz

FIGURE 5.15 The measured response in BAW gyro with uniform 6-m diameter holes, showing the mode-matched operation without tuning and Q of (a) 73kHz in
vacuum, (b) 14.4 k in air, and (c) the rate results in air.
MEMS: Fundamental Technology and Applications
Bulk Acoustic Wave Gyroscopes 109

ACKNOWLEDGMENTS
This research was performed at Georgia Institute of Technology in IMEMS group from 2004 to
2008. The author would like to thank several individuals who provided assistance in the course of this
research: Dr. Farrokh Ayazi for his valuable mentoring; Dr. Siavash Pourkamali, Dr.Reza Abdolvand,
Dr. Ajit Sharma, and Dr. Mohammad Faisal Zaman for their valuable discussion; and Mrs Jalpa Shah
and Mr. Milap Dalal for their assistance in characterizations. Also, the author would like to thank the
staff of Microelectronics Research Center (MiRC) at Georgia Tech for their assistance.

REFERENCES
1. W. A. Clark, R. T. Howe, and R. Horowitz, Surface micromachined z-axis vibratory rate gyroscope, In
Proceedings of Solid-State Sensors and Actuators Workshop, Hilton Head Island, SC, June 1996, pp.283287.
2. H. Xie and G. Fedder, A DRIE CMOS-MEMS gyroscope, In Proceedings of the IEEE Sensors04,
Vienna, Austria, October 2004, pp. 14131416.
3. M. F. Zaman, A. Sharma, and F. Ayazi, High performance matched-mode tuning fork gyroscope, In
Proceedings of IEEE/ASME Conference on Microelectromechanical System (MEMS06), Istanbul,
Turkey, January 2006, pp. 6669.
4. F. Ayazi and K. Najafi, A HARPSS polysilicon vibrating ring gyroscope, IEEE Journal of
Microelectromechanical Systems, 10(2), 161179, 2001.
5. M. F. Zaman, A. Sharma, B. V. Amini, and F. Ayazi, The resonating star gyroscope, In Proceedings of
the IEEE/ASME Conference on Microelectromechanical System (MEMS05), Miami, FL, January 2005,
pp.355358.
6. A. Sharma, M. F. Zaman, M. Zurcher, and F. Ayazi, A 0.1/hr bias drift electrically matched tuning fork
microgyroscopes, IEEE/ASME Conference on Microelectromechanical System (MEMS08), Tucson, AR,
January 2008, pp. 69.
7. M. F. Zaman, Degree-per-hour mode-matched micromachined silicon vibratory gyroscopes, PhD
Dissertation, Electrical and Computer Engineering Department, Georgia Institute of Technology, April 2008.
8. H. Johari and F. Ayazi, Capacitive bulk acoustic wave silicon disk gyroscopes, In Technology Digest of
International Electron Device Meeting (IEDM), San Francisco, CA, December 2006, pp. 513516.
9. J. Shah, H. Johari, A. Sharma, and F. Ayazi, CMOS ASIC for MHz silicon BAW gyroscope, In Proceedings
of International Symposium on Circuits and Systems (ISCAS), Seattle, WA, May 2008, pp. 24582461.
10. M. E. McNie etal. Design and fabrication of a micromachined silicon ring gyroscope, In Proceedings
of the 12th European Conference on Solid-State Tranducers and the 9th UK Conference on Sensors and
Their Applications, Southampton, UK, Vol. 1, 1998, pp. 369372.
11. M. W. Putty and K. Najafi, A micromachined vibrating ring gyroscope, In Technology Digest of Solid-
State Sensor and Actuator Workshop, Hilton Head Island, SC, June 1994, pp. 213220.
12. H. Johari and F. Ayazi, High frequency capacitive disk gyroscopes in (100) and (111) silicon, Proceedings
of the 20th IEEE International Conference on Micro Electro Mechanical Systems (MEMS 2007), Kobe,
Japan, January 2007, pp. 4750.
13. J. Kim etal. Why is (111) silicon a better mechanical material for MEMS? In Technology Digest of the
International Conference on Solid-State Sensors and Actuators (Transducers01), Munich, Germany,
June 2001, pp. 662665.
14. H. Guohong and K. Najafi, A single-crystal silicon vibrating ring gyroscope, In Proceedings of IEEE
Conference on Microelectromechanical System (MEMS02), Los Vegas, January 2002, pp. 718721.
15. M. W. Putty, A micromachined vibrating ring gyroscope, PhD Dissertation, University of Michigan,
December 2000.
16. Z. Hao, S. Pourkamali, and F. Ayazi, VHF single crystal silicon elliptic bulk-mode capacitive disk resonators;
Part I: Design and modeling, IEEE Journal of Microelectromechanical Systems, 13(6), 10431053, 2004.
17. W. T. Thompson, Theory of Vibration with Applications, Prentice-Hall, Englewood Cliffs, NJ, 1998.
18. S. S. Rao, Mechanical Vibration, 4th edition, Pearson Prentice-Hall, Upper Saddle River, NJ, 2004.
19. M. Onoe, Contour vibrations of isotropic circular plates, Journal of Acoustic Society American, 28(6),
11581162, 1956.
20. H. Johari, Micromachined capacitive silicon bulk acoustic wave gyroscopes, PhD Dissertation,
Mechanical Engineering Department, Georgia Institute of Technology, December 2008.
21. F. Ayazi, A high aspect ratio high-performance polysilicon vibrating gyroscopes, PhD Thesis, University
of Michigan, 2000.
110 MEMS: Fundamental Technology and Applications

22. R. A. Johnson, Mechanical Filters in Electronics, Wiley, New York, 1983.


23. Z. Hao and F. Ayazi, Thermoelastic damping in flexural-mode ring gyroscopes, In ASME International
Mechanical Engineering Congress and Exposition (IMECE 2005), Orlando, FL, November 2005.
24. A. Duwel, J. Gorman, M. Weinstein, J. Borenstein, and P. Ward, Quality factors of MEMS gyros and the
role of thermoelastic damping, In Proceedings of IEEE/ASME Conference on Microelectromechanical
Systems (MEMS02), Los Vagas, NV, January 2002, pp. 21419.
25. A. Duwel, J. Gorman, M. Weinstein, J. Borenstein, and P. Ward, Experimental study of thermoelastic
damping in MEMS gyros, Sensors and Actuators A, A103(12), 7075, 2003.
26. J. Yang, T. Ono, and M. Esashi, Energy dissipation in submicrometer thick single-crystal silicon cantile-
vers, Journal of Microelectromechanical Systems, 11(6), 775783, 2002.
27. W. Nowacki, Dynamic Problems of Thermoelasticity, Noordhoof International, Leyden, 1975.
28. F. Ayazi and K. Najafi, High aspect-ratio combined poly and single-crystal silicon (HARPSS) MEMS
technology, IEEE Journal of Microelectromechanical Systems, 93, 288294, 2000.
29. S. Pourkamali, Z. Hao, and F. Ayazi, VHF single crystal silicon elliptic bulk-mode capacitive disk reso-
nators. Part II: Implementation and characterization, IEEE Journal of Microelectromechanical Systems,
13(6), 10541062, 2004.
30. M. F. Zaman, A. Sharma, Z. Hao, and F. Ayazi, A mode-matched silicon yaw tuning fork gyroscope
with sub-deg/hr Allan deviation bias instability, IEEE Journal of Microelectromechanical Systems, 17(6),
15261536, 2008.
6 Mechanically Flexible
Interconnects and TSVs
Applications in CMOS/MEMS
Integration
Hyung Suk Yang, Paragkumar Thadesar, Chaoqi Zhang,
andMuhannad Bakir

CONTENTS
6.1 Introduction........................................................................................................................... 111
6.2 Need for Integration of MEMS and Circuitry....................................................................... 112
6.3 Conventional Method of Integration...................................................................................... 113
6.3.1 Monolithic Integration............................................................................................... 113
6.3.2 Hybrid Integration..................................................................................................... 114
6.3.3 Emerging Method of Integration and 3D Integration of CMOS and MEMS........... 115
6.4 Flexible I/Os and Mechanically Flexible Interconnects........................................................ 116
6.5 Case Study: Mechanically Flexible Interconnect.................................................................. 118
6.5.1 Solder Confinement................................................................................................... 119
6.5.1.1 Fabrication of MFIs.................................................................................... 119
6.5.1.2 Mechanical Testing of MFIs....................................................................... 120
6.6 Case Study: Through-Silicon Via for MEMS....................................................................... 122
6.6.1 Challenges of Fabricating TSVs in Thick Chip......................................................... 122
6.6.1.1 Stress........................................................................................................... 122
6.6.2 Seed Layer Fabrication.............................................................................................. 125
6.6.3 Elimination of CMP for Post-MEMS TSV Fabrication............................................ 126
6.7 Summary............................................................................................................................... 127
References....................................................................................................................................... 127

6.1INTRODUCTION
The steady growth of the microelectromechanical system (MEMS) industry in the last two decades
has been nothing short of incredible. Currently, it is an $8B industry (as of 2010) and by the year
2015, it is projected to more than double and become a $17B industry (Eloy, 2010). Furthermore,
what was a technology with a very limited number of commercial applications available for decades,
namely inkjet heads, digital light projectors, and automobile sensors systems, is now becoming
ubiquitous (Marek and Gmez, 2012).
However, despite the rapid growth of the industry, it is also hard to ignore the fact that the market
is dominated by a very limited number of device types and also by very few MEMS powerhouses
such as STMicroelectronics and Texas Instruments. Considering the vast interest and huge potential
of MEMS technology demonstrated by the universities and research laboratories around the world,
it is disappointing to see that the commercial world has not yet encompassed the full potential of

111
112 MEMS: Fundamental Technology and Applications

MEMS device technology; emerging MEMS technology represent only 10% of MEMS market, and
even this 10% is related to the reuse of existing devices in new applications or packaging or integra-
tion of the existing devices in a new way (MEMS Market Overview, 2010).
What is preventing new MEMS device technologies from being commercialized? One possible
answer is the cost. MEMS devices, especially ones that require the use of novel materials or unconven-
tional processes, are extremely costly to turn into a commercial product due to what Yole Development
call MEMS LawOne product, one process, one package (MEMS Market Overview, 2010). This
MEMS Law refer to the observed trend that fabrication processes and packages needed by MEMS
devices are so unique to those devices that both the fabrication process and packages cannot be stan-
dardized and therefore both need to be custom-designed for each unique product. Compared to the
microelectronics industry where many small successful fabless companies exist, taking advantage of
dedicated foundry like TSMC (Taiwan Semiconductor Manufacturing Company) to handle fabrica-
tion and packaging needs, many of the MEMS companies require a significant initial investment.
This makes it difficult for a completely new MEMS technology or new companies to enter the
market. Naturally, much effort is being expended in finding new applications for existing devices
and packaging existing devices in more efficient and cost-effective manner as noted previously.
However, there are emerging integration and packaging technologies for MEMS that aim to
address this issue in the market. These technologies aim to create a generic integration scheme and
packaging platform that can be used by wide range of MEMS (and sensors) devices without signifi-
cant modification or engineering.
Specifically, by leveraging new advances in flexible I/O (input/output) technologies and through-
silicon via (TSV) technologies, one can create a generic integration platform for state-of-the-art
complementary metal-oxide-semiconductor (CMOS) and arbitrary MEMS devices.

6.2 NEED FOR INTEGRATION OF MEMS AND CIRCUITRY


For a system incorporating MEMS devices to operate, the devices must be connected to a read-
out (sensors) or a driving circuitry (actuators). This is because signals from the MEMS transducer
devices produce very small signals and require signal conditioning, amplification, and in many
cases require conversion to digital signals (Baltes etal., 2005).
For example, a modern capacitive accelerometer device designed by Jiangfeng Wu etal. (2004)
has a device output sensitivity of 0.6fF/g and a linear range of 6g. This means that the circuit must
be capable of resolving capacitance changes in the ~10aF range. Of course, the ability to resolve
such a small signal is often a function of both circuit design and device design, fundamentally;
however, performance of the interconnect technology connecting the device and the circuit also
plays a significant role.
Specifically, in capacitive sensing systems, parasitic capacitance of the circuit is correlated to the
minimum detectable capacitance (resolution) (Yazdi etal., 2004; Seraji and Yavari, 2011). Despite
the differences in the degree of sensitivity to the parasitic capacitance in various types of circuits
used, it is possible to discern that an increase in the parasitic capacitance will increase the minimum
detectable capacitance change in all cases, thereby resulting in the reduction of the sensitivity and
overall resolution of the system (Yazdi etal., 2004). For some circuits where the effective parasitic
capacitance is not reduced using techniques such as bootstrapping, it can also attenuate the signal at
the input of the amplifier circuit, in turn affecting the sensitivity and resolution of the system even
more. For high-frequency systems, other parasitic parameters play an important role; Joseph etal.
(2008) show that inductance of interconnects play an important role in determining the perfor-
mance of the radio frequency (RF) system.
What is evident is that, for a system that involves MEMS or sensors, the interconnect perfor-
mance plays a vital role in determining the overall performance of the system; for an integration
and packaging platform, the capability to provide low parasitic interconnects are just as important
as the performance of devices.
Mechanically Flexible Interconnects and TSVs 113

6.3 CONVENTIONAL METHOD OF INTEGRATION


For reasons stated in the previous section, the integration technologies for MEMS is a vital part of
the system development that determines what type of interconnects are available for use. In modern
systems, one can broadly categorize packaging and integration methods of MEMS into two catego-
ries: monolithic integration and hybrid integration. Most MEMS products currently in market uses
one of these two methods, each with its own advantages and disadvantages.

6.3.1 Monolithic Integration


Monolithic integration is when the CMOS and MEMS are fabricated in the same silicon chip
as shown in Figure 6.1. Due to the use of on-chip interconnects for connecting MEMS devices
to required circuits, this method of integration has a very low parasitic capacitance; though the
capacitance depends on the length of interconnects, Fedder (1998) reported on-chip interconnect
capacitance of 0.017pF and approximately 0.3pF/mm (Krishnamoorthy etal., 2011). Also, due
to the fine pitch wires available with the CMOS IC BEOL process, even a large array of MEMS/
sensors can be individually interconnected. Monolithically integrated chips, which contain both
CMOS and MEMS, are fabricated at the wafer level, and therefore, the unit cost can also be low-
ered significantly.
There are three general approaches in monolithic integration of CMOS and MEMS:

1. Pre-CMOSMEMS before CMOS


2. Intra-CMOSMEMS between FEOL and BEOL
3. Post-CMOSMEMS after CMOS

The main differences between the three are related when the MEMS devices are fabricated with
respect to CMOS FEOL and BEOL processes. The choice of the approach used will largely depend
on the material and processes required for the fabrication of MEMS devices. This is due to the fact
that presence of two dissimilar technologies in the same substrate imposes many restrictions on
processes and materials one can use for CMOS and MEMS processes. The restriction is often based
on technical reasons, including

Different doping requirement of the Si substrate by CMOS and MEMS; both CMOS device
and bulk Si etch common in MEMS are sensitive to doping type and concentration and
require unconventional starting Si wafer to be used (Muller etal., 2000).
Thermal budget available during the postprocessing; long thermal process and/or high-
temperature process can change the doping profile and alter device characteristics (Sedky
et al., 2001). Studies by Huang et al. (2008) show an example that additional effort is
required in trying to keep thermal processes in MEMS under a certain temperature.

MEMS devices and sensors

CMOS device side


Solder ball CMOS IC

Through-hole via
Package
substrate

FIGURE 6.1 Monolithic integration of CMOS and MEMS.


114 MEMS: Fundamental Technology and Applications

Permitted material available due to process compatibility concerns; materials that intro-
duce impurity and/or are incompatible with CMOS process such as metals can only be
used selectively. Materials such as poly-Si are commonly used as conductors.

Sometimes, the restriction is based on practical and economic reasons as well; for example,
astate-of-the-art CMOS foundry will be unlikely to process your wafers with MEMS already pres-
ent on it due to contamination concerns. This means that, without an in-house fabrication capabil-
ity, post-CMOS MEMS process is the only likely option available for most MEMS designers. As a
result, monolithic integrations nonrecurring cost, which includes research and development cost,
is quite high.
In summary, the monolithic integration provides low parasitic interconnections, a high-perfor-
mance circuitry, low unit cost, and the ability to integrate a large array of MEMS/sensor devices;
however, it is a complex and expensive process to develop that may impose significant restriction
on the fabrication of MEMS devices. As a result, monolithic integration is used by MEMS products
that require high-performance integration and by-products that expect a large-scale volume.

6.3.2Hybrid Integration
On the opposite end of the spectrum to monolithic integration is the hybrid integration, also known
as the package-based integration. Hybrid integration refers to configurations where MEMS and
CMOS are fabricated on separate chips using completely independent processes and then assembled
onto the same package substrate.
This is currently the most commonly used method of integrating CMOS and MEMS (Witvrouw,
2006). This is because, unlike monolithic integration, the integration method allows arbitrary
MEMS chips and state-of-the-art CMOS ICs to be integrated with relative ease; CMOS ICs and
MEMS chips are fabricated independently and as a result both CMOS and MEMS fabrications can
be done without being limited to specific materials or processes as it is the case with the monolithic
integration.
Unfortunately, unlike monolithic integration where MEMS and CMOS interconnections are pro-
vided by short, low-parasitic on-chip interconnects, hybrid integration requires the use of either

1. long on-chip interconnects to redistribute signal to chips perimeter and wire bond
(Figure6.2) or
2. flip-chip bonding with long wires on the package substrate (Figure 6.3).

Both flip-chip and wire-bonding suffer from the large parasitic capacitance; the main source
of the capacitance is the pad capacitance, which depends on the size of the pad area. Pad size of
the bond wire can be as large as 100100m2, and the resulting capacitance ranges from 300 to
500fF (Krishnamoorthy and Goossen, 1998; Kisiel and Szczepanski, 2005). For a more advanced
assembly process, 3535m2 pitch pads are possible and results in 200300fF in pad capacitance

Bond wires
MEMS devices and sensors
CMOS device side
Die attach adhesive
MEMS chip CMOS IC

Through-hole via
Multilayer package substrate

FIGURE 6.2 Hybrid integration of CMOS and MEMS using wirebonds.


Mechanically Flexible Interconnects and TSVs 115

MEMS devices and sensors


Through-silicon via CMOS device side
Solder ball
MEMS chip CMOS IC

Through-hole via
Multilayer package substrate

FIGURE 6.3 Hybrid integration of CMOS and MEMS using flipchip.

(Karim and Agarwal, n.d.). Also these parasitics are, in addition to the on-chip wire parasitics that
maybe used in redistributing signals to the edge of the chip or even to the pads, slightly away from
the devices.
Owing to the limited wire pitch possible on the package substrate and also the limited bond wire
density, this method of integration makes it difficult to integrate a large array of MEMS/sensors.
Also, hybrid integration cannot be done in batches, as it is possible with monolithic integration; each
chip must be assembled individually to the package substrate, and if the wire bonding technology is
used, individual bond wire must be interconnected one at a time using a serial process. This serial
nature of the process increases the unit cost significantly.

6.3.3Emerging Method of Integration and 3D Integration of CMOS and MEMS


Despite the availability of monolithic and hybrid integration methods in the industry, however,
there exists a huge segment of market that is yet to be exploited. This stems from the fact that
the two integration methods forces drastic compromise between performance and cost. Monolithic
provides high-performance integration at the cost of material and process flexibility and integra-
tion complexity, whereas hybrid integration provides relatively simple integration with a significant
reduction in performance.
Recently, a new method of integrating MEMS has been proposed (Yang etal., 2010; Lee etal.,
2011); by leveraging the 3D integration technologies, it may be possible to provide the performance
matching that of the monolithic integration, and at the same time allow separate fabrication of
CMOS IC and MEMS, lifting the severe material and process limitations. By fabricating CMOS IC
and MEMS chip independently, assembling them on top of each other, and making vertical inter-
connections, MEMS designers are no longer restricted to a narrow process window available with
monolithic integration, nor the low-performance routing and redistribution wires used in a package-
based integration (Figure 6.4).
However, 3D integration of CMOS and MEMS has potential to do more than just addressing the
problems of conventional integration methodsit can provide new features. For example, as discussed
in Yang and Bakir (2010) and Yang etal. (2010), separating the MEMS and CMOS ICs into physi-
cally different chips makes it possible for one to discard and replace one of the chips during both the

Advanced I/O and 3D bonding

MEMS die

CMOS IC

FIGURE 6.4 3D integration of CMOS and MEMS require advanced I/O and 3D bonding technologies.
116 MEMS: Fundamental Technology and Applications

MEMS devices and sensors


Through-silicon via
CMOS device side
MEMS chip

Solder ball CMOS IC

Through-hole via
Package
substrate

FIGURE 6.5 3D integration of CMOS and MEMS using solder ball array.

MEMS devices and sensors


Through-silicon via
CMOS device side
MEMS chip

Solder ball CMOS IC

Through-hole via
Package
substrate

FIGURE 6.6 3D integration of CMOS and MEMS using mechanically flexible interconnect (MFI)
technology.

assembly process and during the lifetime of the system. For biosensor systems, where sensors may be
contaminated often, this means that only sensor chips can be replaced while the CMOS IC is reused.
3D integration of MEMS also provides a good opportunity to address common issues in MEMS
integrationstress. By interconnecting the MEMS die with CMOS ICs using an advanced inter-
connect technology that allows isolation of stress, it may be possible to reduce the thermomechani-
cal stress experienced by the MEMS devices significantly. For example, flexible interconnects have
a potential to provide such capability (Figures 6.5 and 6.6).
However, before one can leverage 3D integration for MEMS integration, many interconnect chal-
lenges must be resolved. The first is the problem of making sure that the MEMS and sensors are fac-
ing away from the package. This is important as some sensors require exposure to the environment,
or the sealing techniques for MEMS require that the chips face outwards. If the chip faces toward
the package, the presence of underfill or die attach adhesive may have an unwanted effect on the
MEMS device. This is a challenge, because stacking two chips requires that signals from one side
of the chip be routed to the other side of the chipa TSV technology is needed.

6.4 FLEXIBLE I/OS AND MECHANICALLY FLEXIBLE INTERCONNECTS


The concept of flexible structures as interconnects has been around for a while. The motivation for
such technology is due to the presence of the package substrate which plays a vital role in protecting
MEMS chips from various contaminants and provides mechanical support; unfortunately, one side
effect of having a composite structure with various materials fabricated, assembled, and operated at
various temperatures is stress.
Beginning with multiple generations of works is called sea-of-leads (SoL) (Bakir etal., 2002;
Dang et al., 2006). SoL aimed to mitigate thermomechanical stress issues mainly by providing
Mechanically Flexible Interconnects and TSVs 117

lateral compliance and lateral range-of-motion, and later work also included ways of providing few
micrometers of stand-off height and therefore some vertical compliance, by using a sacrificial layer
process. G-helix (Lo and Sitaraman, 2004), FlexConnect (Kacker and Sitaraman, 2008, 2009), and
-helix (Qi Zhu etal., 2003) are other examples of continued works in this area. Other examples
of compliant interconnects are discussed in Fjelstad (1998), Novitsky and Pedersen (1999), Fjelstad
etal. (2000), Kim etal. (2001), and Bakir and Meindl (2008).
However, these flexible interconnects have been designed to address a very specific problem
present in the CMOS IC packaging; many focus on the reliability issues due to thermomechanical
stress. However, unlike stress in MEMS where even a small amount of stress can drastically change
the device characteristics, for CMOS, one was more worried about physical destruction of the IC.
The mechanical characteristics of the flexible I/O for MEMS integration required were very differ-
ent and a new flexible I/O technology was needed.
Exactly, how significant does the stress affect MEMS? Package-induced stress actually may
have a devastating effect on the performance of MEMS device, and various methods have been
proposed and are being used to reduce the effect of stress on the MEMS device. For example, in
Lishchynska etal. (2007), as much as 37% change in the performance was reported as a result of
packaging stress.
One proposed solution for addressing the stress issue is by taking into account the effect of the
package-induced stress during the design stage of MEMS devices. By utilizing finite element mod-
els, it has been shown to be able to predict the chip warpage caused by both die attach adhesive and
ball grid array flip chip processes, and take into account the change in the geometry of the MEMS
devices after the packaging. For example, the effects of package-induced stress were determined
using simulations as shown in studies by Walwadkar etal. (2003) where 3.53.5mm2 silicon dies
were attached to ceramic package substrates using silver glass and polymide adhesives.
Once the simulation shows how and to what degree the package-induced warpage of the chip
affects the MEMS devices, one can either modify the design of the device to reduce the impact of
the warpage to the performance of the MEMS chip or sometimes even incorporate the warpage in
determining the MEMS geometries.
However, these simulations do not take into account the dynamic nature of the stress; the degree
of chip warpage caused by the stress change not just during the manufacturing process, but also
throughout the life cycle of the chip due to viscoelastic nature of the adhesive material used, as well
as varying conditions in which the system operates.
For example, in Joo and Choa (2007), the coefficient of thermal expansion (CTE) mismatch
between the Si chip and the package substrate caused, on average, 80Hz resonance frequency shift
in MEMS gyroscopes, where frequency shift of 30Hz was considered to substantially degrade the
sensor performance such as sensitivity and phase change resulting yield loss or failure. It was only
after several changes in the design and the material used for the device, the authors were able to
achieve a frequency shift of 20.7Hz.
In MEMS integration, flexible interconnects can provide benefits in multiple fronts other than
relieving stress. First, flexible interconnects can be used to compensate for nonplanar surfaces that
may exist, source of nonplanarity could be on the substrate as well as from the inherent limitation
of solder deposition method (Basavanhally etal., 2007) or other bonding mechanisms.
Second, flexible interconnects can be used to make temporary interconnections enabling the idea
of reusable electronics where the CMOS IC can be reused while the sensor chip is disposed. For areas
where sensors are often irreversibly contaminated, or if the cleaning of the sensors does not make
an economic sense, this ability to replace the sensor chip only can potentially bring down the cost of
operation. An example of such area is the biosensor application, where sensors can be contaminated
by blood or other biohazardous materials (Ravindran etal., 2010; Yang etal., 2010). It can also be
used for interconnecting a macro-chip with nanophotonics and proximity I/Os (Shubin etal., 2009).
In both instances, one requires flexible interconnect structures to have a higher stand-off height
and also a vertical range-of-motion that utilizes all of the available stand-off height.
118 MEMS: Fundamental Technology and Applications

6.5 CASE STUDY: MECHANICALLY FLEXIBLE INTERCONNECT


Mechanically flexible interconnect (MFI) is a flexible I/O technology developed at Georgia Tech
aiming at providing as much vertical range-of-movement as possible (50m) and also providing
benefits discussed in the previous section. To do this, the latest generation of MFIs has incorporated
several design features.

1.
Tapered interconnect structure To minimize the plastic deformation of the flexible inter-
connect structure during vertical deformation, a tapered interconnect design was used
instead of a more common constant width design; by linearly varying the width of the
beam, it is possible to distribute the stress more uniformly. This lowers the maximum
stress experienced by the beam as shown in the ANSYS simulation. Figure 6.7 shows the
reduction in the peak stress experienced by the structure due to the tapering shape.
2.
Curved beam design To allow 100% of the stand-off height to be utilized, it was also nec-
essary to diverge from the conventional cantilever design as shown in Figures 6.8 through
6.10. With such design, the range-of-movement would be restricted to the height of material
deposited on the tip of the beam, which in this case was the height of the solder ball. By hav-
ing a curved beam design, this problem can be avoided and it is the design used for the MFIs.

B: Static structural
B: Copy of static structural
Equivalent stress
Equivalent stress Type: Equivalent (voc)
Type: Equivalent (voc) Unit: MPa
Unit: MPa Time: 19 (Unconverb)
Time: 10 3/28/2009 3:18 PM
8/28/2009 3:18 PM 187.29 Max
173
225.07 Max 159.8
146.6
173 133.4
159.78 120.21
146.56 107.01
93.809
133.34 80.611
120.12 67.412
106.9 54.214
41.015
93.68 27.817
80.46 14.619
1.4201 Min
67.24
54.02
40.8
27.58
14.36
0.0 100.00 200.00 (m)
1.1399 Min
50.00 150.00 100.00 200.00 (m)
50.00 150.00

FIGURE 6.7 ANSYS FEM simulation comparing the tapered design with the constant width design of the
interconnect structures. The tapered design results in more uniform distribution of stress.

Standard beam

Curved beam

FIGURE 6.8 Comparing curved beam design and conventional cantilever design for use in flexible
interconnects.
Mechanically Flexible Interconnects and TSVs 119

FIGURE 6.9 SEM image showing the curved profile of a mechanically flexible interconnects (MFI).

65 m

10 m EHT = 5.00 kv Signal A = SE2 Date : 22 May 2011


? = 1.23 kx WD = 14 mm Photo no = 4232 Time : 19:30:13

FIGURE 6.10 Microscope and SEM images showing various versions of MFIs.

3.
Use of high-yield-point material and oxidation prevention Copper is a good material for
interconnects as it has a very low resistivity. However, there are two main challenges for using
copper for the flexible interconnects. The first is the low-yield point of the copper, which can
cause reliability issues at large deformation and the second is the issue of oxidation, as copper
readily oxidizes at room temperature. For the new generation of MFIs, an alternative material
(nickel/tungsten alloy) was used to improve the yield characteristic and surfaces of the struc-
tures were passivated by electroless gold plating to minimize oxidation (Zhang etal., 2012).

6.5.1Solder Confinement
Although MFI can be used to provide temporary interconnects as discussed above, if it is to be used
for permanent interconnection, ability to fabricate solder ball on it becomes critical. However, due
to the entire structure being metal, solder must be properly confined so that it does wet the entire
structure during the bonding process; wetting the entire interconnect structures can cause unexpected
mechanical behaviors and it can results in an inconsistent assembly result. A polymer ring was formed
on the pad area as shown in Figure 6.11, and the solder was deposited in the middle. Figure 6.12 shows
that solder is confined to the pad area only after reflowing. The polymer rings also allow electroplating
of various UBM metals underneath the solder; in this work, nickel used as an UBM.

6.5.1.1 Fabrication of MFIs


The fabrication process for MFI can be performed at the wafer level and are processes that can be
implemented following the end of the semiconductor back-end-of-the-line (BEOL) processes. This
allows MFIs to be fabricated on CMOS chips. Figure 6.13 shows an overview of the MFI fabrica-
tion process.
The first part of the process is the fabrication of the curved polymer surface. This is done by spin
coating a photo-definable sacrificial polymer and then reflowing it. Though the shape of the curved
polymer surface is created almost instantly, the reflowed polymer then needs to be cured at 150C
in order to increase the glass transition temperature (Tg) and to remove excess solvent.
120 MEMS: Fundamental Technology and Applications

(a) (b) (c)

Polymer ring Solder

FIGURE 6.11 Confining of a solder ball at the tip of MFIs using polymer rings.

FIGURE 6.12 SEM showing the confined solder ball after the reflow process.

Increasing the glass transition temperature of the polymer is critical, as initial glass transition
temperature is below many of the baking temperatures of the photoresists used in the following
processes. The optimal curing time and temperature were experimentally determined. Details of
the experiment as well as the fabrication process can be found in Yang and Bakir (2012). The second
part of the process is to deposit an electroplating seed layer on top of the reflowed polymer. The
third part of the process is to spin coat and pattern an electroplating mold for the electroplating of
the interconnect beam structure. Nickel tungsten alloy (or copper) is then electroplated. After the
electroplating process, the electroplating mold is removed. SU8 polymer ring is then formed and
another electroplating mold with an opening inside the polymer ring is formed. Nickel and solder
are then electroplated. Finally, the seed layer is removed followed by the removal of the sacrificial
polymer (using acetone), which releases the MFIs. MFIs are then coated with gold using electroless
gold plating process.

6.5.1.2 Mechanical Testing of MFIs


Mechanical testing of the MFIs was done with an indenter setup (Figure 6.14) that can measure the
vertical displacement as a function of the force applied. The results are shown in Figure 6.15. Two
important results can be obtained from the above results. The first is that the compliance of the
MFIs is predictable using simulations (ANSYS FEM) and can be engineered easily by changing the
thickness, which can be done quite easily by adjusting the electroplating time required. The second
is that the range of the compliance that can be achieved with MFIs is quite wide.
Mechanically Flexible Interconnects and TSVs 121

SiO2
Si 1. Pristine Si/SiO2 SU8

SiO2 8. Spin coat SU8


Polymer Si
SiO2
2. Spin and pattern
Si
polymer
SiO2
SiO2 Si 9. Pattern SU8
Si 3. Reflow/cure

Thick PR
10. Spin and pattern
SiO2 4. Sputter Ti/Cu/Ti SiO2 thick PR solder mask
Si seed layer Si

Thick PR
5. Spin and pattern
SiO2
thick PR
SiO2 11. Electroplate Ni and
Si Si solder and remove PR

Thick PR
SiO2
6. Electroplate Cu
SiO2 Si 12. Reflow solder
leads
Si

SiO2 SiO2
13. Remove seed
Si 7. Remove thick PR Si layer and dome PR

FIGURE 6.13 Process flow for the fabrication of MFIs.

MFI compliance requirements are not the same for all applications. Variables such as the size
of the chip and number of I/Os determine the mechanical requirements of individual MFI proper-
ties and ability to predict the properties allows MFI to be used for a wide range of applications. To
verify that MFIs are not yielding during the operation, one of the MFIs was indented multiple times.
Figure 6.16 shows that the mechanical characteristics of the MFI remain unchanged.

Hysistron triboindenter

Indenter tip

Displacement
vs. force graph

Compliant
leads

FIGURE 6.14 Test setup for measuring the compliance of a single MFI.
122 MEMS: Fundamental Technology and Applications

30
Simulated compliance
Measured compliance
25

20

Compliance (mm/N)
15

10

5 10 15 20 25
Thickness (m)

FIGURE 6.15 Graph showing the simulated and measured compliance of MFIs.

6.6 CASE STUDY: THROUGH-SILICON VIA FOR MEMS


As discussed in the previous section, TSV is a vital technology if MEMS is to be integrated verti-
cally (3D). This is because, there exists many cases where the MEMS devices must be placed on
the top chip facing outwards; for example, the MEMS sensor may require an interaction with the
material it is trying to sense.
Although many TSV technologies have been explored for fabrication in CMOS ICs, TSV in
MEMS chip presents vastly different challenges. First, TSV technology for use in MEMS chip must
be able to be used in (typically thicker than 300m) chips that are much thicker than the CMOS
IC. Second, in order to not restrict the MEMS fabrication in terms of processes and materials, TSV
must be able to be fabricated after the MEMS device.

6.6.1Challenges of Fabricating TSVs in Thick Chip


6.6.1.1Stress
A TSV generally consists of a metal conductor in vertical direction through silicon and thin dielec-
tric liner between the metal and the silicon. Various materials such as copper, tungsten, nickel, and
aluminum can be used as metal in TSVs. Copper is mostly used for the TSV metal part because
of the ease of fabricating high-aspect ratio TSVs in chips as well as in silicon interposer packages
using electroplating, better electromigration resistance, and comparatively lower resistivity. But
CTE of copper is almost seven times higher than that of silicon. This induces higher stress in silicon
surrounding the copper as well as causes reliability issues for the TSV structure when thermal load
is exerted on TSVs. Moreover, the previous section discusses the significant effect stress has on the
performance of MEMS devices.
TSV stresses increase as TSV diameter increases and the nature of these stresses is dependent on
the relative arrangement of TSVs (Lu etal., 2009; Jung etal., 2011), and because the processes for
TSVs are aspect ratio-limited, a TSV in thick MEMS chips requires that the diameter be very large
compared to TSVs in thin CMOS ICs.
Increased TSV stress can affect five regions in and around TSVs: silicon, copper, silicon
dioxide, copper-silicon dioxide interface, and BEOL layers near TSVs. First, owing to increased
stress in silicon, the mobility of carriers in silicon changes, affecting the operation of MOSFETs
in the regions near TSVs. This creates requirement of keep-out zones around TSVs to ensure
7 Gold passivated NiW MFIs
7
6
6
5
5
4 Two 4
months
3 1st
1st 3 2nd

Force (mN)
2nd

Force (mN)
3rd
2 3rd 2 10th
10th
30th
1 30th
1 70th
70th 100th
100th
0 0

0 10 20 30 40 50 0 10 20 30 40 50
Indentation depth (m) Indentation depth (m)
Mechanically Flexible Interconnects and TSVs

8 NiW MFIs 60
without gold passivation layer 55
7
50
6 45
Two
5 months 40
35 1st
4 2nd
1st 30 3rd
3 2nd 25 6th

Force (mN)
Force (mN)

3rd 9th
20 12th
2 10th
30th 15 15th
1 70th 10
100th
0 5
0
0 10 20 30 40 50 0 10 20 30 40 50
Indentation depth (m) Indentation depth (m)

FIGURE 6.16 Graph showing the change in the mechanical compliance of MFIs after two months with and without gold coating.
123
124 MEMS: Fundamental Technology and Applications

the desirable operation of MOSFETs as well as MEMS devices. The keep-out zone increases
as TSV stresses increase (Lu etal., 2009; Athikulwongse etal., 2010). Moreover, higher stress
in silicon may also lead to crack formation and propagation in silicon (Lu etal., 2009). Second,
due to increased TSV stresses, cohesive cracks can form and propagate in silicon dioxide as well
as in copper. Third, increased stresses at coppersilicon dioxide interface can lead to interfacial
crack propagation and interfacial delamination of the copper in TSVs (Andry etal., 2008; Liu
etal., 2009).
To reduce the effect of TSV stresses, there are several ways including effective stress-aware
placement of TSVs (Liu et al., 2009; Jung et al., 2011), using TSV conducting material (e.g.,
Tungsten) with CTE comparable to that of silicon (Andry etal., 2008; Bauer etal., 2009), intro-
ducing and optimizing prechemical mechanical polishing (CMP) anneal to reduce copper pump-
ing (Malta etal., 2011; Wolf etal., 2011), or using a thick stress buffer polymer cladding between
copper and silicon in TSVs instead of thin silicon dioxide liner to form polymer-clad TSVs (Parekh
etal., 2011). In polymer-clad TSVs, when a thick polymer material is selected with lower Youngs
modulus compared to silicon and copper, the polymer can absorb stress caused by the CTE mis-
match between copper and silicon. Various modeling results have been shown for polymer-clad
TSVs to show reduction in TSV stresses (Chen etal., 2009; Jung etal., 2011; Ryu etal., 2011). In
addition to reduction in TSV stresses, a significant reduction in TSV dielectric capacitance can
be obtained using polymer material with lower dielectric constant and with appropriate cladding
thickness (Civale etal., 2011).
For cladding purpose, various materials have been investigated in literature, including SU-8,
parylene, BCB, epoxy, and polymide. The cladding fabrication process can be done by vapor depo-
sition of polymer (e.g., parylene coating) (Majeed etal., 2008), filling of etched areas in silicon fol-
lowed by selective silicon etching to form vias with cladding (Civale etal., 2011) or photodefinition
of polymer filled in etched vias in silicon (Parekh etal., 2011). In the case of parylene deposition,
limited thickness may be obtained. In the case of filling etched trenches, the filling process would
be dependent on aspect ratio of trenches (the higher the aspect ratio, the difficult is the filling) as
well as on viscosity of polymer to be filled (difficult to fill polymers with higher viscosity). However,
the process of filling via openings with polymer and later photo-defining the polymer can be used to
fabricate high-aspect ratio polymer-clad TSVs for chips as well as silicon interposer packages, using
polymers with various range of viscosities (e.g., SU-8).
Parekh etal. (2011) fabricated SU-8-clad TSVs with 120-m outer diameter, 80-m inner diame-
ter (20-m thick annulus-shaped SU-8 cladding), and 390-m tall for silicon interposer application
(Figures 6.17 and 6.18). The 80-m inner diameter of TSVs meets ITRS 2010 projection of 80-m
diameter vias for silicon interposers for high-performance computing systems for the year 2017.
SU-8 is a widely used photoresist for fabrication of high-aspect ratio structures (Campo and Greiner,
2007). Youngs modulus of SU8 is very low (4GPa) when compared to that of silicon (185GPa) and
copper (117GPa). Consequently, SU8 can act as a stress buffer layer between silicon and copper
relieving TSV stresses. Along with stress reduction, due to 20-m thick cladding of SU-8 with rela-
tive dielectric constant 3 (silicon dioxide relative dielectric constant is 3.9), considerable reduction in

Copper Silicon

SU-8
cladding

FIGURE 6.17 Microscope image showing the SU-8 cladded through-silicon vias (top view).
Mechanically Flexible Interconnects and TSVs 125

FIGURE 6.18 Microscope image showing the SU-8 cladded through-silicon vias (cross-sectional view).

TSV capacitance can be obtained compared to TSVs with the same copper diameter, same length,
and with thin silicon dioxide liner.

6.6.2Seed Layer Fabrication


Large-diameter TSVs that result due to the thick chips create a fabrication challenge. Typically, a
TSV is filled using an electroplating process and requires a seed layer to be fabricated. Many con-
ventional TSV processes have fabricated this seed layer as shown in Figure 6.19.
After the via hole is etched using a DRIE, the seed layer is formed by first depositing a metal
layer around the via area and electroplating until the via holes are closed. The thick bulk metal
formed is then polished before the via is filled. Both the pinch off time and the polishing time are
very time-consuming processes.

SiO2 Si

SiO2

Seed
layer

SiO2 mesh
fabrication

Seed layer
deposited
Electroplated until via hole is closed

Electroplate for pinch off (very


short time; independent of via
Bulk metal is lapped and polished diameter)

FIGURE 6.19 Conventional process flow for fabricating seed-layer for electroplating up through-silicon via
in thick wafers.
126 MEMS: Fundamental Technology and Applications

Lai et al. (2010) introduces an alternative method called mesh process that eliminates this
p rocess. This process starts with the deposition of SiO2 layer where the TSVs will be formed. SiO2
is used as the stop layer for the DRIE via hole etch. In the suspended SiO2 layer, a mesh is patterned
and etched as shown in Figure 6.19. A metal layer is evaporated on top and then electroplated. A
short electroplating session is enough to close up the mesh holes, creating a seed layer through the
mesh layer in which the TSVs can be electroplated. The fabrication results show that the presence
of mesh does not create voids during the electroplating process.

6.6.3Elimination of CMP for Post-MEMS TSV Fabrication


As discussed previously, fabricating TSVs must be done post-MEMS to not restrict MEMS devices
in terms of processes and materials that can be utilized. However, most TSV processes require
CMP to be performed on the side where a sensitive MEMS device may be present. Conventional
TSV process flow requiring CMP process is shown in Figure 6.20. For a post-MEMS fabrication of
TSVs, a new planarization method is needed.
In Lai etal. (2010), a chemical planarization method is introduced which can be used safely with
a wide range of MEMS devices already present on the substrate. The process involves the use of
second type of metal, different from the via material, and exploits the mesh process introduced in
the previous section. The process begins after the fabrication of the seed layer. Instead of using the
seed layer to fill up the via completely with copper, a thin layer of nickel is electroplated first. After
the nickel electroplating, copper is electroplated. Then, the side without SiO2 is covered and the
sample is placed in a chemical copper etchant bath, and because nickel does not etch in the copper
etchant, the etch stops when the copper seed layer is removed and the nickel layer is exposed in the
via. The process flow is shown in Figure 6.21.
Without the mesh process, there exist two mechanical polish steps on one side (the side with the seed
layer) and another one on the opposite side. By using mesh to pinch off, the need for thinning down the
bulk metal seed layer is eliminated and by using two-metal chemical planarization technique, the need
for the mechanical planarization is also eliminated. Therefore, if one fabricates MEMS devices on the
side where the mesh is present, this process allows TSVs to be fabricated post-MEMS.

MEMS device

Copper

Polished

Need copper CMP CMP can damage


sensitive MEMS
devices

FIGURE 6.20 Conventional process flow for filling via holes for through-silicon via require chemical and
mechanical planarization (CMP) which can damage sensitive devices.
Mechanically Flexible Interconnects and TSVs 127

Copper

Electroplate nickel

Electroplate copper

Polish copper
(non-MEMS side)

FIGURE 6.21 The mesh seed-layer fabrication process and eliminate the need for the CMP process.

6.7SUMMARY
3D integration of CMOS and MEMS provides the performance of monolithic integration and the
process simplicity of hybrid integration. Key to exploiting all the benefits of 3D integration for
CMOS and MEMS is in leveraging advanced interconnect technologies such as flexible intercon-
nects and TSVs. In this chapter, the motivation and need for such interconnects are discussed as well
as overview of challenges involved in design and fabrication of such interconnects.

REFERENCES
Andry, P.S., Tsang, C.K., Webb, B.C. etal., 2008. Fabrication and characterization of robust through-silicon
vias for silicon-carrier applications. IBM Journal of Research and Development, 52, 571581.
Athikulwongse, K., Chakraborty, A., Yang, J.-S., Pan, D.Z., Lim, S.K., 2010. Stress-driven 3D-IC place-
ment with TSV keep-out zone and regularity study. In: Proceedings of the International Conference on
Computer-Aided Design (ICCAD10). IEEE Press, Piscataway, NJ, USA, pp. 669674.
Bakir, M.S., Meindl, J.D., 2008. Integrated Interconnect Technologies for 3D Nanoelectronic Systems, 1st ed.
Artech House Publishers, Norwood, MA.
Bakir, M.S., Thacker, H.D., Zhou, Z. etal., 2002. Sea of leads microwave characterization and process integra-
tion with FEOL and BEOL. In: International Interconnect Technology Conference 2002, Proceedings of
the IEEE, Burlingame, CA, pp. 116118.
Baltes, H., Brand, O., Fedder, G.K. et al. 2005. CMOS-MEMS: Advanced Micro and Nanosystems. Wiley-
VCH, Weinheim, Germany.
Basavanhally, N., Lopez, D., Aksyuk, V. etal., 2007. High-density solder bump interconnect for MEMS hybrid
integration. IEEE Transactions on Advanced Packaging, 30, 622628.
128 MEMS: Fundamental Technology and Applications

Bauer, T.M., Shinde, S.L., Massad, J.E. etal., 2009. Front end of line integration of high density, electrically
isolated, metallized through silicon vias. In: Electronic Components and Technology Conference, 2009.
ECTC 2009, 5th ed., San Diego, CA, pp. 11651169.
Chen, Z., Song, X., Liu, S., 2009. Thermo-mechanical characterization of copper filled and polymer filled
TSVs considering nonlinear material behaviors. In: Electronic Components and Technology Conference,
2009. ECTC 2009, 59th ed., San Diego, CA, pp. 13741380.
Civale, Y., Tezcan, D.S., Philipsen, H.G.G. etal., 2011. 3-D wafer-level packaging die stacking using spin-
on-dielectric polymer liner through-silicon vias. IEEE Transactions on Components, Packaging and
Manufacturing Technology, 1, 833840.
Dang, B., Bakir, M.S., Patel, C.S. etal., 2006. Sea-of-leads MEMS I/O interconnects for low-k IC packaging.
Journal of Microelectromechanical Systems, 15, 523530.
del Campo, A., Greiner, C., 2007. SU-8: A photoresist for high-aspect-ratio and 3D submicron lithography.
Journal of Micromechanics and Microengineering, 17, R81.
Eloy, Y.D., 2010. Status of the MEMS industry 2010. Available at http://www.yole.fr/iso_upload/News/2010/
StatusoftheMEMSIndustry_September2010.pdf.
Fedder, G.K., 1998. Integrated MEMS in conventional CMOS. In: Tribology Issues and Opportunities in
MEMS: Proceedings of the NSF/AFOSR/ASME Workshop on Tribology Issues and Opportunities in
MEMS, Columbus, Ohio, USA, November 911, 1997, p. 17.
Fjelstad, J., 1998. WAVETM technology for wafer level packaging of ICs. In: 2nd Electronics Packaging
Technology Conference (EPTC98) 810 December, 1998, Raffles City Convention Centre, Singapore,
pp. 214218.
Fjelstad, J., DiStefano, T., Faraci, A., 2000. Wafer level packaging of compliant, chip size ICs. Microelectronics
International, 17, 2327.
Huang, W.L., Ren, Z., Lin, Y.W. etal., 2008. Fully monolithic CMOS nickel micromechanical resonator oscil-
lator. In: IEEE 21st International Conference on Micro Electro Mechanical Systems, 2008. MEMS 2008,
Tucson, AZ, pp. 1013.
Jiangfeng Wu, Fedder, G.K., Carley, L.R., 2004. A low-noise low-offset capacitive sensing amplifier for a 50-/
spl mu/g//spl radic/Hz monolithic CMOS MEMS accelerometer. IEEE Journal of Solid-State Circuits,
39, 722730.
Joo, J.-W., Choa, S.-H., 2007. Deformation behavior of MEMS gyroscope sensor package subjected to tem-
perature change. IEEE Transactions on Components and Packaging Technologies, 30, 346354.
Joseph, A.J., Gillis, J.D., Doherty, M. etal., 2008. Through-silicon vias enable next-generation SiGe power
amplifiers for wireless communications. IBM Journal of Research and Development, 52, 635648.
Jung, M., Liu, X., Sitaraman, S.K. et al., 2011. Full-chip through-silicon-via interfacial crack analysis and
optimization for 3D IC. In: Proceedings of the International Conference on Computer-Aided Design
(ICCAD11). IEEE Press, Piscataway, NJ, USA, pp. 563570.
Kacker, K., Sitaraman, S.K., 2008. Design and fabrication of FlexConnects: A cost-effective implementa-
tion of compliant chip-to-substrate interconnects. IEEE Transactions on Computation and Packaging
Technology, 31, 816823.
Kacker, K., Sitaraman, S.K., 2009. Electrical/mechanical modeling, reliability assessment, and fabri-
cation of FlexConnects: A MEMS-based compliant chip-to-substrate interconnect. Journal of
Microelectromechanical Systems, 18, 322331.
Karim, N., Agrawal, A.P., Plastic packages electrical performance: Reduced bond wire diameter, Amkor
Application Note, available at http://www.amkor.com/services/electrical/newabstr.pdf.
Kim, Y.G., Mohammed, I., Seol, B.S. etal., 2001. Wide area vertical expansion (WAVETM) package design
for high speed application: Reliability and performance. In: Proceedings on Electronic Components and
Technology Conference, 2001, 51st ed., Lake Buena Vista, FL, pp. 5462.
Kisiel, R., Szczepanski, Z., 2005. Trends in assembling of advanced IC packages. Journal of Telecommunication
and Information Technology, 1, 6369.
Krishnamoorthy, A.V., Goossen, K.W., 1998. Optoelectronic-VLSI: Photonics integrated with VLSI circuits.
IEEE Journal of Selected Topics in Quantum Electronics, 4, 899912.
Krishnamoorthy, A.V., Goossen, K.W., Jan, W. etal., 2011. Progress in low-power switched optical intercon-
nects. IEEE Journal of Selected Topics in Quantum Electronics, 17, 357376.
Lai, J.-H., Yang, H.S., Chen, H. etal., 2010. A mesh seed layer for improved through-silicon-via fabrication.
Journal of Micromechanical Microengineering, 20, 025016.
Lee, K.-W., Noriki, A., Kiyoyama, K. etal., 2011. Three-dimensional hybrid integration technology of CMOS,
MEMS, and photonics circuits for optoelectronic heterogeneous integrated systems. IEEE Transactions
on Electron Devices, 58, 748757.
Mechanically Flexible Interconnects and TSVs 129

Lishchynska, M., OMahony, C., Slattery, O. etal., 2007. Evaluation of packaging effect on MEMS perfor-
mance: Simulation and experimental study. IEEE Transactions on Advanced Packaging, 30, 629635.
Liu, X., Chen, Q., Dixit, P. et al., 2009. Failure mechanisms and optimum design for electroplated copper
through-silicon vias (TSV). In: Electronic Components and Technology Conference, San Diego, CA, pp.
624629.
Lo, G., Sitaraman, S.K., 2004. G-helix: Lithography-based wafer-level compliant chip-to-substrate
interconnects. In: Proceedings of the Electronic Components and Technology Conference, 2004, 54th
ed., Las Vegas, NV, pp. 320325.
Lu, K.H., Zhang, X., Ryu, S.K. etal., 2009. Thermo-mechanical reliability of 3-D ICs containing through silicon
vias. In: Electronic Components and Technology Conference, 2009 (ECTC 2009), 59th ed., San Diego,
CA, pp. 630634.
Majeed, B., Pham, N.P., Tezcan, D.S. etal., 2008. Parylene N as a dielectric material for through silicon vias.
In: Electronic Components and Technology Conference, 2008 (ECTC 2008), 58th ed., Lake Buena Vista,
FL, pp. 15561561.
Malta, D., Gregory, C., Lueck, M. etal., 2011. Characterization of thermo-mechanical stress and reliability
issues for Cu-filled TSVs. In: Electronic Components and Technology Conference (ECTC), 2011 IEEE,
61st ed., Lake Buena Vista, FL, pp. 18151821.
Marek, J., Gmez, U.M., 2012. MEMS (micro-electro-mechanical systems) for automotive and consumer elec-
tronics. Chips, 2020, 293314.
MEMS Market Overview, 2010. Yole Development.
Muller, T., Brandl, M., Brand, O. etal., 2000. An industrial CMOS process family adapted for the fabrication
of smart silicon sensors. Sensors and Actuators A: Physical, 84, 126133.
Novitsky, J., Pedersen, D., 1999. FormFactor introduces an integrated process for wafer-level packaging,
burn-in test, and module level assembly. In: Proceedings of the International Symposium on Advanced
Packaging Materials: Processes, Properties and Interfaces, Chateau Elan, Braselton, GA, pp. 226231.
Parekh, M.S., Thadesar, P.A., Bakir, M.S., 2011. Electrical, optical and fluidic through-silicon vias for silicon
interposer applications. In: Electronic Components and Technology Conference (ECTC), 2011 IEEE,
61st ed., Lake Buena Vista, FL, pp. 19921998.
Qi Zhu, Lunyu Ma, Sitaraman, S.K., 2003. -Helix: A lithography-based compliant off-chip interconnect.
IEEE Transactions on Computation and Packaging Technology, 26, 582590.
Ravindran, R., Sadie, J.A., Scarberry, K.E. etal., 2010. Biochemical sensing with an arrayed silicon nanowire
platform. In: Proceedings of the 60th Electronic Components and Technology Conference, 2010, Las
Vegas, NV, pp. 10151020.
Ryu, S.-K., Lu, K.-H., Zhang, X. etal., 2011. Impact of near-surface thermal stresses on interfacial reliability
of through-silicon vias for 3-D interconnects. IEEE Transactions on Device and Materials Reliability,
11, 3543.
Sedky, S., Witvrouw, A., Bender, H. etal., 2001. Experimental determination of the maximum post-process
annealing temperature for standard CMOS wafers. IEEE Transactions on Electron Devices, 48,
377385.
Seraji, N.E., Yavari, M., 2011. Minimum detectable capacitance in capacitive readout circuits. In: IEEE 54th
International Midwest Symposium on Circuits and Systems (MWSCAS), Yonsei University, Seoul, South
Korea, pp. 14.
Shubin, I., Chow, E.M., Cunningham, J. etal., 2009. Novel packaging with rematable spring interconnect chips
for MCM. In: 2009 59th Electronic Components and Technology Conference. 2009 IEEE 59th Electronic
Components and Technology Conference (ECTC 2009), San Diego, CA, pp. 10531058.
Walwadkar, S., Farrell, P., Felton, L.E. et al., 2003. Effect of die-attach adhesives on the stress evolu-
tion in MEMS packaging. In: Proceedings of the 36th International Symposium on Microelectronics
(IMAPS03), Hynes Convention Center, Boston, MA, November 1620, p. 847.
Witvrouw, A., 2006. CMOS-MEMS integration: Why, how and what? In: Proceedings of the 2006 IEEE/ACM
International Conference on Computer-aided Design. ACM, San Jose, CA, pp. 826827.
Wolf, I.D., Croes, K., Pedreira, O.V. etal., 2011. Cu pumping in TSVs: Effect of pre-CMP thermal budget.
Microelectronics Reliability, 51, 18561859.
Yang, H.S., Bakir, M.S., 2010. 3D integration of CMOS and MEMS using mechanically flexible intercon-
nects (MFI) and through silicon vias (TSV). 2010 IEEE 60th Electronic Components and Technology
Conference (ECTC 2010), Las Vegas, NV.
Yang, H.S., Bakir, M.S., 2012. Design, fabrication, and characterization of freestanding mechanically flex-
ible interconnects using curved sacrificial layer. IEEE Transactions on Components, Packaging and
Manufacturing Technology, 2(4), 561568.
130 MEMS: Fundamental Technology and Applications

Yang, H.S., Ravindran, R., Bakir, M.S., Meindl, J.D., 2010. A 3D interconnect system for large biosensor
array and CMOS signal-processing IC integration. In: 2010 IEEE International Interconnect Technology
Conference. 2010 IEEE International Interconnect Technology ConferenceIITC, Burlingame, CA,
USA, pp. 13.
Yazdi, N., Kulah, H., Najafi, K., 2004. Precision readout circuits for capacitive microaccelerometers. In:
Sensors, 2004. Proceedings of IEEE, Vienna University of Technology, Vienna, Austria, pp. 2831.
Zhang, C., Yang, H.S., Bakir, M., 2012. Gold passivated mechanically flexible interconnects (MFIs) with high
elastic deformation. Electronic Components and Technology Conference (ECTC), 2012 IEEE, 62nd ed.
San Diego, CA.
7 Modeling of Piezoelectric
MEMS Vibration Energy
Harvesters
Marcin Marzencki and Skandar Basrour

CONTENTS
7.1 Why Ambient Energy Harvesting?........................................................................................ 132
7.1.1 System Architecture.................................................................................................. 132
7.1.2 Size Matters............................................................................................................... 133
7.1.3 Ambient Mechanical Vibrations................................................................................ 133
7.2 General Model....................................................................................................................... 134
7.2.1 Unidimensional Model.............................................................................................. 134
7.2.2 Output Power............................................................................................................. 137
7.2.3 Optimal Resistive Load............................................................................................. 137
7.2.4 Influence of Damping................................................................................................ 137
7.2.5 Critical Coupling....................................................................................................... 139
7.2.6 Comparison of Piezoelectric Materials..................................................................... 140
7.3 Cantilever Beam Model......................................................................................................... 142
7.3.1 Specificity of MEMS................................................................................................. 142
7.3.2 Thin-Layer Piezoelectric Materials........................................................................... 142
7.3.3 Geometry of the Modeled Device............................................................................. 143
7.3.4 Boundary Conditions................................................................................................. 145
7.3.5 Piezoelectric Coupling............................................................................................... 145
7.3.6 Damping Types.......................................................................................................... 146
7.3.7 System Dynamics...................................................................................................... 146
7.3.8 Modeling Results....................................................................................................... 148
7.3.9 Comparison with FEM.............................................................................................. 148
7.3.10 Comparison with Experimental Data........................................................................ 148
7.3.11 Optimization of the Structure.................................................................................... 151
7.4 Complete System Modeling................................................................................................... 153
7.4.1 Design Flow............................................................................................................... 153
7.4.2 Model Definition........................................................................................................ 154
7.4.3 Evaluation.................................................................................................................. 155
7.4.4 Process Variation....................................................................................................... 155
7.5 Summary............................................................................................................................... 156
Appendix......................................................................................................................................... 156
References....................................................................................................................................... 157

131
132 MEMS: Fundamental Technology and Applications

7.1 WHY AMBIENT ENERGY HARVESTING?


Imagine a miniature electronic device that never needs battery rechargingimpossible? Not, if
the energy reservoir in the device is constantly replenished in an efficient and unobtrusive way. For
decades, harvesting the energy of ambient light has been a common method of making electronic
devices operate longer. If we can use the energy of light, why not employ other forms of ambient
energy? Pressure variations, structural deformations, or mechanical vibrations are readily avail-
able in many environments. It is only recently though that the decreasing energy consumption of
electronic devices enabled the creation of complex wireless systems powered solely from the energy
present in their immediate surroundings. Furthermore, advancements in the microelectromechani-
cal system (MEMS) technology have allowed miniaturization of the ambient energy harvesters to
accompany the already small electronic systems, thus opening ways to the creation of fully autono-
mous miniature systems.
The use of ambient energy to extend the lifetime of electronic devices is especially appeal-
ing in two scenarios. The first one concerns devices that operate in difficult-to-access environ-
ments: mountains, forests, bridges, contamination zones, sealed structures, and also inside common
machinery. In such cases, battery replacement or recharging is impossible or very inconvenient. The
other scenario of interest applies when it is not economically viable to replace or manually recharge
the battery in a device, for example, when a multitude of miniature devices compose a larger system.
These two cases usually apply to wireless sensor networks that are used to continuously measure
key parameters in a system. These devices would greatly benefit from additional energy to extend
their operation time.

7.1.1System Architecture
Figure 7.1 shows a block diagram of an example system, where the energy required for its opera-
tion is provided by an ambient energy-harvesting device. The ambient energy is converted into an
electrical signal by an electromechanical transducerthe energy harvester. The electrical signal
generated is rectified by an AC/DC circuit* and then regulated by a DC/DC circuit to efficiently
recharge a small local energy storage unit (microbattery or supercapacitor). The client electronics
uses the energy stored in the intermediate energy storage unit. Therefore, on the basis of the amount
of energy available in the environment, the duty cycle of operation of the client electronics can be
adjusted, allowing the energy-harvesting system to recharge the battery, even if the average power
available in the environment is lower than the active power consumption of the client electronics.
Recent studies show that current technology enables the creation of wireless sensor nodes that
use sufficiently little power to be entirely powered from harvested ambient energy [1]. Hempstead
et al. [2] analyze a sensor system that consumes on average only 2W when operated at 10%
duty cycle and Hui Teo etal. [3] propose a sensor system for health monitoring that requires only

Energy-harvesting system

Energy-processing circuit Intermediate


Ambient Client system
Energy energy storage
energy (e.g. wireless
harvester (microbattery or
AC/DC DC/DC sensor node)
supercapacitor)

FIGURE 7.1 Block diagram showing the principal components of an ambient energy-powered system.

* An AC/DC circuit is needed only if an AC signal is initially generated. For example, it is needed for mechanical vibration
harvesting, but not for semiconductor solar panels.
Modeling of Piezoelectric MEMS Vibration Energy Harvesters 133

7.5A when operated at 0.9V. A commercial wireless smart sensor platform Toumaz Sensium [4]
is claimed to operate for over 1year from a single 30-mAh battery at 1V, which translates to a mean
current consumption of less than 3.5A. All these examples prove that continuous power genera-
tion in the order of several microwatts would suffice to power a wireless sensor node operating at a
very low duty cycle.

7.1.2Size Matters
It has been proved that it is possible to use energy extracted from ambient vibrations to power wire-
less sensor nodes. Nevertheless, most of the current energy-harvesting devices are macroscopic,
which negates one of the principal advantages of their use, that is, miniaturization of the device
through reduction of size or complete elimination of the battery. Miniaturization of wireless sensor
nodes is crucial not only in reducing their cost, but also in minimizing their impact on the moni-
tored environment. Imagine a wireless sensor that monitors motion of a shaft in a machine. If the
sensor is too big, in the first place, it could be impossible to fit it into the best measurement location.
Furthermore, if it is heavy compared with the monitored part, its presence would affect the part
behavior, negating the validity of the acquired data. Therefore, if the implementation of an energy-
harvesting device in a system resulted in an increase of the overall dimensions over a similar system
equipped with a battery containing enough energy to power it throughout its lifetime, the interest in
using energy harvesting would be questionable. Therefore, miniaturization of energy harvesters is
crucial to proliferate their use. To this end, MEMS technologies can be used. MEMS structures are
created using manufacturing technologies originating from the standard batch microelectronic fab-
rication processes and thus provide a means of producing large quantities of inexpensive miniature
devices, similar to electronic chips that revolutionized the electronic industry.

7.1.3Ambient Mechanical Vibrations


Up to now, solar radiation has been the most common source of ambient energy, widely examined
and successfully used [5]. Nevertheless, its application is limited to environments where direct light
is available, which is rarely the case for miniature sensor nodes. As an example, let us consider
possible ambient energy sources that could be employed for powering wireless sensor nodes for
industrial machinery health surveillance. Solar energy is to be excluded as ambient light is available
inside machinery in very few cases. Furthermore, given the harsh and dirty nature of such environ-
ments, the efficiency of solar panels would quickly deteriorate. Thermal energy can be considered,
but implementation of such a solution is very challenging due to high temperature gradients required
that are not practically realizable in miniature systems [5]. On the other hand, mechanical vibra-
tions are often present with high power densities in industrialized environments [6]. Furthermore,
mechanical vibrations can be transferred to the harvester device by means of a simple mechanical
coupling. Owing to these advantages, a lot of research has been done in this field [7] and three main
methods for converting mechanical energy into electrical energy have been identified: capacitive,
electromagnetic, and piezoelectric. Each method has its advantages and disadvantages. A device
using the capacitive method can be miniaturized relatively easily using a complementary metal-
oxide-semiconductor (CMOS)-compatible process, but it requires very high polarization voltages
for efficient operation [8]. On the other hand, the electromagnetic method provides high power
densities, but relies on high-quality magnets and coils, which currently exclude easy miniaturization
and integration with CMOS electronics [9]. Finally, the piezoelectric method offers elevated power
densities from mechanically simple structures, but requires high-quality piezoelectric materials for
efficient operation [6]. It has already been shown that the energy of mechanical vibrations can be
successfully used to power wireless sensor nodes using the piezoelectric effect [10,11]. Additionally,
the recent advancements in the piezoelectric thin-film deposition opened ways to further minia-
turization of piezoelectric devices [12]. Given the fact that a wireless sensor node incorporating an
134 MEMS: Fundamental Technology and Applications

array of MEMS energy harvesters could result in a truly miniature autonomous systems, the piezo-
electric energy conversion method seems to be the most promising one to use.
Research presented by Mitcheson etal. [13] analyzes various types of generic electromechanical
energy converters with respect to their frequency characteristics. As the output power of a device
converting mechanical vibrations into electricity increases with deformation of the device, obvi-
ously the highest power is generated at the resonance frequency. In the case of the analyzed applica-
tion (industrial machinery), a dominant frequency can usually be identified; therefore, a device with
its resonance frequency matching this characteristic ambient vibration frequency can be created.
This chapter discusses the use of piezoelectric MEMS energy-harvesting devices to supply power
to a miniature wireless sensor node. We start our considerations with a generic unidimensional
model of an energy harvester followed by more detailed analytical models of actual geometrical
structures common in MEMS implementations of piezoelectric energy harvesters. We also discuss
various methods of implementing the presented models, ranging from finite element method (FEM)
to VHDL-AMS (VHSIC hardware description languageanalog and mixed signal, where VHSIC
is very high speed integrated circuit) behavioral models. The most important factor discussed is
the influence of various device parameters (e.g., material properties, damping, and layer thickness)
on the overall efficiency of energy conversion. Finally, all the modeling results are in contrast with
experimental data obtained with actual piezoelectric MEMS energy-harvesting devices.
For clarity, an appendix containing all the symbols used in the presented models is provided at
the end of this chapter.

7.2 GENERAL MODEL


The simplest representation of a resonant mechanical vibration energy harvester is a mass spring
system, as schematized in Figure 7.2. This type of assembly was originally analyzed in the aspect of
energy harvesting by Williams and Yates [14] where the fact of energy extraction was simplistically
represented by a viscous damper. More precise models adapted for the piezoelectric transduction
were introduced by duToit etal. [15] and Lefeuvre etal. [16].

7.2.1Unidimensional Model
A piezoelectric mechanical energy-harvesting system that is constrained to move in one dimension
only is composed of a frame in movement y(t) relative to a motionless reference base and a seismic
mass m connected to the inside of the frame by means of a piezoelectric element. When an external
Motionless reference base

w(t) m

tan

U R
k0

Frame in movement y(t)

FIGURE 7.2 General 1D model of a piezoelectric resonant power generator.


Modeling of Piezoelectric MEMS Vibration Energy Harvesters 135

acceleration is applied on such a system through the movement y(t), inertial forces act on the seismic
mass m and induce its displacement w(t) relative to the frame, which in turn results in compression
of the piezoelectric element. The piezoelectric element is represented by a mechanical stiffness
component (a spring with stiffness k0) and a piezoelectric transduction element connected to an
electrical load R. Furthermore, three types of losses are considered: viscous (proportional to the
displacement speed) represented by the viscous damping coefficient , structural (proportional to
the displacement amplitude) expressed by the structural damping ratio , and dielectric represented
by the tangent of the loss angle (tan ). Assuming that all components except for the seismic mass
are weightless, this system can be described by the differential Equation 7.1 derived from the force
equilibrium principle.

 + lw + kw + FP + my = 0
mw (7.1)

The component mw  represents the force of inertia of the seismic mass relative to the frame. The
component my represents the force of inertia of the entire frame relative to the reference base. The
component lw represents the force of viscous damping and the component kw represents the force
related to the mechanical stiffness of the piezoelectric element, where k is the complex stiffness
incorporating the structural damping ratio (Equation 7.2, where j = 1). Finally, FP is the force
introduced by the piezoelectric effect, which also includes the influence of the dielectric losses pres-
ent in the piezoelectric element.

k = k0 (1 + jg ) (7.2)

In the simplest case, the output power is evaluated on a resistive load R connected directly to
the electrodes of the piezoelectric element. In a real implementation though, the power conversion
system would be much more complicated, that is, composed of a rectification AC/DC circuit and a
DC/DC converter for voltage regulation. Modeling of an entire energy-harvesting system, including
the piezoelectric transducer and the power conditioning circuit, is discussed in Section 7.4.
To determine the value of the force FP, the constitutive equations of piezoelectricity (Equations
7.3 and 7.4) for a one-dimensional system are used. In the general model, it is assumed that the force
is applied on the piezoelectric element along its polarization axis (the piezoelectric axis 3). Therefore,
following the IEEE standard on piezoelectricity [17], the 33 mode coefficients are employed.

T3 = c33
E
S3 e33 E3 (7.3)

D3 = e33 S3 + e33
S
E3 (7.4)

T3 and S3 are, respectively, the stress and strain components along the thickness of the piezoelec-
tric element, E3 and D3 are, respectively, the electric field and the electric displacement field compo-
E
nents, c33 is the elastic stiffness constant measured at a constant electric field, e33 is the piezoelectric
constant, and e33S
is the permittivity component measured at a constant strain. Equations 7.3 and 7.4
can be represented using the notation detailed in Table 7.1. Thus, after the introduction of structural
and dielectric losses, Equations 7.5 and 7.6 are obtained, where C is the capacity of the piezoelectric
element, linked with the static (lossless) capacity of the piezoelectric element C0 by Equation 7.7.

FP = kw + aU (7.5)

U = a Rw RCU (7.6)



136 MEMS: Fundamental Technology and Applications

TABLE 7.1
Conversion between Macroscopic and Microscopic Values
Quantity Description
FP=T3A Relation between the stress in the piezoelectric material and the external force applied, where A
is the cross-sectional area of the piezoelectric element
U=E3L Relation between the electric field intensity and the potential difference between the electrodes,
where L is the length of the piezoelectric element
q = AD Relation between the electric displacement field variation and the charge variation on the
electrodes (current between the electrodes)
k0 = c33
E
A /L Equivalent spring stiffness related to the piezoelectric element dimensions and material stiffness
in the direction of pooling
C0 = e33
S
A /L Capacity of the piezoelectric element related to its dimensions and the electrical permittivity in
the direction of pooling of the piezoelectric material
w=S3L Relation between the strain in the piezoelectric material and the external displacement of the
seismic mass
= e33A/L Piezoelectric force factor
= RC Time constant of the circuit created by the piezoelectric capacity and the load resistance
k0 Angular resonance frequency of a purely mechanical system
w0 =
m
= /0 Circular frequency ratio
l Unitless viscous damping ratio (percentage of critical damping)
z =
2 mw 0
a2 Effective coupling factor of the system
ke2 =
C 0 k0

C = C0 (1 j tand ) (7.7)

The system of Equations 7.5 and 7.6 can be solved in the Laplace domain, leading to the system
of Equations 7.8 and 7.9, where variables in the Laplace domain are indicated in bold and p is the
Laplace variable.

p
FP = kw + a 2 R w (7.8)
1+tp

p
U=aR w (7.9)
1+tp

Equation 7.8 indicates that the force FP applied on the seismic mass is composed of a purely
mechanical component kw and a component due to the piezoelectric coupling dependent on the
value of the electrical load R.
Furthermore, for the permanent state of the system with a purely sinusoidal excitation, the fre-
quency domain can be used. Therefore, the Laplace variable p is replaced by j, where j = 1 and
is the angular frequency of vibrations. The relation 7.10 is obtained, which describes the complex
displacement of the mass w relative to the frame and Equation 7.11 describing the complex voltage
U generated on the piezoelectric element. Ain is the amplitude of applied acceleration.

(1 + j tw )mAin
w = (7.10)
(lt + m)w k + j[ mw 3t (kt + l + a 2 R)w ]
2

Modeling of Piezoelectric MEMS Vibration Energy Harvesters 137

j w a RmAin
U = (7.11)
( lt+ m ) w 2
k + j[ mw 3t (kt+l+ a 2 R)w ]

7.2.2Output Power
Given that ambient vibrations are abundant and that the harvesting device is sufficiently small so
as not to influence its surroundings, the output power is the best criterion of harvester efficiency.
In the simplest case, when the excitation is a sinusoidal acceleration and a purely resistive load R
is connected to the piezoelectric element, the mean power dissipated on this load can be calculated
following Equation 7.12.

2
U (7.12)
P=
2R

By using Equation 7.11 in Equation 7.12, it can be deduced that in the absence of damping,
the output power is proportional to the seismic mass value, to the square of the input acceleration
amplitude, and inversely proportional to the square of the resonance frequency of the system. This
result is specific for the piezoelectric transduction method and differs from the generic one initially
proposed by Williams and Yates [14].

7.2.3Optimal Resistive Load


Structures employing high-quality piezoelectric materials are strongly coupled, which means that
the nature of the electrical load connected to the electrodes encompassing the piezoelectric mate-
rial influences the mechanical behavior of the system. For very strongly coupled systems, two peak
values of output power can be distinguished. One corresponds to the resonance (=0), when
impedance of the piezoelectric element is minimal, and the other to the antiresonance (>0),
when impedance of the piezoelectric element is maximal. There are therefore two optimal sets of
excitation frequencies and the corresponding optimal load resistance values leading to the highest
power output from an energy harvester. In an ideal lossless case, the optimal resistance would tend
to zero at resonance and to infinity at antiresonance, with the corresponding power output values
being identical. Furthermore, for each excitation frequency, an optimal resistive load value can be
found that provides the highest output power.

7.2.4Influence of Damping
The presented model takes into account three types of damping: structural (proportional to defor-
mation), viscous (proportional to deformation speed), and dielectric losses in the piezoelectric ele-
ment. Figure 7.3 presents power dissipated on an optimal resistive load (a specific value is calculated
for each excitation frequency) versus excitation frequency represented as circular frequency ratio
for different damping levels. Structural and viscous damping influence both resonance and anti-
resonance peaks, tending toward a single peak for higher damping levels. The dielectric losses,
however, influence only the antiresonance peak. It can be explained by modeling the dielectric
losses as a parallel leakage resistor. The optimal load value at resonance being very small, the power
dissipated on it is not influenced by a high-value parallel resistor. In the case of antiresonance, the
optimal resistance value is very high (tending to infinity for a lossless case); therefore, any parallel
resistance would cause a power drop. Piezoelectric materials often exhibit high dielectric losses up
to 3% for soft lead zirconate titanate (PZT) [18], which significantly reduce the power generated at
antiresonance. Figure 7.4 depicts the influence of losses on the value of the optimal resistance. For
138 MEMS: Fundamental Technology and Applications

1000

= 0.1%
= 0.1%
tan = 10% = 0.1%
= 0.1%
tan = 0.1%

100
Power (W)

10

= 0.1% = 10%
= 10% = 0.1%
tan = 0.1% tan = 0.1%

1
0.8 0.9 1 1.1 1.2 1.3 1.4 1.5 1.6

FIGURE 7.3 Analytical modeling results for the power dissipated on an optimal load resistance versus the cir-
cular frequency ratio for four sets of the three types of losses: viscous (), structural (), and dielectric (tan ).

108

107 = 0.1%
= 0.1%
tan = 0.1%

106
= 0.1%
= 10%
tan = 0.1%
Power (W)

105
= 10%
= 0.1%
tan = 0.1%
104

= 0.1%
= 0.1%
103 tan = 10%

102
0.8 0.9 1 1.1 1.2 1.3 1.4 1.5 1.6

FIGURE 7.4 Analytical modeling results for the optimal resistance value versus the circular frequency ratio
for four sets of the three types of losses: viscous (), structural (), and dielectric (tan ).
Modeling of Piezoelectric MEMS Vibration Energy Harvesters 139

viscous and structural damping, both values for resonance and antiresonance are influenced. For
high damping ratios, these values tend to a single value, equal to (0 C0)1. In the case of dielectric
losses, the optimal load value corresponding to the antiresonance is much more influenced, in the
same way as for the power output.

7.2.5Critical Coupling
The principal parameter of an energy conversion system is the electromechanical coupling coef-
ficient. This parameter describes the efficiency at which the input mechanical energy Em is trans-
formed into the output electrical energy Ee, as depicted in Equation 7.13. Its value is different when
defined for materials used in the structure and for the complete structure. The coupling coefficient
of the entire structure, called the effective coupling coefficient ke, corresponds to the part of the
mechanical energy present in the system that is converted into the output electrical energy.

Ee
ke2 = (7.13)
Em

Figure 7.5 shows the evolution of power dissipated on an optimal resistive load as a function of the
excitation frequency represented as the circular frequency ratio for four different values of ke. It can
be seen that the peak output power increases with the coupling coefficient but only up to a certain
level. After a critical point, corresponding to the critical value of the effective coupling coefficient (in
this case, critical ke=0.075), the electrical power generated no longer increases and two peaks in the
frequency domain appear (and therefore also two optimal resistive load values). Figure 7.6 shows the
evolution of power dissipated at resonance on an optimal resistive load versus the coupling coefficient

1.0

ke = 0.5
P (mW)

0.1

ke = 0.3

ke = 0.075

ke = 0.02

0.01
0.96 0.98 1.00 1.02 1.04 1.06 1.08 1.10 1.12 1.14

FIGURE 7.5 Analytical modeling results for the power generated on an optimal load resistance versus
circular frequency ratio for four values of the effective coupling ke.
140 MEMS: Fundamental Technology and Applications

103

104 = 10%
= 0.1%
= 0.1%
= 0.1%
tan = 0.1%
tan = 0.1%

105
Power (W)

106
= 0.1%
= 10%
tan = 0.1%
107

108

109
0.8 0.1 0.2 0.3 0.4 0.5 0.6
ke

FIGURE 7.6 Analytical modeling results for the power dissipated on a matched resistive load at resonance
versus the value of the effective coupling coefficient ke for different levels of damping. Two zones can be iden-
tified, one where the output power increases rapidly with the increase of ke and another where the increase of
ke implies almost no increase in the output power.

of the system at different damping levels. Two zones can be identified. The first one is where the
increase in the coupling factor is followed by a rapid increase in the output power. The second one
is where the output power is almost insensitive to the coupling coefficient change. A conclusion can
therefore be made that when a system is strongly coupled (when there are two power peaks in the
frequency domain and two optimal resistance values), the output power can only be increased by
decreasing the losses present in the system. For example, for strongly coupled systems, it can be ben-
eficial to use a material with inferior coupling but with lower losses, for example, hard PZT versus
soft PZT. It also proves that the use of very highly coupled materials, but with low mechanical quality
factors (e.g., PZN-PT single crystals), does not guarantee higher output power.

7.2.6Comparison of Piezoelectric Materials


To present the importance of the coupling coefficient and material quality on the performance of
a piezoelectric energy generator, properties of four common piezoelectric materials (Table 7.2) are
used in the model to estimate the output power generated on a matched resistive load. The angular
resonance frequencies of all assemblies are set equal to 0=103rad s1 by adjusting the geometrical
dimensions of the piezoelectric element and keeping the mass size constant. The viscous damping
ratio (independent of the piezoelectric material used) is fixed at 0.1%. Figure 7.7 depicts the results
and Table 7.3 summarizes the key values. It can be seen that all materials induce strong coupling in
the system (two power peaks in the frequency domain) and therefore it is the level of losses intro-
duced by these materials that determine the output power and not the coupling coefficient value. Even
though the PZT4 material does not present the highest coupling, it provides the highest output power.
Modeling of Piezoelectric MEMS Vibration Energy Harvesters 141

TABLE 7.2
Properties of Four Common Piezoelectric Materials
k33 33
S Y [GPa] Q tan (%) Reference
PZN-PT 0.92 1386 120 61 1 [19]
PZT-5H 0.75 3800 62 32 2 [20]
PZT4 0.7 1450 70 500 0.5 [21]
AlN 0.3 11 300 250 0.1 [22,23]

103
103 PZT4
ALN

PZN-PT
104
ALN

104 PZT-5H
PZT4 105
0.90 0.95 1.00 1.05 1.10 1.15
Power (W)

PZT-5H

PZN-PT
105

106
1.0 1.2 1.4 1.6 1.8 2 2.2 2.4 2.6

FIGURE 7.7 Analytical modeling results for the output power versus excitation frequency (expressed as
circular frequency ratio) for devices using the four common piezoelectric materials, whose properties are
listed in Table 7.2.

TABLE 7.3
Summary of the Modeling Results for the Four Common Piezoelectric Materials at the
Resonance Frequency (res) and at the Antiresonance Frequency (ares)
PZN-PT PZT-5H PZT4 AlN
Popt@res 123W 71W 417W 312W
Ropt@res 1.81k 2.5 2k 1.77k 12.45M
ares 2.550 1.510 1.390 1.050
Popt@ares 47W 43W 207W 312W
Ropt@res 30M 3.5M 43.3M 6.9G
142 MEMS: Fundamental Technology and Applications

The simple model described in this section is useful for analyzing the influence of general prop-
erties of the assembly on its performance. However, to precisely explore the behavior of a real
system, it is necessary to create detailed analytical models of complete geometrical structures, as
discussed in the next section.

7.3 CANTILEVER BEAM MODEL


The simple model presented in the previous section is often insufficient for precise performance
evaluation of more complex energy-harvesting structures. Researchers proposed various shapes for
vibration energy-harvesting devices, but a simple cantilever beam with a mass at the end proves to
be the most promising one [7]. Additionally, a cantilever beam structure with an active piezoelectric
layer can be fairly easily implemented as an MEMS [24].
Modeling of strongly coupled piezoelectric structures presents a significant challenge. Even
though several models have been reported in the literature [7], very few of them focus on ambient
energy harvesting. Furthermore, a structure fabricated using an MEMS process significantly differs
from macroscopic structures and should be represented appropriately. This section introduces a pre-
cise analytical model of a piezoelectric cantilever beam energy harvester and compares the results
with FEM simulations and experimental results.

7.3.1Specificity of MEMS
Miniaturization of energy-generating devices is one of the main goals in the field of ambient energy
harvesting. To take full advantage of reducing the size of the energy reservoir present in an autono-
mous system, the energy-harvesting structure has to be miniaturized as well. MEMS technology
can be used to create microscopic electromechanical structures, while maintaining low per-unit
cost owing to the batch fabrication process. Furthermore, it is possible to directly integrate MEMS
devices with CMOS electronics to create self-powered systems-on-package (SoPs) or even self-
powered systems-on-chip (SoCs) [25].
Structures fabricated using MEMS processes significantly differ from their macroscopic coun-
terparts. The nature of the microfabrication process implies sequential deposition of layers of vari-
ous materials interleaved by selective etching, which results in very specific geometries. The devices
are usually made on a monocrystalline silicon wafer presenting a very high mechanical quality fac-
tor around 2105 [26]. Additionally, the current piezoelectric material deposition processes allow
for the creation of piezoelectric layers on one side of the device only, contrary to macroscopic
structures, where multiple layers on both sides of the device are often present [27]. Furthermore,
the mechanical influence of the metallic electrodes can no longer be neglected in MEMS as their
thickness is comparable with the thicknesses of other layers. Also, large process variations induce
high relative structure nonuniformity in the material properties, layer thicknesses, and geometrical
dimensions. Finally, MEMS devices are often characterized by very high geometrical aspect ratios
not practically realizable as macroscopic structures, for example, a very big mass on the tip of a
very slender beam.

7.3.2Thin-Layer Piezoelectric Materials


Multiple piezoelectric materials are known, but only very few are available as thin layers to be
used in MEMS. The materials most commonly considered for integration in MEMS devices are
aluminum nitride (AlN), PZT, zinc oxide (ZnO), lithium niobate (LiNbO3), and lead magnesium
niobate-lead titanate (PMN-PT). Currently, AlN and PZT demonstrate the best combination of
coupling factor, mechanical quality, and ease of deposition. For a more complete description of thin-
layer piezoelectric materials and the methods of their deposition, the reader is referred to a review
performed by Muralt [28].
Modeling of Piezoelectric MEMS Vibration Energy Harvesters 143

External connections
Top electrode (Au/Cr)

Piezoelectric layer (PZT)

Bottom electrode (Pt/Ti/SiO2)

Top silicon layer

Burried oxide layer

Bulk silicon

Spacer wafer

Mechanical support

FIGURE 7.8 An example layer structure of a piezoelectric energy harvester built on an SOI wafer with a
lead zirconate titanate (PZT) piezoelectric thin layer. (From M. Marzencki, S. Basrour, B. Belgacem et al.,
Comparison of piezoelectric MEMS mechanical vibration energy scavengers, in Proceedings of Nanotech
2007. Santa Clara, CA: NSTI, May 2024, 2007.)

An example structure of a cantilever beam MEMS piezoelectric generator created from a silicon-
on-insulator (SOI) wafer is shown in Figure 7.8 and an SEM image of a manufactured device is
shown in Figure 7.9 [25]. This structure has been fabricated using an SOI wafer to obtain a very
uniform and slender beam from the top silicon layer. The seismic mass (proof mass) is made out of
the bulk silicon and its thickness is equal to the wafer thickness.

7.3.3 Geometry of the Modeled Device


The piezoelectric cantilever beam structure that can be used to convert ambient mechanical vibra-
tions into electricity is schematically represented in Figure 7.10. It is composed of a cantilever beam

Acc.V Spot Magn Det WD Exp 500 m


30.0 kV 4.740x SE 5.9 1

FIGURE 7.9 A piezoelectric MEMS energy harvester fabricated within the European Project VIBES. (From
M. Marzencki, Y. Ammar, and S. Basrour, Sensors and Actuators A, 145146, 363370, 2008.)
144 MEMS: Fundamental Technology and Applications

L
LB

W1 X

W2
MR
LR

FIGURE 7.10 Schematic representation of the modeled cantilever beam structure.

that carries a big seismic mass at its end. When excited with external acceleration, the force of iner-
tia of the seismic mass deforms the structure. The piezoelectric layer on top of the beam undergoes
periodic cycles of extensioncompression and thus generates electrical charges that accumulate
on the metallic electrodes. As the dominating frequency values in the considered environment are
around 1kHz [30], the resonance frequency of the harvester should fall in the same range. In the
case of MEMS, it is not evident, taking into account the very small dimensions of these structures.
To achieve a low resonance frequency, the size of the seismic mass carried at the beam end should
be very important compared with the rest of the device. As a result, the following assumptions are
taken into account during creation of the model:

The beam mass is neglected as it is much smaller than the seismic mass value.
Only the first mode of resonance corresponding to the out-of-plane movement is modeled.
The rotary inertia of the mass is taken into account.
Mechanical clamping is considered to be perfect.
The seismic mass is considered to be perfectly rigid.

A generic layer structure of the cantilever beam is shown in Figure 7.11. In the case of MEMS,
there is usually only one piezoelectric layer sandwiched between electrode layers deposited on top of a
silicon beam. In the case considered here, the electrodes are laid perpendicular to the pooling direction

Z a

Z5 a5
Top electrode
Z4 a4
Piezoelectric layer
Z3 a3
Bottom electrode
Z2 a2

0 a1
Silicon layer
Z1 0

FIGURE 7.11 Layer composition of the beam, with the mechanical silicon layer, the bottom metallic elec-
trode, the active piezoelectric layer, and the top metallic electrode. Location of the extremities of each layer on
the two coordinate axes used in the model is presented as well.
Modeling of Piezoelectric MEMS Vibration Energy Harvesters 145

of the piezoelectric layer, so the piezoelectric axis 3 corresponds to the geometrical axis z, the piezo-
electric axis 1 corresponds to the geometrical axis x, and the piezoelectric axis 2 corresponds to the
geometrical axis y. The placement of electrodes imposes that no electric field can develop in directions
1 and 2. On the other hand, during flexing of the beam, the charge density on the electrodes must be
nonuniform in the direction 1 to keep the electrode surfaces equipotential. The cantilever beam mod-
eled is slender (very thin compared to its length), so the EulerBernoulli representation is used. Both
the shear stresses and strains are neglected and Kirchhoffs and Loves assumptions are used, where
the normal to the neutral surface remains normal and unstretched during deformation.

7.3.4Boundary Conditions
The definition of boundary conditions is very important for proper modeling of this structure. An
assumption of uniform strain has been made for the beam, which implies that the beam width
remains constant. It can be justified by the presence of both beam clamping at one end and attach-
ment of the beam to the seismic mass at the other end. It is also assumed that the mechanical
variables are independent of the y-coordinate. Therefore, the independent mechanical variables
comprise the S1 and S2 horizontal strains and the T3 vertical stress. The effective mechanical and
electrical properties of materials (denoted by superscript ef) are derived from boundary conditions
of the structure (Table 7.4), as proposed by Muralt etal. [31].
The neutral axis location from the bottom of the support layer is calculated with Equation 7.14,
where Ysef , Yebef , Yetef , and Ypef represent the effective rigidity of, respectively, the silicon support layer,
bottom electrode, top electrode, and the piezoelectric layer, while hs, heb, het, and hp are the cor-
responding thicknesses of these layers. Formula 7.14 is obtained from the force equilibrium in the
length of the beam (assuming that all layers are purely mechanical) and provides the distance a1
from the bottom of the beam to the neutral axis [32].

a1 =
( ) (
Ysef hs2 + Yebef heb (heb +2hs ) + Ypef hp hp +2hs +2heb + Yet het het +2hp +2heb +2hs ) (7.14)

(
2 Ysef hs + Y heb + Ypef hp + Y het
ef
eb
ef
et )

7.3.5 Piezoelectric Coupling


Piezoelectric coupling of the structure has been derived from the piezoelectric constitutive equa-
tions [17]. Boundary conditions applied on the piezoelectric layer and the geometry of the device are
used to generate the set of Equations 7.15 and 7.16 [33,34].

TABLE 7.4
Definition of Electrical and Mechanical Boundary Conditions Used in the Model
Boundary Condition Description
w(x=0,t)=0 The beam is mechanically clamped at x=0
w( x , t ) Beam curvature at the clamping equals zero
x =0
x =0
T3=0 The layers are free to expand in the thickness direction
S2=0 The beam width is constant
E1=E2=0 Electric field components in the horizontal directions equal zero
D3=f(x,t) The electric displacement field is a function of the x-coordinate and time, but is uniform
in the layer width and thickness
E3=f(z,t) The electric field is a function of the z-coordinate and time, but is uniform in the layer
width and length
146 MEMS: Fundamental Technology and Applications

T1 c11efef e31efef
T = c S
2 12ef 1 e31ef E3 (7.15)
S3 c13 e33

D3 = e31
ef
S1 + e33
ef
E3 (7.16)

Parameters Ti and Si are the stress and strain vector components, respectively, D3 and E3 are the
electric displacement field and electric field components, respectively, cijef are the effective mechani-
ef
cal stiffness matrix coefficients, e31 is the effective stress piezoelectric coefficient, and finally e33
ef
is
the effective electrical permittivity coefficient.

7.3.6Damping Types
The behavior of the coupled electromechanical structure is greatly influenced by the presence of
damping as discussed in Section 7.2.4. The main types and the corresponding quality factors calcu-
lated for the analyzed structure are as follows:

Viscous damping that introduces a force proportional to the speed of displacement of the
structure relative to the surrounding medium. The level of this damping depends mostly on
the ambient gas pressure and the structure shape [26]. For simplification, we assume that
only the seismic mass is affected by this type of losses, as its maximum speed of vertical
movement is higher than that of the beam.
Structural (hysteretic) damping that introduces a force proportional to the deformation
amplitude. In the presented model, the quality factors of all materials are defined separately
and result in a global quality factor of the structure when combined. The quality factor of
monocrystalline silicon is equal to 2105 [26] and that of PZT layer is equal to 135 [35].
Compression losses linked with compression of air between the mobile structure and fixed
surfaces. In the analyzed case, for atmospheric pressure and the closest surfaces 500m
away, the associated quality factor is equal to 49103 [26].
Clamping losses linked with energy radiation into substrate through clamping. The calcu-
lated quality factor of the structure linked with this type of losses is equal to 1.8106 [36].
Thermoelastic losses linked with heating of the structure and heat-related strains. In this
case, the time of thermal relaxation of the structure is much smaller than the period of
vibration. Using the Zener model [37], the quality factor linked with this type of losses is
equal to 26106.
Dielectric losses in the piezoelectric material linked to electrical dipole mobility. This type
of losses is usually very important in piezoelectric materials [18].

Owing to small influence, the clamping, compression, and thermoelastic losses have been
neglected in the further modeling.

7.3.7System Dynamics
Equilibrium of bending moments My(x,t) acting on the beam is used to determine the expression of
the beam curvature (x,t), defined by

2 w( x , t )
k ( x, t ) = (7.17)
x 2

The external forces acting on the beam are induced by the vertical movement of the seismic mass
m (mass of the beam is neglected) and by the mass moment of inertia of the seismic mass J0, given by
Modeling of Piezoelectric MEMS Vibration Energy Harvesters 147

m J2
M y ( x, t ) = 2 (t ))( L x ) 0 q(t )
(ain (t ) w (7.18)
BB BB

As depicted in Figure 7.10, w2(t) is the displacement of the seismic mass center of gravity, ain(t)
is the applied acceleration, and (t) is the angle of rotation of the seismic mass. Both (t) and J0 are
relative to the attachment of the seismic mass to the beam. The bending moment of the beam, rela-
tive to the neutral axis, is given by

z2 z3 z5

M y ( x, t ) = k ( x, t ) Ysef z 2 dz + Ybeef z 2 dz + Yteef z 2 dz
z
1 z2 z4
z4 z4


+ Y k ( x , t ) z dz + e E (z, t )z dz
ef 2 ef
p 31 3 (7.19)
z3 z3

Relation 7.19 can be simplified using the constitutive Equation 7.16 to obtain

be33
ef
M y ( x, t ) = k ( x, t ) DG u(t ) (7.20)
hp

The equivalent rigidity of the beam D G is defined in Equation 7.21, and u(t) is the voltage gener-
ated between the electrodes.


( )
2
ef
Ysef 3 Ybeef 3 Yteef 3 z43 z33 ef e31 eef b 2
DG =
3
( z2 z1 ) +
3
3
( z3 z2 ) +
3
3
( z5 z 4 ) +
3
3
Yp + ef 33
e33 hp
(7.21)

Equation 7.22 is obtained by combining Equations 7.18 and 7.20:

m J 02 
k ( x, t ) =
BB DG
( 2 (t )) ( L x )
ain (t ) w
BB DG
q (t ) + xu(t ) (7.22)

By performing double integration on Equation 7.22 with proper initial conditions, expression
7.23 of deformation the w(x,t) of the beam is obtained.

x2 x x2
w( x , t ) =
2 BB DG L 3 ( ain (t ) w
2 (t )) m J 0q(t ) + xuu(t )
2
(7.23)

By taking w2(t)=w(L,t) and approximating tan , Equations 7.24 and 7.25 representing the
electromechanical coupling of the structure studied are obtained.

BB DG w2 (t ) x J0
LB 2 ( LB + LM ) u(t ) + 2 L2 ( LB + LM )q (t ) = main (t )
2 (t ) + lw 2 (t ) +
mw  (7.24)
L2eq eq
q

b
LB u(t ) + u(t ) + bq(t ) = 0 (7.25)
xBB RDG

Auxiliary variables , , and Leq are developed in the appendix.


148 MEMS: Fundamental Technology and Applications

7.3.8 Modeling Results


To obtain the presented results, the model was evaluated using the Maple 10 software. In all the
numerical examples, the structural layer and the seismic mass are made of silicon. The piezoelectric
layer properties are equal to those of PZT-4 piezoelectric ceramics, pooled in the thickness direc-
tion, which is close to the properties of the thin-layer PZT used in MEMS [12]. The top electrode is
made of gold. The bottom electrode is neglected in modeling owing to its low thickness (100nm)
and its proximity to the neutral axis. The dimensions of the analyzed structure are as follows.
The beam width and length are equal to 400m, the top silicon layer thickness is 10m, and the
piezoelectric layer thickness is 1m. The mass height and length are 400m and the mass width is
equal to 410m. The top electrode covers the entire surface of the beam and is 400-nm thick. An
acceleration of 10ms2 is applied to evaluate the output power.

7.3.9Comparison with FEM


Traditional approach to modeling complex electromechanical structures involves the use of models
created using FEM. Despite their accuracy, FEM does not provide a means for efficient structure
optimization, and the influence of various parameters is often difficult to evaluate. Nevertheless,
FEM models are a good source of reference, when experimental results are not easily available. To
verify the validity of the presented model, the analytical results were compared with FEM simula-
tion performed using the ANSYS 9 software. The Solid226 20-node-coupled field elements were
used for the piezoelectric layer, the Solid186 20-node structural solid elements were used for the
silicon layer, and the Shell181 4-node finite strain shell elements were used for the top electrode. For
simplification, dielectric losses were neglected in FEM simulations and in the analytical analyses
that were used for comparison.
To compare the analytical model with FEM, a set of simulations was performed. Harmonic
FEM analyses were performed for a set of resistive load values connected between the electrodes to
identify the optimal load value. The maximum value of power was noted along with the correspond-
ing excitation frequency for each load value. The sparse solver was chosen for its robustness and
efficiency [38]. The resonance frequency of the structure calculated with the analytical model was
equal to 1268 and 1208Hz for the FEM simulation (5% discrepancy). Figures 7.12 and 7.13 com-
pare results of analytical modeling and FEM simulation, respectively, for the power dissipated on
an optimal load resistance and the optimal resistance values as functions of the circular frequency
ratio for three values of viscous damping.
Both the resistance and power values are in good agreement, while there is a discrepancy in the
frequency values; the difference between the resonance and the antiresonance values (indicating
the coupling of the structure) is higher for the analytical modeling. It can be explained by the sim-
plifications made in the beam representation, mainly by the uniform strain assumption. An attempt
to precisely model the clamping conditions without the uniform strain assumption would greatly
complicate the model [33], and therefore, is not attempted.
The presented comparison of the analytical model with FEM shows that, in spite of the slight
differences in the numerical values resulting from simplifications made, the influence of various
parameters is well represented and the behavior of the energy-harvesting structure can be under-
stood through analysis of the analytical model.

7.3.10Comparison with Experimental Data


Comparison with experimental data is the ultimate validation of the model accuracy. Nevertheless,
given the limited precision of the MEMS technology, the design parameters of structures should
not be used, but rather the ones extracted from actual manufactured devices, as listed in Table 7.5.
Variation of the following factors can influence the properties of the manufactured devices:
Modeling of Piezoelectric MEMS Vibration Energy Harvesters 149

106
Analyt, = 0.1%

FEM, = 0.1%

107
Power (W)

108
Analyt, = 1.0%
FEM, = 1.0%

FEM, = 10%

109

Analyt, = 10%

1010
0.990 0.995 1.000 1.005 1.010 1.015 1.020 1.025 1.030

FIGURE 7.12 Power generated on an optimal resistive load versus excitation frequency (expressed as cir-
cular frequency ratio) for three levels of viscous damping calculated with the analytical model (analyt) and
obtained through FEM simulation.

106
FEM, = 0.1%

FEM, = 1%

FEM, = 10%
105
R ()

Analyt, = 10%

Analyt, = 1%
104
Analyt, = 0.1%

103
0.990 0.995 1.000 1.005 1.010 1.015 1.020 1.025 1.030

FIGURE 7.13 Optimal resistance values as a function of the excitation frequency (expressed as circular fre-
quency ratio) for three values of viscous damping calculated with the analytical model (analyt) and obtained
through FEM simulation.
150 MEMS: Fundamental Technology and Applications

TABLE 7.5
Extracted Parameters of the MEMS Energy-Harvesting Device Used for Model Validation
Parameter Description Value
Lp Beam length 400m
Hm Mass thickness 530m
Hs Top silicon layer thickness 9.94m
hp Piezoelectric layer thickness 0.91m
Qp Quality factor of the piezoelectric layer 120
tan Dielectric losses ratio 1e3
Viscous damping ratio 2.5e3
hte Top electrode thickness 0.56m
R Electrical load value 650k
C Parasitic capacitance of contacts 20pF

Layer thickness and its uniformity


Material properties, contaminations, and imperfections
Etching precision, including deep reactive ion etching (DRIE) underetch
Mask misalignment and precision
Sacrificial layer residue

As an example, Figure 7.14 shows an SEM image of an MEMS piezoelectric energy harvester
with a significant underetch of the seismic mass. This fact, arising from the improper tuning of
the DRIE process parameters, reduces the seismic mass size and thus increases the resonance fre-
quency of the device. Finally, proper measurement techniques should be employed, taking into
account all the connections and parasitic capacitances. For example, in the presented case, the top
silicon layer was highly conductive and grounded. Thus, parasitic capacitances created by the con-
tact pads (equal to 20pF), whose values were extracted from additional test structures on each chip,
were taken into account during model verification.
A set of MEMS devices was fabricated to verify the accuracy of the model. The measured
resonance frequency of one of the fabricated devices was equal to 1368Hz (at 0.2g) compared to

69.6 m
86.2 m

Acc.V Spot Magn Det WD Exp 200 m


30.0 kV 4.7 135x SE 6.0 1

Acc.V Spot Magn Det WD Exp 1 mm


30.0 kV 4.7 37x SE 6.2 1

FIGURE 7.14 Underetch of a piezoelectric MEMS energy generator.


Modeling of Piezoelectric MEMS Vibration Energy Harvesters 151

2.0 3.5
Exp. voltage
Exp. power 3.0
Mod. voltage
1.5
Mod. power 2.5

Power (W)
Voltage (V)
2.0
1.0
1.5

1.0
0.5

0.5

0.0 0.0
0.0 0.5 1.0 1.5 2.0 2.5 3.0 3.5 4.0
Acceleration (g)

FIGURE 7.15 Comparison of the experimental results obtained with a piezoelectric MEMS energy harvester
(Exp) with the modeling results obtained with the analytical model (Mod).

1364Hz obtained from simulation using the extracted values, proving the model accuracy. Also,
both the experimental verification and the modeling results indicate that a resistive load of around
650k provides the highest power output. Figure 7.15 depicts the values of voltage (amplitude) and
power generated by the device, obtained with the analytical model and extracted experimentally at
positive frequency sweep. A very good match is evident for lower acceleration levels, while for the
higher accelerations, nonlinearities in the mechanical behavior of the device (not taken into account
in the analytical model) induce an error. Further discussion on nonlinear behavior of piezoelectric
MEMS devices can be found in Ref. [39].

7.3.11Optimization of the Structure


The analytical model can be used to optimize the geometrical structure of the harvester. To per-
form the optimization, the variables have to be identified. If the device is to be fabricated from a
standard SOI wafer, the thickness of the seismic mass can be assumed to be equal to 500m. To
keep a good-uniformity DRIE all over the wafer, the minimum spacing between vertical walls
may not be smaller than 400m. This restriction defines the minimal beam length. The maximum
length of the structure was limited to 1.2mm. The modeling results of the 1D model indicate that
the seismic mass should be the biggest possible, so the beam should be 400-m long and the mass
would therefore be 800-m long. Furthermore, to prevent twisting of the structure, the beam should
be the widest possible, that is, equal to the mass width. In this case, the structure width becomes
irrelevant in optimization and is arbitrarily chosen equal to 800m. All these restrictions leave us
with variables linked with the layer thicknesses. The piezoelectric layer thickness is defined by the
deposition process. Usually, to achieve a crack-free layer with good uniformity, the piezoelectric
layer thickness should be between 1 and 4m [12]. The silicon layer thickness should not be lower
than 5m for reliability purposes. The top metalization layer thickness should be as thin as possible
(inactive layer with low quality factor), but thick enough to be reliable and permit wire bonding. The
minimum thickness of the chromiumgold layer was set at 400nm.
The analytical model can be used to evaluate the power generated on an optimal load for different
configurations of device dimensions, varying the ratio of the piezoelectric layer thickness to the
silicon substrate thickness. The resonance frequency is kept equal to 1kHz by adjusting the substrate
152 MEMS: Fundamental Technology and Applications

2.0 10

1.8
hs hp

1.6 8
=0
1.4
= 0.0001

1.2 6

H (W)
P (W)

1.0

= 0.0038
0.8 4

0.6
= 0.05
0.4 2

0.2

0.0 0
0.001 0.01 0.1 1 10

FIGURE 7.16 Analytical modeling results of the output power generated at resonance on a matched resistive
load versus the ratio between the piezoelectric layer thickness (PZT4) and the silicon substrate thickness for
different quality factors of the piezoelectric layer at an input acceleration of 10ms2.

thickness for each dimension set. Figure 7.16 shows the output power generated on a matched resis-
tive load at resonance versus for different quality factors of the piezoelectric layer at a fixed viscous
damping ratio of 0.1% and at an applied acceleration of 10ms2. The piezoelectric layer thickness
(hp) and silicon layer thickness (hs) are shown as well. The optimal thickness ratio results from the
interaction of two factors: the device capacity increases with the decreasing thickness of the piezo-
electric layer, which limits the output power. On the other hand, the piezoelectric layer has much
lower mechanical quality factor than the monocrystaline silicon substrate. Therefore, with decreas-
ing piezoelectric layer thickness (the resonance frequency is kept constant by increasing the silicon
layer thickness), the overall quality factor of the structure increases and so does the generated power.
It can be seen that the quality of the piezoelectric layer strongly influences this relation. While for
a low-quality piezoelectric layer (=0.05), the generated power decreases rapidly after reaching an
optimum at =0.006, for a perfect piezoelectric layer, the generated power remains almost constant
(limited by viscous damping at 0.1%) after the first maximum and falls because of the displacement
of the neutral axis into the piezoelectric layer and not because of the global quality of the structure.
This statement is in agreement with the general conclusion from modeling that for strongly coupled
structures (with two power peaks), the output power can only be increased by decreasing losses.
The analytical model presented in this section shows a very good accuracy in predicting per-
formance of piezoelectric MEMS energy harvesters. Nevertheless, the piezoelectric MEMS struc-
tures are strongly coupled, which means that their mechanical behavior is highly influenced by the
electrical circuitry connected to it. Therefore, to correctly predict the performance of the system,
a complete model including the electrical energy-processing component should be created. The
following section presents such a model created using an analog hardware description language,
VHDL-AMS.
Modeling of Piezoelectric MEMS Vibration Energy Harvesters 153

7.4 COMPLETE SYSTEM MODELING


As discussed in the previous sections, a piezoelectric generator is a complex electromechanical struc-
ture. Furthermore, the generated energy has to be rectified and regulated to be used by the client
electronics. Not only is the modeling of the complete system composed of the MEMS device and the
AC/DC and DC/DC electronics a very challenging task, but additionally, a system designer also needs
easy-to-use models that capture the essential behavior of the system, allows for efficient optimization,
and accurately predict the influence of design modifications [40]. Usually, physical and geometrical
parameters of the energy-converting devices are only considered at the very low level of the design
flow, typically using simplistic analytical models or FEM tools. Therefore, a designer using this meth-
odology needs to perform separate simulations of mechanical and electrical parts of the system, which
delivers incorrect results in the case of strongly coupled systems. Recently, advances in analog hard-
ware description languages (A-HDL) enabled the creation of complex mixed signal models that can be
employed to provide fully simulated electromechanical designs. Furthermore, less advanced users can
limit themselves to using a library of models, while others can concentrate on specific components to
be simulated on different levels of abstraction. To this end, a significant amount of research has been
done covering the subject of multidomain simulation using VHDL-AMS [41,42]. Only recently, com-
plete, strongly coupled models with various types of damping have been introduced [24]. Furthermore,
MEMS processes are characterized by limited accuracy and material parameter variations, which
induce fluctuations in device characteristics and performance. Therefore, efforts are made to balance
the effects of those variations already at the design phase to avoid or at least minimize the much more
costly improvements of the manufacturing process. To reach this goal, a robust methodology should
be capable of producing models that are accurate enough to be predictive, but simple enough not to
extend the time to market of the product. To accomplish that, a statistical analysis can be performed
using A-HDL languages to assess its sensitivity to process fluctuations.
There are multiple hardware description languages that could be used to perform simulation of an
electromechanical system. In this chapter, we chose to use VHDL-AMS governed by the IEEE-1076.1
standard for its powerful equation notation capabilities provided by simultaneous statements and free
quantities. The reader is invited to consult Refs. [24] and [41] for further comparison of A-HDL tools.
This section discusses an example VHDL-AMS implementation of the mixed-signal electrome-
chanical model of the piezoelectric energy generator presented in the previous section connected to
an electrical processing circuit. As will be shown, the use of hardware description languages gives
further advantages such as the ability to perform transient and statistical analysis.

7.4.1Design Flow
In the presented example, the energy-harvesting generator is connected to a power-processing cir-
cuit, so the model must be pin-compatible with the electric network. To secure reusability of the
created model, a generic interface with all parameters that can be useful for a potential future user
should be established. In such implementation, even a basic user can modify system parameters to
adapt it to his needs, similar to models of electrical components. Furthermore, the model should
correctly handle the widespread values in MEMS, for example, nanometer displacement compared
with GPa stresses in the materials. Figure 7.17 shows the major steps that should be taken during
model creation. First, a representation of both the electromechanical elements and the purely electri-
cal elements has to be established using the VHDL-AMS notation. Then, the model accuracy has
to be adjusted to address the trade-off between precision and simulation time to suit the user needs.
Subsequently, sensitivity of the model on parameter variation can be evaluated. Finally, the results
obtained with the model should be compared with actual experimental results using parameters
extracted from fabricated structures. If the accuracy of performance prediction is sufficient, the
model may be transformed into a reusable module and deployed for use. If accuracy is not satisfac-
tory, the model should be adjusted accordingly.
154 MEMS: Fundamental Technology and Applications

Describe the analytical model of Create VHDL-AMS


the electromechanical structure model of electrical
using VHDL-AMS components

Adjust model accuracy versus


simulation time

Perform sensitivity analysis to assess


influence of process variations

Characterize fabricated devices

Compare modeling results with


experimental data

Is accuracy
satisfactory?

Create reusable module

FIGURE 7.17 Flowchart presenting major steps in creation of an A-HDL model.

7.4.2 Model Definition


1.
Model interface: The first step in model creation consists of identifying the model param-
eters. This step is critical, as it sets the degree of reusability of the model and defines the
interface used by nonexpert users who want to optimize their structures without under-
standing how the model works.
2.
Predefined packages: IEEE provides multiple libraries that can be reused in a custom-cre-
ated model. Electrical and mechanical systems are covered along with physical constants
and mathematical packages that provide common complex functions and constants.
3.
Model parameters: Parameters of the model are described as constants in the ENTITY
section of the model code.
4.
Port interface declaration: Two choices are possibleconservative flow or signal flow.
In cases where an electrical system is to be connected to the model, the conservative flow
interface has to be used. Each connector must be associated with a mechanical or electri-
cal discipline. In the presented example, the input to the generator (external acceleration
amplitude and frequency) is mechanical and the outputs are purely electrical.
5.
Variable declaration: Subsequently, through (e.g., current, force) and across (e.g., voltage,
displacement) variables used in the model are defined as branch quantities. The declared
quantities have to be pin-compatible with the definition of the electrical part of the system to
allow reusability. The free quantity definition can be used to implement complex variables.
6.
Constitutive equations: As described in the previous sections, the coupled electrome-
chanical behavior of the structure is described using coupled differential equations. Two
domains are modeled: the initial conditions (QUIESCENT_DOMAIN) and the normal
operation of the system (time or frequency domain) [43]. The initial conditions imply that
the structure is immobile without charge on the electrodes.

To include losses in the model, and at the same time keep the electromechanical model pin-com-
patible with the electrical part of the system, free intermediate quantities are used. As presented in the
Modeling of Piezoelectric MEMS Vibration Energy Harvesters 155

previous sections, the damping is represented as an imaginary part of appropriate values. Therefore,
the associated quantity can be defined using a complex number defined in the math_complex pack-
age as a set of two elements of type real. The complex free quantities are used in the equations in the
model of the electromechanical system. Then, branch quantities are assigned to the real parts of the
free quantities and declared as outputs to interface with the rest of the system. It has to be noted though
that this method can only be used with sinusoidal signals. The reader is invited to consult works by
Boussetta etal. [24] for complete code examples representing a piezoelectric power generator.

7.4.3Evaluation
To demonstrate the interest of implementing the model using VHDL-AMS, simulation time using
various tools was compared. In the first step, the model was implemented with PZT piezoelectric
material and viscous damping of 2%. The model was implemented in both Maple and Smash. As
long as the results obtained were identical (the model is the same), the simulation time for VHDL-
AMS was equal to 10ms compared to 1s for Maple. Furthermore, VHDL-AMS allows for an
easy extension of the modeled system with electrical components. The same is theoretically pos-
sible, but much more complex and thus not practically realizable, with the purely analytical model.
Furthermore, time-domain simulation is easy to perform using the VHDL-AMS implementation.

7.4.4 Process Variation


A model implemented using VHDL-AMS can be used to assess the sensitivity of the system on
parameter variations. If the variations are assumed to be uncorrelated and have Gaussian distribu-
tion, the Monte-Carlo analysis can be used. The presented model was implemented using a set of
parameters resulting in a structure with resonance frequency equal to 1kHz, an arbitrary load value
of 5M, and an input acceleration value of 0.2g. Monte-Carlo analysis was performed assuming
parameter dispersion of 5% around the nominal values for a standard process, and dispersion of 2%
representing a robust process. Figure 7.18 shows simulation results of the dispersion of resonance

30
Experimental
Standard process
Robust process

25
Power (nW)

20

15

10
700 800 900 1000 1100 1200 1300
Frequency (Hz)

FIGURE 7.18 Results of Monte-Carlo simulation of parameter dispersion of the piezoelectric energy har-
vester. The output power dissipated on a 5-M load at 0.2g versus the resonance frequency is represented for
simulation and experimental testing of manufactured devices.
156 MEMS: Fundamental Technology and Applications

frequencies and the corresponding generated power levels compared with experimental results.
This kind of analysis is especially important in the case of resonant structures, where the trans-
ducer devices are designed to match their resonance frequency to the dominating frequency in the
environment. If process variations are high, the resonance frequency of the resulting device may
deviate from the designed value, which would significantly reduce its performance.

7.5SUMMARY
This chapter discussed the harvesting of the energy of ambient mechanical vibrations using piezo-
electric MEMS devices. To take full advantage of the energy present in the environment, the har-
vesting devices have to be small and inexpensive. MEMS technology can enable that through the
creation of arrays of microscopic piezoelectric transducers that would be directly integrated with
the electronic systems that they power. Two models designed to help understand how various fac-
tors affect the process of energy harvesting were presented. The first one is a general model of a
unidimensional mass spring system with a piezoelectric element, and the second one is a model of
a specific cantilever beam structure, common in MEMS implementations of piezoelectric energy
harvesters. The implementation of the cantilever beam model using VHDL-AMS was also dis-
cussed to highlight the advantages of global simulation of the entire system, that is, the highly
coupled electromechanical structure connected to the purely electrical energy-processing circuitry.
The modeling results were contrasted with FEM simulation and the experimental results obtained
with microfabricated devices. The presented analysis demonstrates that the efficiency of ambient
energy harvesting can be greatly improved when the structures and the power processing circuitry
are properly optimized.

APPENDIX

List of Symbols and Their Units


Symbol Meaning SI Unit
Ei Electric field component along the i-axis Vm1
Di Electric displacement field component along the i-axis Cm2
y(t) Vertical movement of the frame in the 1D model M
m Seismic mass value kg
R Electrical load resistance
Viscous damping coefficient Ns m1
, , tan Structural, viscous, and dielectric damping ratios, respectively 1
Q Quality factor 1
k0, k Spring constants: ideal and including the structural losses Nm1
C0, C Capacitance of the piezoelectric element: ideal one and F
including the dielectric losses
u(t) Electrical potential difference V
Ti Mechanical stress component along the i-axis Nm1
Si Mechanical strain component along the i-axis 1
cpq Elastic stiffness constant Nm2
eip Piezoelectric constant Cm2
ij Permittivity component Fm1
Angular frequency rad s1
res, ares Angular frequency of resonance (zero susceptance) and rad s1
antiresonance (zero reactance), respectively
a1 Neutral axis location from the bottom surface of the beam M
Modeling of Piezoelectric MEMS Vibration Energy Harvesters 157

hte, hp, hbe, hs Thickness of the top electrode, the piezoelectric layer, the M
bottom electrode, and the silicon layer, respectively
Yteef , Ypef , Ybeef , Ysef Effective rigidity of the top electrode, the piezoelectric layer, Nm2
the bottom electrode, and the silicon layer, respectively
w(x,t) Deformation of the beam at location x and at time t M
w(t) Displacement of the seismic mass relative to the frame in the M
1D model
w2(t) Displacement of the seismic mass center of gravity M
Angle of rotation of the seismic mass relative to the rad
attachment of the mass to the beam
ain(t), Ain Input acceleration and its amplitude ms2
BB, LB Beam width and length, respectively M
BM, HM, LM Mass width, height, and length, respectively M
Auxiliary variables
e33
ef
b s3kg1m3A
x=
hp DG
ef
e31 m3s3A1kg
b = hp (2hs + 2hbe + hp )
2e33
ef

L2B L2 L L m2
L2eq = + M + M B
3 4 2

REFERENCES
1. B. Calhoun, D. Daly, N. Verma, D. Finchelstein, D. Wentzloff, A. Wang, S.-H. Cho, and A. Chandrakasan,
Design considerations for ultra-low energy wireless microsensor nodes, IEEE Transactions on Computers,
54(6), 727740, 2005.
2. M. Hempstead, N. Tripathi, P. Mauro, G.-Y. Wei, and D. Brooks, An ultra low power system architec-
ture for sensor network applications, in Proceedings of the 32nd International Symposium on Computer
Architecture ISCA 05, Madison, Wisconsin, 48 June 2005, pp. 208219.
3. T. Hui Teo, G. K. Lim, D. Sutomo, K. H. Tan, P. K. Gopalakrishnan, and R. Singh, Ultra low-power sen-
sor node for wireless health monitoring system, in Proceedings of the IEEE International Symposium on
Circuits and Systems ISCAS 2007, New Orleans, LA, 2730 May 2007, pp. 23632366.
4. Toumaz Technology Limited, Sensium Life Platform product brief TZ2050, Tech. Rep., 2011 [Online].
Available: http://www.toumaz.com/.
5. L. Mateu and F. Moll, Review of energy harvesting techniques and applications for microelectronics (key-
note address), in SPIE Conference Series, vol. 5837. SPIE Conference Series, Seville, Spain, June 2005,
pp. 359373.
6. S. Roundy, P. K. Wright, and J. Rabaey, A study of low level vibrations as a power source for wireless
sensor nodes, Computer Communications, 26, 11311144, 2003.
7. S. Beeby, M. Tudor, and N. White, Energy harvesting vibration sources for microsystems applications,
Measurement Science and Technology, 17(12), R175R195, December 2006.
8. T. Sterken, P. Fiorini, K. Baert, R. Puers, and G. Borghs, An electret-based electrostatic u-generator, in
Proceedings of TRANSDUCERS, Solid-State Sensors, Actuators and Microsystems, 12th International
Conference, vol. 2. Proc. Transducers 03, Boston, MA, 2003, pp. 12911294.
9. P. Glynne-Jones, M. Tudor, S. Beeby, and N. White, An electromagnetic, vibration-powered generator for
intelligent sensor systems, Sensors and Actuators A, 110, 344349, 2004.
10. A. J. du Plessis, M. J. Huigsloot, and F. D. Discenzo, Resonant packaged piezoelectric power harvester
for machinery health monitoring, E. V. White, Ed., SPIE, 5762(1), 224235, 2005.
11. S. W. Arms, C. P. Townsend, D. L. Churchill, J. H. Galbreath, and S. W. Mundell, Power management for
energy harvesting wireless sensors, V. K. Varadan, Ed., SPIE, 5763(1), 267275, 2005.
12. N. Ledermann, P. Muralt, J. Baborowski, S. Gentil, K. Mukati, M. Cantoni, A. Seifert, and N. Setter, 1
0 0-textured, piezoelectric Pb(Zrx,Ti1x)O3 thin films for MEMS: Integration, deposition and properties,
Sensors and Actuators A, 105(2), 162170, 2003.
158 MEMS: Fundamental Technology and Applications

13. P. D. Mitcheson, T. C. Green, E. M. Yeatman, and A. S. Holmes, Architectures for vibration-driven


micropower generators, Journal of Microelectromechanical Systems, 13(3), 429440, 2004.
14. C. Williams and R. Yates, Analysis of a micro-electric generator for microsystems, in Proceedings of
Transducers95 and Eurosensors IX, vol. 1. Stockholm, Sweden, June 2529, 1995, pp. 369372.
15. N. duToit, B. Wardle, and S. Kim, Design considerations for MEMS-scale piezoelectric mechanical
vibration energy harvesters, Integrated Ferroelectrics, 71, 121160, 2005.
16. E. Lefeuvre, A. Badel, C. Richard, L. Petit, and D. Guyomar, A comparison between several vibration-
powered piezoelectric generators for standalone systems, Sensors and Actuators A, 126(2), 405416,
2006.
17. IEEE, Standard on piezoelectricity, ANSI/IEEE Std 176-1987, January 1988.
18. T. Tsurumi, H. Kakemoto, and S. Wada, Dielectric, elastic and piezoelectric losses of PZT ceramics
in the resonance state, in Proceedings of the 13th IEEE International Symposium on Applications of
Ferroelectrics ISAF 2002, Nara, Japan, 2002, pp. 375378.
19. J. Peng, H. Luo, T. He, H. Xu, and D. Lin, Elastic, dielectric, and piezoelectric characterization of
0.70Pb(Mg1/3Nb2/3)O30.30PbTiO3 single crystals, Materials Letters, 59(6), 640643, 2005.
20. Piezo Systems Inc., Catalog #8, 2011, p. 28 [Online]. Available: http://www.piezo.com/catalog8.pdf.
21. TRS Technologies, Inc. TRS100HD [Online]. Available: http://www.trstechnologies.com/.
22. F. Martin, P. Muralt, M. A. Dubois, and A. Pezous, Thickness dependence of the properties of highly
c-axis textured AlN thin films, Journal of Vacuum Science and Technology A, 22(2), 361365, 2004.
23. R. Lanz, P. Carazzetti, and P. Muralt, Surface micromachined BAW resonators based on AlN, in
Proceedings of the IEEE Ultrasonics Symposium, 1, 981983, 2002.
24. H. Boussetta, M. Marzencki, S. Basrour, and A. Soudani, Efficient physical modeling of MEMS energy
harvesting devices with VHDL-AMS, Sensors Journal, IEEE, 10(9), 14271437, 2010.
25. M. Marzencki, Y. Ammar, and S. Basrour, Integrated power harvesting system including a MEMS gen-
erator and a power management circuit, Sensors and Actuators A, 145146, 363370, 2008.
26. F. Blom, S. Bouwstra, M. Elwenspoek, and J. Fluitman, Dependence of the quality factor of microma-
chined silicon beam resonators on pressure and geometry, Journal of Vacuum Science and Technology B,
10(1), 1926, 1992.
27. S. Roundy and P. K. Wright, A piezoelectric vibration based generator for wireless electronics, Smart
Materials and Structures, 13(5), 11311142, 2004.
28. P. Muralt, Recent progress in materials issues for piezoelectric MEMS, Journal of the American Ceramic
Society, 91(5), 13851396, 2008.
29. M. Marzencki, S. Basrour, B. Belgacem, P. Muralt, and M. Colin, Comparison of piezoelectric MEMS
mechanical vibration energy scavengers, in Proceedings of Nanotech 2007. Santa Clara, CA: NSTI, May
2024, 2007.
30. J. O. Mur-Miranda, Electrostatic vibration-to-electric energy conversion, PhD Thesis, Massachusetts
Institute of Technology, February 2004.
31. P. Muralt, Ferroelectric thin films for micro-sensors and actuators: A review, Journal of Micromechanics
and Microengineering, 10(2), 136146, 2000.
32. M. Brissaud, Modelling of non-symmetric piezoelectric bimorphs, Journal of Micromechanics and
Microengineering, 14(11), 15071518, 2004.
33. E. Elka, D. Elata, and H. Abramovich, The electromechanical response of multilayered piezoelectric
structures, Journal of Microelectromechanical Systems, 13(2), 332341, 2004.
34. P. Muralt, N. Ledermann, J. Paborowski, A. Barzegar, S. Gentil, B. Belgacem, S. Petitgrand, A.
Bosseboeuf, and N. Setter, Piezoelectric micromachined ultrasonic transducers based on PZT thin films,
IEEE Transactions on Ultrasonics, Ferroelectrics, and Frequency Control, 52(12), 22762288, 2005.
35. J. Baborowski, N. Ledermann, and P. Muralt, Piezoelectric micromachined transducers (PMUTs) based
on PZT thin films, in Proceedings of the IEEE Ultrasonics Symposium, 2, 10511054, 2002.
36. Z. Hao, A. Erbilb, and F. Ayazia, An analytical model for support loss in micromachined beam resonators
with in-plane flexural vibrations, Sensors and Actuators A, 109(12), 156164, 2003.
37. V. T. Srikar and S. D. Senturia, Thermoelastic damping in fine-grained polysilicon flexural beam resona-
tors, Journal of Microelectromechanical Systems, 11(5), 499504, 2002.
38. G. Poole, Ansys equation solvers: Usage and guidelines, in ANSYS 2002 Conference, 2002. [Online].
Available: http://ansys.net/papers/solver_2002.pdf.
39. M. Marzencki, M. Defosseux, and S. Basrour, MEMS vibration energy harvesting devices with passive
resonance frequency adaptation capability, Journal of Microelectromechanical Systems, 18(6), 14441453,
2009.
Modeling of Piezoelectric MEMS Vibration Energy Harvesters 159

40. S. D. Senturia, CAD challenges for microsensors, microactuators, and microsystems, Proceedings of the
IEEE, 86(8), 16111626, 1998.
41. F. Pecheux, C. Lallement, and A. Vachoux, VHDL-AMS and Verilog-AMS as alternative hardware
description languages for efficient modeling of multidiscipline systems, IEEE Transactions on Computer
Aided Design of Integrated Circuits and Systems, 24(2), 204225, 2005.
42. R. Guelaz, D. Kourtiche, and M. Nadi, Ultrasonic piezoceramic transducer modeling with VHDL-AMS:
Application to ultrasound nonlinear parameter simulations, IEEE Sensors Journal, 6(6), 16521661, 2006.
43. S. G. Sabiro, Mixed-mode system design: VHDL-AMS, Microelectronic Engineering, 54(12), 171180,
2000.
8 Interface Circuits for
Capacitive MEMS Gyroscopes
Hongzhi Sun and Huikai Xie

CONTENTS
8.1 Operations of MEMS Gyroscopes........................................................................................ 161
8.1.1 Coriolis Effect............................................................................................................ 161
8.1.2 Excitation of the Drive Mode.................................................................................... 165
8.1.3 Matched versus Unmatched Modes........................................................................... 167
8.2 Read-Out Circuits.................................................................................................................. 168
8.2.1 Continuous-Time Sensing.......................................................................................... 168
8.2.1.1 Open-Loop Amplifiers................................................................................ 169
8.2.1.2 Transimpedance Amplifiers........................................................................ 172
8.2.2 Discrete-Time Sampling............................................................................................ 174
8.2.3 Discussions................................................................................................................ 177
8.3 Considerations for the Nonidealities..................................................................................... 178
8.3.1 Quadrature Error....................................................................................................... 178
8.3.2 Direct-Coupled Motions............................................................................................ 179
8.3.3 Phase Issues in the Drive Loop................................................................................. 180
8.4 Summary............................................................................................................................... 180
References....................................................................................................................................... 181

8.1 OPERATIONS OF MEMS GYROSCOPES


8.1.1Coriolis Effect
Most of the commercially available microelectromechanical system (MEMS) gyroscopes for con-
sumer electronics are based on the vibratory concept [13], in which case the rotary rate is detected
through the so-called Coriolis effect. The Coriolis effect is intuitively illustrated in Figure 8.1. A
plate with an ideal smooth surface is rotating at a rate , with respect to an inertial frame of refer-
ence, for example, the Earth, and a particle A starts to move toward point B at t0 with a constant
velocity v, whose direction points from A to B (Figure 8.1a). The plate is referred to as a rotating
frame of reference. In the inertial frame of reference, since the surface has no frictions, the particle
will move straightly with a constant velocity until it arrives at the other side of the plate at t0+t
(Figure 8.1b). However, observed from point B, which is static in the rotating frame of reference, the
trajectory of the particle is curved, as shown in Figure 8.1c, as there is an extra force applied on the
particle. This effect is called the Coriolis effect. Consequently, the extra force is called the Coriolis
force and the acceleration caused by the Coriolis force is called the Coriolis acceleration. In this
chapter, the output signal of an MEMS gyroscope due to the Coriolis effect is generally called the
Coriolis signal.

The Coriolis effect can also be derived mathematically. If a particle has an observed velocity v

in a rotating frame of reference, which is rotating with an angular velocity in an inertial frame of

161
162 MEMS: Fundamental Technology and Applications

(a) (b) (c)

B
A B A B
A

FIGURE 8.1 The trajectories of a moving particle in an inertial frame of reference and a rotating frame of
reference: (a) t = t0; (b) t = t0 + t; and (c) observed from B.

reference, its velocity observed from the inertial frame of reference is given by

 d  d      
v = r = r + r = v + r (8.1)
dt I dt R


where r is the position vector of the particle referred to the inertial frame of reference, and the
subscripts I and R represent the derivative calculations in the inertial and the rotating frames of
reference, respectively. For the validity of the derivation in general cases, all the variables in this
equation are in the format of vectors. Similarly, the accelerations in two frames of references satisfy

d  d   
dt v = dt v + v (8.2)
I R

By substituting Equation 8.1 into Equation 8.2 and letting

d  d 
a = v and a = v
dt I dt R

the acceleration in the inertial frame of reference is expressed as

        
a = a + 2( v ) + ( r ) + arot r (8.3)

 
where a is the acceleration of the particle referred to the rotating frame and arot the rotation accel-
eration. For a particle that has no acceleration in the inertial frame of reference, it is apparent that

a=0

By multiplying the mass on both sides of Equation 8.3, the net force in the rotating frame of
reference is given by
       
ma = 2 m( v ) 2 m ( r ) 2 marot r (8.4)

 
The term 2m( v ) is the Coriolis force, whose direction is orthogonal to both the rotation
and the local velocity, and whose amplitude is proportional to the product of the rotary rate and the
    
local velocity. The term m ( r ) is called the centrifugal force, and the term marot r is due
to the rotary acceleration of the noninertial frame of reference. It can be proved later that the other
Interface Circuits for Capacitive MEMS Gyroscopes 163

two terms are out of the band of the Coriolis signal. Therefore, only the Coriolis force is focused on
at the beginning of analysis. Then, Equation 8.4 is reduced to

  
ma = 2 m( v ) (8.5)

So, the Coriolis effect causes a local linear acceleration that is proportional to the rotary rate,
and the acceleration can be detected by an accelerometer. In a vibratory gyroscope, the moving part
with a certain mass is called the proof mass.
Figure 8.2 shows a simplified mechanical model of a vibratory gyroscope that is used to detect the
rotation that is perpendicular to the paper plane. A frame is connected to a fixed wall through a spring
and a damper in the vertical direction, while the movements in the horizontal direction are limited
by the friction-free rollers. Inside the frame, a proof mass is connected to the frame in the same way
except that its movements are restricted within the horizontal direction. The gyroscope has two opera-
tion modes: the drive mode and the sense mode. In the drive mode, the frame is driven by external
forces, for example, electrostatic force and piezoelectric force, to move vertically. Owing to the support
of the rollers inside the frame, the proof mass moves together with the frame, so the value of Cs does
not change. In the sense mode, the Coriolis force induced by the rotation is in the horizontal direction.
Since the frame is not movable horizontally, the movements of the center proof mass cause the changes
in Cs, which is measured by the interface circuits. The governing equations of both modes are

d2 x dx
md + bd + kd x = Fd (8.6)
dt 2 dt

d2 y dy dx
ms + bs + k s y = 2 ms (8.7)
dt 2 dt dt

Drive mode
Frame kd bd

bs


Sense mode Cs
ks

Cd

Rollers

FIGURE 8.2 Simplified model of a capacitive MEMS vibratory gyroscope.


164 MEMS: Fundamental Technology and Applications

In Equations 8.6 and 8.7, m, b, and k represent mass, damping coefficient, and spring constant,
respectively, and the subscripts d and s distinguish the drive mode and the sense mode, respectively.
Fd is the driving force, which is assumed sinusoidal, given by

Fd (t)=Fd sin ( t) (8.8)

By solving Equation 8.6, we obtain the function of the displacements in the driving mode:

Fd
x (t ) = sin(w t j d ) (8.9)
kd (1 (w /w d )2 )2 + (w /Qdw d )2

where

w /w d
j d = arctan (8.10)
Qd [1 (w /w d )2 ]

Similarly, the movements in the sense mode can be obtained by solving Equation 8.7 and then
substituting Equation 8.9 into the result, given by

2 Fd msw (t ) 1 sin(w t j d j ds )
y(t ) = (8.11)
kd ks (1 (w /w d ) ) + (w /Qdw d )
2 2 2
(1 (w /w s )2 )2 + (w /Qsw s )2

and

w /w s w /w d
j ds = j s j d = arctan arctan (8.12)
Qs [1 (w /w s ) ]
2
Qd [1 (w /w d )2 ]

In Equations 8.9 through 8.12, Q and are the quality factor and the natural resonant frequency,
respectively, and the following equations exist:

miw i ki
Qi = and w i = , i = d, s
bi mi

According to Equation 8.11, the rotation rate is modulated to the drive-mode frequency in the
Coriolis signal. Therefore, the rotation rate can be obtained from the amplitude of the Coriolis sig-
nal. The frequency of the drive mode is usually in the order of kHz, while the rotation rate is at most
several Hz, so the other terms in Equation 8.4 are out of the band of the Coriolis force.
The sensor shown in Figure 8.2 is capacitive because the mechanical signal is transduced into the
electrical domain through the change of the capacitor. The electrical signal can be voltage, current,
frequency, duty cycle [47], etc., depending on the architecture of the interface circuits. There are
many examples that use other mechanisms, such as piezoresistive [8,9], etc., as the bridge. However,
the capacitive approaches are the most popular in both academia and industries, owing to the advan-
tages of high sensitivity, good linearity, and low power consumption. Therefore, the discussion in
this chapter will focus on the circuitry design for capacitive MEMS gyroscopes.
Interface Circuits for Capacitive MEMS Gyroscopes 165

8.1.2Excitation of the Drive Mode


The proof mass of a capacitive gyroscope is driven by an electrostatic force in the drive mode. To
illustrate how the electrostatic force works, Figure 8.3 shows two capacitors in series, where thetwo
outer electrodes are fixed and the central electrode is movable in the horizontal direction. The two
fixed plates are connected with two out-of-phase AC signals, Vac+ and Vac, respectively, and the
central plate is biased with a DC voltage Vdc. The potential energy stored in each capacitor is

1 eA
E = (Vac Vdc ) 2 (8.13)
2 g

where is the dielectric constant, A the overlap area, and g the distance between the two plates.
Since the electrostatic force tends to change the overlap area, the force between the two electrodes
is derived as

E 1 ew
Fe = = (Vac Vdc )2 (8.14)
l 2 g

where w is the width of the electrode plate. For the plate driven by two differential signals, as shown
in Figure 8.3, the net force is given by

ew
Fnet = Fe + Fe = 2 VacVdc (8.15)
g

It can be found by comparing Equations 8.14 and 8.15 that the differential driving cancels the
second-order harmonics in Equation 8.14 and achieves better linearity of the electrostatic force, so
it is desirable in the sensor design. So, the transfer function of the drive mode can be derived from
Equations 8.6 and 8.15 as

X (s) 2ewVdc 1
H (s) = = 2 (8.16)
Vac (s ) g s + (w d /Qd )s + Qd2

The magnitude of Equation 8.16 achieves the peak value at d, which is the natural resonant
frequency of the system, and so does the Coriolis acceleration in response to the same rotation.

l Fixed

g
Movable

+
Vac+
Vbias Vac
Fixed

FIGURE 8.3 Electrostatic force between two fixed plates and a horizontally movable plate.
166 MEMS: Fundamental Technology and Applications

Therefore, the proof mass should be driven at its resonance in the drive mode. The amplitude of the
displacement at the resonance is given by
|Fnet | Qd
xmax = (8.17)
kd
The values of the quality factors in the drive mode are usually in the order of 102 for the gyro-
scopes working in the atmosphere, and the value of Q can be as high as tens of thousands for those
devices with vacuum packaging. The bandwidth of the mode, on the other hand, is adversely pro-
portional to Q, as shown below:
wd
BW = (8.18)
Q

So, the bandwidth may be as low as around 10Hz for the devices at the atmosphere and even less
than 1Hz for those in vacuum [4]. This means that it is very hard to predefine a fixed resonant fre-
quency for a batch of devices, if not impossible, considering the variations during fabrications and the
environmental factors. In a modern design, the resonant frequency is found by a self-oscillation loop
in which the MEMS structure works as a loop filter. Figure 8.4 shows the block diagram of a possible
choice of the whole interface circuits [4,5]. The drive-mode movements of the proof mass are first
detected by the read-out circuits, whose details will be discussed in Section 8.2. After being ampli-
fied by a controlled gain with a proper phase shift, the signal is fed back to the driving electrodes to
close the loop. The Barkhausen criterion should be satisfied to start up the oscillation, stated by

|HF/V (s)Hx/F (s)H V/x (s)G|=1


(8.19)
HF/V (s)Hx/F (s)H V/x (s)G=0

Note that Hx/F (s)=90 at the natural resonant frequency, so the electronics in the self-oscil-
lation loop should generate a 90 phase lead to meet Equation 8.19. Since an oscillation without any

|V|set

+
Automatic gain
control loop
Hctrl(s)

Interface circuitry Vctrl

Drive mode
Hv/x(s) G(Vctrl) |Vdrive|
Hx/F (s)

Vdrive
Phase
HF/V (s)
tuning

Rotation Sense mode Low-pass Rotary rate


Hv/x(s) Gain
Hx/F (s) filter
Demodulation

FIGURE 8.4 Block diagram of the whole system.


Interface Circuits for Capacitive MEMS Gyroscopes 167

a mplitude control will go to saturation, which means serious nonlinearities, another gain control
loop is present to keep the control signal at the proper level.

8.1.3 Matched versus Unmatched Modes


It has been proved that both the drive mode and the sense mode of a capacitive MEMS vibratory
gyroscope are second-order systems, which means that each mode has its own resonant frequency.
The two frequencies can either be identical to match the two modes or be different to leave the
two modes unmatched. The design of the two frequencies is very important, as it is directly
related to the performance of a sensor and the architecture of the electronics system.
We first examine the case in which the two modes are not matched and the drive-mode resonant
frequency is located at the pass band of the sense mode. The amplitude of the Coriolis-induced
displacement is given by

2 Fd msw
|xCoriolis | = Qd (8.20)
kd ks

According to Equations 8.10 and 8.12, d=90 and ds=90, so the Coriolis signal is in phase
with the driving signal. The signal in the self-oscillation loop can be used directly to demodulate
the Coriolis signal for the rotation rate.
Since the frequency response of the sense mode is flat at the pass band, the sensitivity of a sen-
sor barely changes as the drive-mode resonant frequency drifts. This means that the sensor has
stable sensitivity against environmental fluctuations and fabrication variations. The bandwidth of
the detectable rotation signal is

BWgyro = fs _ 3dB fd (8.21)


where fs_3dB is the frequency at which the magnitude of the sense-mode transfer function curves up
by 3dB and fd is the resonant frequency of the drive mode, as shown in Figure 8.5a.
If the drive mode and the sense mode are matched, their resonant frequencies are exactly
the same, so the proof mass resonates in both modes. According to Equations 8.11 and 8.16, the

(a) (b)
|H(s)| Drive mode |H(s)| Drive mode
Sense mode Sense mode

BWgyro

3 dB 3 dB

BWgyro

fd fs f (Hz) fs f (Hz)
fd

FIGURE 8.5 Bandwidth of (a) unmatched and (b) matched modes.


168 MEMS: Fundamental Technology and Applications

d isplacement at the resonant frequency is Q times larger than that in the pass band, where Q is the
quality factor. Therefore, the amplitude of the Coriolis-induced displacement is derived as

2 Fd msw
|xCoriolis | = Qd Qs (8.22)
kd ks

The amplitude of the Coriolis signal is considerably larger than those sensors without mode
matching, considering the number of Qs can be from hundreds in the atmosphere, up to as high as
thousands in vacuum. Therefore, the mode matching improves the sensitivities significantly.
The main drawback of the mode-matched gyroscope is their narrow bandwidth. Since the
Coriolis acceleration is around the resonant frequency of the sense mode, the bandwidth of the
detectable rotation rate is determined by the quality factor of the sense mode:

BWgyro=fs/2Qs (8.23)

So, the bandwidth of the sensor is severely limited compared to the unmatched case. Moreover,
it is very hard to make the two modes by themselves, even though the parameters of both modes
are well designed, because the bandwidths of both modes are so narrow that a little environmental
change or a mismatch happening during fabrication will ruin the match. Usually, extra circuits
and electrodes on sensors are necessary to tune the resonant frequency dynamically to keep the
mode match. The technologies of tuning the mechanical structures are beyond the scope of this
chapter, and interested readers can refer to the literatures about mode-matching gyroscopes for
more details.
Moreover, both d and ds in Equations 8.10 and 8.12 are equal to 90 when the two modes are
matched, so there is a 90 difference in phase between the driving signal and the Coriolis signal.
The driving signal is available from the self-oscillation loop, and extra circuitry might be necessary
to generate the quadrature signal to demodulate the Coriolis signal for the rotation rate.
On the basis of the aforementioned discussion, the gyroscopes with matched modes have higher
sensitivities, lower bandwidths, and possibly more complicated circuitry than the unmatched ones.
So, the mode-matching technologies are usually employed on the high-end sensors that need to be
sensitive to low rotary rate while the cost is not an important issue.

8.2 READ-OUT CIRCUITS


The read-out circuits work to convert the capacitive change to electrical signals that can be further
processed, such as voltage, current, frequency, and so on [47]. The electrical signals can come
either from discrete-time sampling [10] or from continuous-time transduction [4,5,11]. This section
will summarize the commonly used read-out circuits for capacitive MEMS gyroscopes.

8.2.1Continuous-Time Sensing
The capacitance changes of the MEMS gyroscopes redistribute the charge stored on the sensing
capacitors and thus resulting in voltage or current variation. Correspondingly, the continuous-time
read-out circuits can be divided into these two major categories: voltage and current-sensing ampli-
fiers, the former of which amplify the voltage signal directly, whereas the latter of which convert
the current to voltage with certain amplification. In this section, we will discuss the voltage-sensing
circuits first, followed by the current-sensing circuits.
Let us look at the simplified model of the interface between the sensing capacitors and the
read-out circuits in Figure 8.6. The two variable capacitors with the initial capacitance of Cs0 are
biased with two AC signals, vm and vm, and their common node is connected to the circuits. Their
Interface Circuits for Capacitive MEMS Gyroscopes 169

vm

Cs0 + Cs

is
vs

Cs0 Cs Zin

vm

FIGURE 8.6 Interface between the variable capacitors and the interface circuits.

capacitances are changed during rotation by Cs in absolute value but with opposite signs, and
CsCs0. Zin is the lumped input impedance of the read-out circuits. It can be easily derived that

Cs 1
vs = v when Z in  (8.24)
Cs 0 m jw Cs 0

where is the radian frequency of vm.


So, the voltage signal at the input node is proportional to the capacitance change caused by the
Coriolis force. Similar results can be obtained if the bias voltage signals applied at the sensing
capacitors are DC instead of AC, except that the in Equation 8.24 becomes the drive-mode fre-
quency, which is much lower than the AC modulation signal; therefore, more stringent requirement
is applied to Zin to satisfy Zin(1/jCs0).
As Zin drops down, vs starts to be attenuated as more current flows through Zin. The extreme con-
dition happens when Zin0, in which case the voltage at the common electrode is grounded, and
the current flowing out of the node is given by
is=jCsvm (8.25)
It is interesting to note that the net current is also proportional to the capacitance change. The
current-sensing circuits deal with the current and convert it to voltage signal with proper level.
Similar to the voltage-sensing case, the DC bias will lead to the same equation, and the value of
will refer to the resonant frequency of the drive mode.
According to the aforementioned analysis, the input impedance determines whether the voltage
sensing or the current sensing is applicable to the Coriolis signal detection. The actual interface
circuits are not exactly one of the two extreme cases. For the voltage sensing, open-loop architec-
tures are usually employed for their high input impedance; however, the parasitic parameters of the
circuits and the interconnections make the value of Zin finite, which attenuates the amplitude of vs
at the input nodes of the circuits. For the current sensing, on the other hand, the transimpedance
amplifiers are usually employed for the virtual ground they can provide, but the finite loop gain of
the op amp causes their input impedance to be nonzero. The simplified schematics of an open-loop
amplifier and a transimpedance amplifier are shown in Figure 8.7.

8.2.1.1 Open-Loop Amplifiers


For the open-loop amplifier shown in Figure 8.7a, the input transistor is biased at a proper DC
level through Zbias to ensure the right operation conditions. The AC impedance of Zbias should be
large enough so that the AC signal from the sensing capacitors is not affected. The commonly used
170 MEMS: Fundamental Technology and Applications

(a) (b)
vm 4kBTRf
vn_modu vm
RL in_R vn_modu
Cs0 + Cs Cgd Zf Rf
Cs0 + Cs
vn_ f vn_them vout
vs Cf
gm

Zbias
+
Cs0 + Cs Cstray Cgs
Cs0 + Cs Cp
+
ishot
Vbias vn_opamp
vm vm

FIGURE 8.7 Simplified schematics and noise models of (a) open-loop amplifier and (b) transimpedance
amplifier.

components include diodes, diode-connected MOSFETs, resistors with switching technologies, etc.
In this chapter, a reverse-biased diode will be chosen as an example for further analysis. The AC
signal at the input node is then given by

2 Cs
vs = v (8.26)
2Cs 0 + C p m

where Cp is the parasitic capacitance coming from the input transistors and the stray capacitance of
the interconnections between the sensors and the circuits. Considering the Miller effect, the value
of Cp is calculated as

Cp=Cstray+Cgs+(1+gm R L ) Cgd (8.27)

where gm is the transconductance of the input transistor, R L is the load resistance of the amplifier,
and Cgs and Cgd are the gate-to-source and the gate-to-drain capacitance, respectively. It is noted
that the Miller effect increased the parasitic capacitance, which attenuates the input signal more
seriously. One possible solution is adding a cascode transistor at the drain of the input transistor, to
reduce its output resistance, but the cost is smaller headroom for the output signal. The gain of the
open-loop amplifier is

G=gm R L (8.28)

so the output signal of the open-loop amplifier is

2 Cs
vout = v g R (8.29)
2Cs 0 + C p m m L

Noise is the most important issue that affects a gyroscopes performance, so the noise analy-
sis is necessary for all amplifiers. For open-loop architectures, the major noise sources include the
Brownian noise from the mechanical structure, the flicker noise and the thermal noise from the
amplifier, the shot noise from the DC-biasing diodes, and the noise injected from the modulation
signal source. The noise from the mechanical structures is white noise, whose spectral density is

Fm2 = 4 kBTb (8.30)



Interface Circuits for Capacitive MEMS Gyroscopes 171

The unit of Fm2 is N2/Hz, and kB, T, and b are the Boltzmann constant (1.381023J/K), the abso-
lute temperature, and the viscous damping coefficient, respectively. The Brownian noise is deter-
mined by the mechanical structure design and thus contributes the same to all interface circuits. So,
the electronic noise should be paid the most attention in the noise analysis.
The spectral density of the shot noise existing in the diodes for DC biasing can be written as

2
ishot = 2qI (8.31)

where q is the charge of a single electron and I the DC current. In a well-biased circuit, the DC leak-
age current is very small, so the shot noise is negligible.
The noise from the modulation signal source can inject into the input nodes of the read-out
amplifier; however, in fully differential architectures, the two input nodes are accepting almost the
same amount of noise, so most of the injected noise cancels out each other. Therefore, fully differ-
ential architectures are preferred to minimize the effect of the injected noise.
The flicker noise of a MOSFET arises from the extra energy states at the interface between the
silicon and the oxide layer. The flicker noise of a single transistor is modeled as a voltage source in
series with the gate, as shown in Figure 8.7a, and its spectral density is

K 1
vn2 _ f = (8.32)
Cox WL f

where K is the process-dependent constant, Cox the gate capacitance density, and W and L are the
width and the length of the transistor, respectively. It is noticed that the power spectrum density of
the flicker noise is proportional to 1/f, so it is also called 1/f noise. As discussed above, vm can be
either AC or DC. If vm is DC, the input signal is the base-band Coriolis signal in the order of kHz, so
the flicker noise is the dominant noise source. Equation 8.32 suggests that increasing the transistor
size will reduce the flicker noise. However, a larger transistor contributes larger parasitic capaci-
tance, which attenuates the signal, so it might or might not improve the signal-to-noise ratio (SNR).
The transistor size for the optimum SNR is calculated from the following analysis.
The equation of SNR is given by

vs2
SNR = (8.33)
vn2 _ f f

The length of the transistor can take the minimum allowed value, considering all practical issues
like offset etc., because short transistor is faster while consuming the same power, as discussed later.
The optimized transistor width can be derived by letting


(SNR ) = 0 (8.34)
W

Substitute Equations 8.26, 8.32, and 8.33 into Equation 8.34, and the optimized width should
satisfy that

Cgs+(1+gm R L) Cgd=2Cs+Cstray (8.35)

The thermal noise is due to random fluctuation of carriers, and for a MOSFET, it mainly comes
from the channel. Thermal noise is a white noise, so it has uniform spectrum over all frequencies.
172 MEMS: Fundamental Technology and Applications

The thermal noise has much less power spectral density than the flicker noise does at low fre-
quency, but it will take over at high frequency. The frequency at which the flicker noise and the
thermal noise equals in power spectral density is called the corner frequency, and it is around tens
to hundreds of kHz for modern CMOS technologies. The Coriolis acceleration can be modulated
to a higher frequency in AC detection, in which case vm is an AC signal instead of DC. So, the dom-
inant noise source would be the thermal noise as long as the carrier frequency is higher than the
corner frequency, and the noise level is lower than the flicker noise at the base band. When mod-
eled as a voltage source in series with the gate, the spectral density of the thermal noise is given by

8 1
vn2 _ them = k T (8.36)
3 B gm

where gm is the transconductance of the transistor and is given by

gm = 2 mCox I D
W
L (8.37)

where is the mobility of the carriers and ID the drain current of the MOSFET. Equation 8.37 sug-
gests that the thermal noise can be reduced by increasing the transistor width or the bias current.
Increasing the width will lead to a similar issue on SNR optimization as in the case of the flicker
noise, and it can be proved that the optimized width satisfies

1
Cgs + (1 + gm RL )Cgd = (2Cs + Cstray ) (8.38)
3

According to Equations 8.36 and 8.37, the thermal noise can be reduced by increasing the power
consumption. However, since vn _ them I 1/ 4 , the power consumption needs to be increased by 16
times to reduce the noise voltage by a factor of 2. It is very inefficient, especially when considering
the more and more stringent requirements of modern consumer electronics on low power.
One drawback of the AC detection is that any initial mismatches of the sensing capacitors will
be modulated to the carrier frequency and be mixed with the Coriolis signal. Although the capaci-
tance mismatches and the Coriolis signal is distinguishable in frequency, it is still possible that the
mismatch signal can saturate the interface circuits or affect the linearity, considering the fact that
the Coriolis signal is weak compared to the initial mismatch. The other cost of the AC detection is
the higher power consumption. The gainbandwidth product of an amplifier is given by

gm 2mCox (W /L )I D
GBW = = (8.39)
CL CL

Higher operation frequency requires higher bandwidth and thus higher power consumption.

8.2.1.2 Transimpedance Amplifiers


The transimpedance amplifier (TIA) [4,11], on the other hand, creates a virtual ground for the cur-
rent from the sensor and converts the current to voltage. Depending on the configuration, the tran-
simpedance can be resistive or capacitive. The amplifiers with resistive configuration are also called
transresistance amplifiers (TRAs). In some literatures, TIAs are referred only to those with resistive
transimpedance. The capacitive configuration is also called transcapacitance amplifier (TCA) or
Interface Circuits for Capacitive MEMS Gyroscopes 173

charge-sensitive amplifier (CSA). To avoid confusions, TIAs and CSAs will be used in this chapter
to call the transimpedance amplifiers with resistive and capacitive configurations, respectively.
We will talk about the TIAs first. The output signal of a TIA is calculated by multiplying the
current from the sensor with the transimpedance, revealed by

vout=is Zf=jCsRf vm (8.40)

Here is the radian frequency of the modulated Coriolis signal if vm is AC, while it is the base-
band frequency if vm is DC. It is noticed that the output has a 90 phase shift, and its amplitude
is frequency-dependent. In other words, the TIA works as a differentiator. Similar to the mode-
matched sensors mentioned above, a quadrature clock is required to demodulate the Coriolis signal.
The feedback resistor can be implemented with a long MOSFET working in the linear region. For
the stability of the feedback, an extra capacitor Cf in parallel with the resistor is necessary, and the
capacitance should satisfy

1
Cf  (8.41)
jw R f

to meet the characteristics of the transfer function.


For a CSA whose transimpedance is capacitive, the output signal becomes

Cs
vout = is Z f = v (8.42)
Cf m

Compared to Equation 8.40, the output in Equation 8.42 is no longer dependent on frequency,
and the output signal is proportional to the ratio of the capacitance change over the feedback capaci-
tance. The CSA works as an integrator, so any DC current will accumulate on Cf. To prevent the
CSA from being saturated by the DC current, a resistor in parallel with Cf is necessary, and the
resistance should satisfy

1
Rf  (8.43)
jw C f

to meet the characteristics of the transfer function.


The feedback resistors of TIAs and CSAs contribute noises that are not negligible. The equiva-
lent noise at the output of a TIA is

vn _ fb = 4 kBTR f (8.44)

The equivalent noise referred to the capacitance change is given by

4 k B T /R f
Cn _ fb = (8.45)
jw vm

It can be proved that Equation 8.45 also works for CSAs. Therefore, large feedback resistors
are always beneficial for the noise performance of the current-sensing amplifiers. The feedback
resistance of a CSA is so large that its noise contribution is negligible. However, a trade-off exists
between the feedback resistance and the linear range of a TIA.
174 MEMS: Fundamental Technology and Applications

Assume that the total noise of the op amp referred to the input node is written as vn _ opamp , so the
output noise is given by

vn _ out = vn _ opamp (1 + jw (Cs + C p )Z f ) + Cn _ fb jw vm Z f (8.46)


By comparing Equation 8.46 with Equations 8.40 and 8.42, it can be observed that the TIA and
CSA have the noise gain larger than the signal gain. The SNR at the output is

2
jw Cs vm Z f
SNR out = (8.47)
vn _ opamp (1 + jw (Cs + C p )Z f ) + Cn _ fb jw vm Z f

Compared to the open-loop amplifiers that have the same gain of the signal and the input-
referred noise, the current-sensing amplifiers have lower SNR at the output. It is not a big issue when
CsZf1 and Cp is well controlled because the extra noise gain is negligible. However, the SNR
will be degraded significantly if the signal gain is close or less than unity, which happens on those sen-
sors with ultra-small sensing capacitance, for example, some gyroscopes integrated on CMOS chips.
Similar to the open-loop amplifiers, the dominant noise of TIAs and CSAs can be the flicker
noise or the thermal noise, depending on the operation frequency. The issues of initial mismatch and
higher power consumption at high carrier frequency also exist.
Since larger transistor size can reduce the noise level and the SNR simultaneously, there should
exist an optimum size for the best SNR. Skipping the tedious analysis, the equations of the transistor
size for optimized SNR are

Cgs+Cgd=Cs+Cstray (8.48)

for flicker noise and

1
Cgs + Cgd = (C + Cstray ) (8.49)
3 s

for thermal noise, respectively. For the ease of analysis, the signal gain is assumed much larger than
unity, so the term 1 in Equation 8.47 can be neglected. The term Cs should change to 2Cs for dif-
ferential capacitor pairs.

8.2.2Discrete-Time Sampling
The discrete-time read-out circuits sample the signal under certain frequency, which is usually
much higher than the Coriolis signal, for further processing. The discrete-time read-out circuits
usually refer to the switched-capacitor (SC) circuits, which have been widely used on capacitive
MEMS sensors [10]. A typical SC amplifier is schemed in Figure 8.8.
In Figure 8.8, vref is a DC bias voltage applied at the sensing capacitors and Cs is the sensing
capacitor of the gyroscope. When 1 is high and 2 is low, the feedback capacitor C2 is discharged
and the voltage vref is stored at Cs. Since the clock frequency of is much higher than the Coriolis
signal, the capacitance of Cs is considered constant during the cycle. When 2 is high and 2 is low,
the left side of Cs is grounded, whereas the other side is still virtually grounded, so Cs is discharged
and its stored charge is transferred to as C2, so the voltage signal sampled at the dropping edge of
1 is transferred to the load capacitance with the gain of Cs/C2.
Interface Circuits for Capacitive MEMS Gyroscopes 175

SW3

1 C2
Vref 2
1
SW1 vout
Cs + SW4
2
SW2 2
C1

FIGURE 8.8 Schematic of a typical SC amplifier.

Cs
vout = V (8.50)
C2 ref

If the circuit is configured fully differential, and the capacitances at the moment of sampling are
Cs+Cs and CsCs, respectively, the differential output is given by

2 Cs
vout = Vref (8.51)
C2

Therefore, the capacitance variation is converted to voltage signal. The noises of the switched-
capacitor front ends mainly come from the switch noise, the noise from the op amp, as well as the
noise folded from high frequency owing to aliasing.
A transistor working as a switch is modeled as a resistor when they are on, so they contribute
thermal noise to the circuits. The power of the noise that is sampled to a capacitor is calculated by
integrating the noise spectral density across the capacitor over all the frequencies, as stated in

vn2 _ sw = v 2
n_c df (8.52)

The analysis of the noises from all switches is tedious and case by case, depending on the posi-
tions of the switches and the switching sequences. Regarding the circuits shown in Figure 8.8, the
dominant switch noise comes from SW3, and the power of the noise at the output can be calculated
with the method described in [12].

kBT
vn2 _ sw = (8.53)
C2

It is interesting to notice that the noise power due to the switches is independent of the on-resis-
tance of the switches. Equation 8.53 suggests that the switch noise is reduced when the capacitor
size is increased. However, large C2 will reduce the signal gain, and the SNR will be attenuated
seriously when the signal gain drops below unity because the noise gain is always larger than unity.
Simple analysis of the circuit shown in Figure 8.8 reveals that the circuit suffers from the flicker
noise and the offset of the op amp because the base-band frequency of the Coriolis signal is low.
This problem can be solved by two approaches, chopper stabilization (CHS) and correlated double
sampling (CDS) [13]. In the CHS approach, the reference voltage changes its polarity in every two
adjacent sampling cycles, so the Coriolis signal is modulated to the sampling frequency, which
176 MEMS: Fundamental Technology and Applications

SW3
1
1 C2
C1
Vref 1
SW1 vout
Cs
+
SW2 2
1
vos SW4 2

FIGURE 8.9 Schematic of an example of the SC circuits with correlated double sampling.

is much higher than the base-band frequency. An example of the CDS approaches is shown in
Figure8.9 [14], where vos represents the DC offset and low-frequency flicker noise because both
of them are regarded constant during sampling. In the sampling phase, the offset and the low-
frequency noise from the op amp are presampled and are stored onto a load capacitor. In 2, the net
charge flowing from Cs to C2 is VrefCs, and the output of the op amp becomes
Cs (8.54)
vcds = vos + Vref
C2

The potential of the output node is given by

Cs (8.55)
vout = Vref
C2

Therefore, the offset and the low-frequency noise are cancelled by CDS. Based on the offset-
cancelling techniques, the noise contributed by the op amp is mainly thermal noise.
Another issue coming with the increased bandwidth is called noise folding, which is illustrated
in Figure 8.10. According to the sampling theorem, the sampled signal in the frequency domain is

+
1
X s (w ) =
T X (w nw
s s ) (8.56)
n =

Signal Pass band

Noise

2 fs fs 0 fs 2fs
Sampling

Signal Noise

2fs fs 0 fs 2fs

FIGURE 8.10 Illustrations of noise folding.


Interface Circuits for Capacitive MEMS Gyroscopes 177

Ts and s represent the sampling period and the sampling frequency, respectively. It can be observed
that the noise at the frequencies of the higher-order harmonics of the sampling signals will be folded
back to the base band and be added into the total noise. The equation assumes an infinite bandwidth,
which is not true in the real case. So, the number of the harmonics, n, is determined by the band-
width of the amplifier in the feedback loop. In SC circuits, the bandwidth of the amplifier is usually
several times larger than that of the signal to ensure that the circuit behaves properly. Assuming the
bandwidth of the amplifier is BW, then

=Nfs (8.57)
BW

The spectrum of the signal and the noise will be

+N
1 fs f
vs ( f ) =
Ts v ( f nf ),
n = N
0 s
2
< f < s
2
1 (8.58)
= v (f)
Ts 0

and
+N
1
ns ( f ) =
Ts n ( f nf )
0 s (8.59)
n = N

The power of the signal and of the noise are

1
Ss ( f ) = S (8.60)
Ts2 0

and

1
Ns = NN 0 (8.61)
Ts2

So, the SNR after sampling is reduced by a factor of N:

Ss 1 S0
= (8.62)
Ns N N0

8.2.3Discussions
The main advantages of the discrete-time interface circuitry are the robustness and the good com-
patibility with other discrete-time signal-processing blocks, for example, the signal-delta A/D con-
verters. Since the virtual ground is available, no extra biasing circuitry is necessary and the signal is
less sensitive to the parasitic parameters. Because of the kT/C switching noise and the noise folding,
however, the noise performance of the switched-capacitor circuits is worse than the continuous-time
counterparts.
The continuous-time circuits avoid the extra noises existing in the sampling process, but the A/D
converter may cost more area and/or complicates the whole designs. The choice of the architecture
depends on the applications. Because of the possible attenuation caused by the parasitic capacitance,
178 MEMS: Fundamental Technology and Applications

the open-loop amplifiers are more suitable for the monolithic solutions, which integrate both the
sensors and the interface circuits on the same chips and thus have low parasitics. Owing to the limi-
tation of the fabrication technologies, the sensing capacitance of the monolithic gyroscopes is usu-
ally much smaller than that of those with separate device dies. The gain of the open-loop amplifiers
is independent on the sensing capacitance, so high gain is achievable to avoid the SNR degradation
of the transimpedance amplifiers.
The two-chip solutions that have two separate dies for MEMS structures and circuits, however,
have larger sensing capacitance and larger parasitic capacitance from the bonding pads. The current-
sensing amplifiers can achieve high gain easily, so the SNR degradation is no longer a big issue.
Moreover, the virtual ground provided by the negative feedback prevents the signal attenuation caused
by the parasitics. Therefore, the current-sensing amplifiers are more suitable for the two-chip solutions.
Another advantage of the TIA is the inherent 90 phase shift. Recall that the self-oscillation loop
in the drive mode requires a total 90 phase shift over the whole loop, and the TIAs can be used
as the front ends to avoid extra phase shifter. Furthermore, the transimpedance of a TIA is usually
implemented with a long MOSFET, whose equivalent resistance is tunable by the gate voltage, so
the TIA used in the driving loop can simplify the design of the automatic gain control loop.

8.3 CONSIDERATIONS FOR THE NONIDEALITIES


In the foregoing discussion in this chapter, we assume that a gyroscope is an ideal second-order
system in both the drive mode and the sense mode, and the two modes do not interfere with each
other. In fact, it is not the truth. Various nonidealities exist in real gyroscopes, and some of them
may affect the performance of a gyroscope so significantly that they have to be considered during
the electronics design. This section will discuss these important nonidealities.

8.3.1Quadrature Error
The first important nonideality to discuss is the quadrature error. Owing to the defects and/or varia-
tions of the fabrication process, imperfections such as asymmetries and anisoelasticities may hap-
pen to the MEMS structures, which couple movements into the sense mode when the proof mass
is driven by an external force. The extra movements are in phase with those in the drive mode. In
comparison, according to Equation 8.12, the Coriolis signal is orthogonal to the drive-mode dis-
placement, so the error signal is a quadrature in phase of the Coriolis signal. For the ease of analysis,
the mixed signal out of the interface circuits is written as

vmix (t)=ACoriolis cos t+Aquadrature sin t (8.63)

Since the drive-mode movement is usually three to four orders stronger in amplitude than that
induced by the Coriolis effect, even a small coupling factor will cause the quadrature error to be more
significant than the Coriolis signal. It can be imagined that the real Coriolis signal will be easily over-
whelmed if the quadrature error is left without any processing. Since the frequency of the quadrature
error is the same as that of the Coriolis signal, it cannot be removed by filtering. One solution to
remove the quadrature error is the so-called synchronous demodulation. If the demodulation signal is

m(t)=cos (t) (8.64)

thus the signal after demodulation is given by

vdm (t ) = vmix (t )m(t )


1 1
= A (1 + cos 2w t) + Aquadrature sin 2w t (8.65)
2 Coriolis 2
Interface Circuits for Capacitive MEMS Gyroscopes 179

After passing through a low-pass filter, only the Coriolis signal will be retained. The chal-
lenges applied by the quadrature error on the interface circuit design are: first, although the
quadrature error is removable with signal-processing technologies, it is not distinguishable from
the desired signal in the front ends, so the front-end amplifier should have enough dynamic range
to prevent being saturated by the quadrature error. The gain of the front ends could be limited,
and the power consumption could be higher to keep the same gain bandwidth, especially for the
open-loop designs. Second, the phase delay of the electronics must be controlled precisely. If the
phase of the mixed signal is delayed by , the signals before and after the demodulation are
given by

vmix (t)=ACoriolis cos(t+)+Aquadrature sin(t+) (8.66)

and

1 1
vdm (t ) = ACoriolis [cos j + cos(2w t + j )] + Aquadrature [sin(2w t + j ) + sin j ] (8.67)
2 2

After the low-pass filter, the quadrature error retained in the final result is

1
vqe = A sin j (8.68)
2 quadrature

There will be a nonzero output even when there are no rotations, and the error is called the zero-
rate output (ZRO). The bandwidth of a front end needs to be sufficient for small phase delay, and the
cost would be higher power consumption and/or more noise folding.
Another method for cancelling the quadrature error is adding extra driving fingers to the sense
mode, so that an electrostatic force that counters the quadrature error can be applied to the sense
mode directly. Alternatively, the quadrature error cancellation can be achieved by injecting an elec-
tric signal that is out of the phase of the quadrature error into the interface circuits of the sense
mode. These methods, however, require extra feedback loop and thus the optimization of the system
level, so they are out of the scope of this chapter.

8.3.2Direct-Coupled Motions
We assume that all the springs of the gyroscope are ideally 1D, so the proof mass moves only in
the directions of the springs. However, the springs of real devices are realized with beams, so the
stiffness in the other two dimensions is not infinite. Therefore, off-axis movements exist when the
proof mass is driven along a direction. Since the spring constants in the off-axis directions are much
higher than that of the in-axis direction, the resonant frequency of the cross-axis mode is much
higher than the in-axis mode. According to Equation 8.10, the cross-axis motions are orthogonal
to the drive mode, and thus are in phase with the Coriolis signal, so the coupled movements of this
type are also called direct coupling.
The method of phasing out the quadrature error with synchronous demodulation does not
apply to the direct coupling signal because the direct coupling is not distinguishable even in phase
from the Coriolis signal. However, the dynamic cancellation with external mechanical/electrical
signal, as used for the quadrature error cancellation, is probably one solution. If the quadrature
error has been removed completely, the ZRO of a gyroscope indicates the extent of the direct cou-
pling. The ZRO can work as a reference to control the amplitude of the external mechanical/elec-
trical signals to cancel the effects of the direct-coupled motions, as discussed for the cancellation
of the quadrature error. For the interface circuits without the dynamic cancellation technologies,
180 MEMS: Fundamental Technology and Applications

the front-end circuitry needs to have large dynamic range to tolerate the signal amplitude due to
the direct-coupled motions.

8.3.3 Phase Issues in the Drive Loop


As discussed in this chapter, the resonance of the drive mode is excited by a self-oscillation loop,
and the criteria on amplitude and phase should be satisfied together to start up the oscillation. In this
section, the effects caused by the nonideal phase issue existing in the electronics will be examined.
If all the circuits in the self-oscillation loop generate a total phase delay of , to satisfy the
phase relationship in Equation 8.19, the phase of the transfer function of the drive mode is given by

Hx/F (s)=90+ (8.69)

The resonant frequency of the drive mode is then shifted by

tan(j )
f = fd (8.70)
Qd

Considering the high quality factor of the drive mode, the frequency drifts very slightly and the
self-oscillation is still excitable. However, the phase that the driving force leads the Coriolis signal
is also shifted by . Since the reference clock for synchronous demodulation comes from the driv-
ing signal of the self-oscillation loop, which is in phase with the driving force, the amplitude of the
Coriolis signal after demodulator becomes

1
Vdm = A cos( j ) (8.71)
2 Coriolis

and the quadrature error is given by

1
vqe = A sin(j ) (8.72)
2 quadrature

The quadrature error then contributes to the ZRO. The effect of quadrature error removal of
the synchronous demodulation is degraded by the extra phase delay existing in the driving loop.
Therefore, the phase of the circuitry in the self-oscillation loop needs to be controlled precisely. The
bandwidth of the interface circuitry should be sufficiently large, after careful balance among the
phase delay, the power, and the noise folding.

8.4SUMMARY
This chapter introduces the basic knowledge of the interface circuits for capacitive MEMS gyro-
scopes, along with the principles of the gyroscopes, and the practical considerations of the nonide-
alities. The basic architectures of both discrete-time- and continuous-time-sensing technologies are
discussed in this chapter, and the noise of both cases are analyzed in details. All circuits have their
own advantages and disadvantages, so there is no ideal option that fits all sensor designs. The
choice of the architecture of the interface circuits should be the one after balancing all the factors,
including but not limited to sensor technologies, noise, power, and cost. The technologies of the
signal detection electronics are developing very fast, and many novel designs are not included in this
chapter, so interested readers can refer to the up-to-date literatures for more information.
Interface Circuits for Capacitive MEMS Gyroscopes 181

REFERENCES
1. ISZ-505 Single-axis z-gyro product specification, Sunnyvale, CA, InverSense Inc. 2011.
2. LY330ALH MEMS motion sensor: high performance 300 dps analog yaw-rate gyroscope. Switzerland,
ST Microelectronics. 2010.
3. ADXRS450 High performance digital output gyroscope. Norwood, MA, Analog Devices, 2011.
4. A. Sharma, M. F. Zaman, and F. Ayazi, A 104-dB dynamic range transimpedance-based CMOS ASIC for
tuning fork microgyroscopes, IEEE J. Solid-State Circuits, 42(8), 17901802, 2007.
5. H. Sun, K. Jia, X. Liu, G. Yan, Y. W. Hsu, R. M. Fox, and H. Xie, A CMOS-MEMS gyroscope interface
circuit design with high gain and low temperature dependence, IEEE Sens. J., 11(11), 27402748, 2011.
6. Z. Ignjatovic and M. F. Bocko, An interface circuit for measuring capacitance changes based upon capac-
itance-to-duty cycle (CDC) converter, IEEE Sens. J., 5(6), 403410, 2005.
7. C. Hierold, A. Hhildebrandt, U. Naher, T. Scheiter, B. Mensching, M. Steger, and R. Tielert, A pure
CMOS micromachined integrated accelerometer, in Proc. IEEE Micro Electro Mechanical Systems
Workshop (MEMS 96), San Diego, CA, 174179, 1996.
8. N. Yazdi, F. Ayazi, and K. Najafi, Micromachined inertial sensors, Proc. IEEE, 86(8), 16401659, 1998.
9. R. Voss, K. Bauer, W. Ficker, T. Gleissner, W. Kupke, M. Rose, S. Sassen, J. Schalk, H. Seidel, and E.
Stenzel, Silicon angular rate sensor for automotive applications with piezoelectric drive and piezoresis-
tive read-out, 9th Int. Conf. Solid-State Sensors and Actuators, Chicago, IL, 879882, 1997.
10. X. Jiang, J. I. Seeger, M. Kraft, and B. E. Boser, A monolithic surface micromachined Z-axis gyroscope
with digital output. Digest of Technical Papers. 2000 Symposium on VLSI Circuits, Honolulu, 1619,
2000.
11. J. A. Geen, S. J. Sherman, J. F. Chang, and S. R. Lewis, Single-chip surface micromachined integrated
gyroscope with 50/h Allan deviation, IEEE J. Solid-State Circuits, 37(12), 18601866, 2002.
12. R. Schreier, J. Silva, J. Steensgaard, and G. C. Temes, Design-oriented estimation of thermal noise in
switched-capacitor circuits, IEEE Trans. Circuits Syst. I, 52(11), 23582368, 2005.
13. C. C. Enz and G. C. Temes, Circuit techniques for reducing the effects of opamp imperfections:
Autozeroing, correlated double sampling, and chopper stabilization, Proc. IEEE, 84(11), 15841614,
1996.
14. N. Wongkomet and B. E. Boser, Correlated double sampling in capacitive position sensing circuits for
micromachined applications, in Proc. IEEE Asia-Pacific Conf. Circuits Syst., Chiangmai, Thailand,
November 1998, pp. 723726.
9 Electromechanical Loops for
High-Performance and Robust
Gyroscope System Design
Vikas Choudhary, Abhinav Dikshit, Anjan Kumar, Deva
Phanindra Kumar, Saravanan Kamatchi, and Nemai Biswas

CONTENTS
9.1 Introduction........................................................................................................................... 184
9.2 Principle of Operation of a Vibratory Gyroscope................................................................. 184
9.3 System Design of a Digital Gyroscope.................................................................................. 187
9.3.1 Ideal CMOS System Design for Gyroscope Signal Processing................................ 187
9.4 Sources of Errors in a Gyroscope.......................................................................................... 189
9.4.1 Offset Error................................................................................................................ 189
9.4.2 Quadrature Error....................................................................................................... 189
9.4.3 Drive Phase Error...................................................................................................... 189
9.4.4 Drift over Time and Temperature.............................................................................. 190
9.5 Error Correction Techniques and Electromechanical Loops................................................ 190
9.5.1 Offset Error Correction............................................................................................. 190
9.5.2 Quadrature Correction............................................................................................... 190
9.5.3 Drive Phase Correction.............................................................................................. 191
9.5.4 Drift over Time and Temperature.............................................................................. 191
9.6 Drive Loop............................................................................................................................. 192
9.6.1 Oscillator-Based Loop............................................................................................... 192
9.6.2 PLL-Based Drive Loop............................................................................................. 194
9.6.3 Amplitude Regulation Loop...................................................................................... 195
9.7 Reliability.............................................................................................................................. 195
9.7.1 Continuous Self-Test.................................................................................................. 195
9.7.2 Fault Monitors............................................................................................................ 196
9.7.3 Temperature Compensation....................................................................................... 197
9.8 Complete System................................................................................................................... 197
9.9 Novel Applications................................................................................................................ 198
9.9.1 Optical Image Stabilization....................................................................................... 198
9.9.2 Gaming...................................................................................................................... 198
9.9.3 3D Motion Capture....................................................................................................200
9.9.4 Electronic Stability Control.......................................................................................200
9.9.5 Navigation.................................................................................................................. 201
Acknowledgments........................................................................................................................... 201
References....................................................................................................................................... 201

183
184 MEMS: Fundamental Technology and Applications

9.1INTRODUCTION
Gyroscope is a device to measure angular velocity and was historically used for navigation, as
reported as early as the 1700s. Around the First World War, gyroscopes found use in aircrafts,
where it is still being used. In the 1960s, optical gyroscopes were introduced and found commer-
cial success in aeronautics and military. However, microelectromechanical system (MEMS) gyro-
scopes have been introduced only in the last 1015 years [1]. These gyroscopes are of vibratory type
(explained later in the chapter), when compared to the traditional spinning ones as they are easy to
manufacture.
However, the mechanical defects of manufacturing process result in error emanating from MEMS
structures, which, if not compensated, result in an unreliable end system. In contrast, complemen-
tary metaloxidesemiconductor (CMOS) electronics, riding on Moores law, has facilitated access
to transistors at an affordable cost. Thus, if the mechanical defects of the sensors can be detected
through their respective electronics signature, there is a possibility of creating a highly robust and
reliable system. The electromechanical feedback loops can enable such systems. The idea can be
even extended to further the reliability and testability through embedding test signals.
This chapter is organized as follows. Section 9.1 is the introduction. Section 9.2 provides a brief
overview of the dynamics of vibratory gyroscope, more for the sake of completeness of the chapter
and to facilitate the terminology for discussions in the later sections. Interested readers can refer to
other chapters in this book and elsewhere in literature for more information. Section 9.3 presents
the principal theme by introducing an ideal system of MEMS structure and some basic electron-
ics. Section 9.4 introduces the principal error sources in such an idealized system and their corre-
sponding electronics signature. Section 9.5 finally discusses the methods of mitigating these error
sources through formation of electromechanical loops. Section 9.6 is a continuation of the theme
from Section 9.5, but, primarily discusses the method to excite the MEMS structure into resonance
and its associated error sources mitigation. Section 9.7 elaborates on the methods for improving the
reliability of such integrated digital gyroscopes. Section 9.8 presents the complete system. Finally,
Section 9.9 discusses the novel applications that gyroscopes enable.

9.2 PRINCIPLE OF OPERATION OF A VIBRATORY GYROSCOPE


The construction of a vibratory gyroscope involves two (nearly independent) oscillating structures.
Without loss of generality, a single-axis gyroscope (which measures the rotation about a single axis,
say the Z-axis) has two sensing axes. One of the axes, called the drive resonator or primary resona-
tor, is generally set to resonate externally in a controlled manner. While the other axis, termed the
sense or XL (for accelerometer), just couples the rotation due to the noninertial force arising when
the overall structure is set in rotation.
The operation of a vibratory gyroscope is best understood with the concept of classical rotational
dynamics in both preferred inertial and noninertial frames of reference. However, prior to introduc-
ing the basic concepts, it is important to discuss the frame of reference where the motion of the
resonator mass will be analyzed. Kinematically, a frame of reference is a coordinate system where an
observer resides and can parameterize ones own coordinate system with suitable equivalent coordi-
nate representation. A frame is said to be inertial with respect to (w.r.t.) others if that frame moves at
a constant speed or is at rest. This implies that any inertial mass on that frame w.r.t. the other satisfies
dp/dt=0, where p is the momentum. This implies that the velocity dx/dt=0 or a constant. In sum-
mary, an inertial system does not experience any external net force and hence it is a nonaccelerated
frame. If the above criteria are not satisfied, then it is said to be a noninertial frame of reference.
For example, the existence of a radial centripetal or its reaction centrifugal force makes a uniformly
rotated frame a noninertial frame of reference. In a rotating frame of reference, net dp/dt0. It will
be shown later as to how the noninertial frame effect couples the Coriolis force in the secondary
resonator by analyzing the resonator dynamics in a rotating frame (noninertial frame) of reference.
Electromechanical Loops for High-Performance and Robust Gyroscope System Design 185

Kxx

Y-axis
Mass

Dxx

Dyy Kyy

S X-axis

axis
Z-

FIGURE 9.1 2D resonator in an inertial frame of reference S.

As mentioned above, a simplest vibratory gyroscope is fundamentally a two-dimensional mass


springdamper system having the response of a damped second-order classical harmonic oscillator.
In principle, the vibratory gyroscope can have any finite number of degrees of freedoms. Let us
consider an inertial frame of reference S, where such a 2D vibratory massspringdamper system
is situated. Now, one can assume that the 2D resonators are initially independent. This means that
we assume that the orthogonal dynamics of the resonators are mutually independent, and hence
isotropic. Figure 9.1 is an isotropic mechanical representation of a 2D resonator.
Now, if we excite the X-axis resonator at a frequency fo, and precisely at a constant amplitude,Ax,
using the force, Fx, then the equation of motion of the oscillating mass in the X- and Y-direction is
represented by

dVx
Mx 0 dt Dxx 0 Vx Kxx 0 x Fx
* + * + * = (9.1)
0 My dVy 0 Dyy Vy 0 Kyy y 0
dt

where Mx/My is the inertial mass of the resonator, Kxx and Kyy are spring constants, Dxx and Dyy
are the physical dampers along the X- and Y-axes, respectively (which is caused due to the viscous
effect of the fluid through which the resonating mass moves back and forth), Fx is the force used
externally to excite the primary resonator in the X-direction, and Fy=0. Equation 9.1 shows that
the response of the primary resonator under forced excitation can be given as in Equation 9.2 and
the gain-phase response as in Figure 9.2.
(9.2)
X (s) 1/Mx
=
F (s ) [ s 2 + ( Dxx /Mx )s + ( Kxx /Mx )]

Now place the 2D resonator on a rotating turntable, with its frame of reference labeled S. Also
assume that there exists an observer (observers frame is labeled as S) at the center of the turntable.
In the center, the radius r = 0, so the observer will not experience any centrifugal force due to the
effect of rotation. Hence, S is an inertial frame. Now, w.r.t. S, S (where the 2D resonator is situated)
is rotating at a constant angular velocity =(0,0,z). Hence, w.r.t. frame S, frame S is noninertial.
When S was stationary, mass M was moving under the external force Fx as described above with
186 MEMS: Fundamental Technology and Applications

(a)
102

100

|H(j)| (m/N) 102


100.0001
100.0000
104 99.9999
99.9998
9999.99 10,000 10,000.01
106
100 102 104 106
Frequency (Hz)

(b) 0

45

0
90 45
H(j) ()

90
135 135
180
9950 10,000 10,010
180
100 102 104 106
Frequency (Hz)

FIGURE 9.2 Primary resonator response (gain and phase).

a displacement x(t)=Axsin(2fot). Now, on the turntable, the oscillating mass will experience an
additional force, called the Coriolis force. The resultant force will thus control the motion of the reso-
nating/oscillating mass. It can be shown that the net Coriolis force in the ith direction acting on the
mass is given by Fi=ijk2MjVk, where i, j, k are indices and they take the values x, y, z, and ijk is the
Levi Civita tensor density. This equation can be further visualized through Figure 9.3. If the velocity,
V, has the form V=(Vx, Vy, 0) and =(0,0,z), then the Coriolis force acting on the mass is given by

Fxcorr 0 2 My z Vx
= * (9.3)
Fycorr 2 Mx z 0 Vy

Equations 9.1 and 9.3 can be combined to show that the equation of motion of the resonating
mass is

dVx
Mx 0 dt Dxx 0 Vx Kxx 0 x 0 2 My z Vx Fx
* + * + * = * +
0 My dVy 0 Dyy Vy 0 Kyy y 2 Mx z 0 Vy 0
dt (9.4)
where Mx and My are the effective resonator mass in the component form under the forces and
accelerations in the respective directions. This shows that in the rotating frame of reference, the
Y-directional vibration is caused by a fictitious force (right-hand side of Equation 9.5):
Electromechanical Loops for High-Performance and Robust Gyroscope System Design 187

r*Wz(t)

Y-axis
M
Vx(t)
r(t)

Y-axis
X-axis
axis X-axis
Z-

FIGURE 9.3 2D resonator on a noninertial frame of reference.

dVy
My * dt + Dyy * Vy + Kyy * y = (2 Mx z * Vx ) + 0 (9.5)

The Coriolis force (2Mxz * Vx) couples the primary velocity and the external rotation to
cause a secondary isotropic displacement. This shows how the 2D vibratory system behaves like
a gyroscope for sensing external rotation. The solution of the above ordinary differential equation
(secondary displacement) is the measure of z.
What has been motivated in this section is the primary dynamics behind vibratory gyroscopes.
However, the equations above are idealized in the sense that the two resonators are treated like an
uncoupled system. In reality, owing to various manufacturing defects, these two resonators are coupled
together and give rise to nonideal behavior. After discussing such sources of errors arising due to this
coupling and their respective electronic signature, electromechanical loops that result in two uncoupled
vibrators will be presented at the end of the chapter. But first we will discuss an ideal integrated system.

9.3 SYSTEM DESIGN OF A DIGITAL GYROSCOPE


To underscore the system design principles in a digital gyroscope, it is necessary to motivate the
understanding from fundamental principles. This section first outlines a simple and intuitive under-
standing of the gyroscope signal-processing chain. Once an idealized signal chain has been envi-
sioned, all error sources and nonidealities in the chain are introduced and discussed.

9.3.1Ideal CMOS System Design for Gyroscope Signal Processing


A simple and intuitive Coriolis-based MEMS gyroscope system can be formed based on the follow-
ing requirements:

The MEMS structure needs to be driven to its resonance frequency to create a vibratory
system with a large amplitude. An analog resonance loop that satisfies the Barkhausen cri-
terion can be formed for sustainable oscillations. Note that the angle between the two axes
of resonance is set to be 90 apart, although mode-matched methods are possible as well.
188 MEMS: Fundamental Technology and Applications

The drive voltage should be large enough to move the MEMS structure mass. Hence, driv-
ing back the MEMS structure in a resonance loop should be done using high-voltage (HV)
circuitry capable of delivering a voltage amplitude in the order of 20 or 30V.
The Coriolis signal from the MEMS structure, which is sensed in the form of capacitance
variation, should be converted to the voltage and amplified.
An analogdigital converter (ADC) that converts analog Coriolis voltage to digital.
A phase-locked loop (PLL) that could create the multiples of the resonance clock for
demodulation of the Coriolis signal and provide the clock for ADC conversion.

A conceptual system created from the above requirements would result in a scheme as shown in
Figure 9.4. The X-axis would be the axis of resonator movement, which is sensed through a feed-
back loop. The loop consists of a sensitive analog front end (AFE), which converts charge to voltage,
followed by a comparator to convert the analog signal to a clock-like signal. This clock-like signal
can be fed back to the resonator directly after appropriate voltage conversion. This loop, called the
resonator loop or the drive loop, forms the heartbeat of the entire system and is discussed further in
the next section. The drive loop is further followed by a PLL to create multiples as well as different
phases of the clock to drive the rest of the circuitry on-chip. Advanced forms of the drive-loop are
further discussed in Chapter 10.
The Coriolis sensing fingers of the MEMS structure sense the rotation signal or the signal of
interest and is converted into the voltage through a similar AFE scheme as in the drive loop. The
signal of interest is typically a narrow-band signal (a typical number being 50100Hz), with the
bandwidth depending on the application. However, this narrow-band signal is modulated at a carrier
frequency of the resonator, fo, and depends on the structure design. A typical value for fo could vary
from 20.0kHz to a few MHz. Also, this modulation frequency happens to be exactly 90 phase-
shifted from the drive-loop frequency, if operating under unmatched mode condition. Hence, after
appropriate filtering, the signal can be down-converted as shown in the top-half of Figure 9.4. The
base-band signal is then followed by an ADC of appropriate accuracy, conforming to the system
requirements.

Coriolis sensing
Z In-phase rate
demodulation
N-bit
AFE ADC

Z fo (+90)

Comparator Nfo
Z

fo FREF UP
Coriolis/XL

AFE PFD + CP + filter +


startup DN VCO

Resonance
Z
Resonator sensing FDIV

Divider FVCO

Drive PLL
LV-HV

FIGURE 9.4 Simplified block diagram of an MEMS-based gyroscope system.


Electromechanical Loops for High-Performance and Robust Gyroscope System Design 189

9.4 SOURCES OF ERRORS IN A GYROSCOPE


A simple and idealized system would have worked, if not for the several sources of nonideality
associated with a vibratory gyroscope, mostly arising from structure imperfections and imbalances.
In this section, few important sources of errors are highlighted, followed by a discussion on the
electromechanical loops to compensate for them.

9.4.1Offset Error
An offset error in gyroscopes results in a finite rate output value (desired signal of interest), even
when the device is not sensing any real rotation. This error results from imperfections in the drive
fingers of the structure. Thus, while a perfect drive motion would have resulted in motion around
the horizontal X-axis, in a line, the asymmetry results in excursion in the vertical direction as well.
And this eventually causes an elliptical motion instead. This motion causes a component of motion
in the Y-direction, which becomes indistinguishable from rotation. The offset error, when analyzed
in the frequency domain, would appear as shown in Figure 9.5. This error needs to be sensed and
canceled out, through one-time calibration, intermittent background calibration, or continuous cali-
bration methods.

9.4.2Quadrature Error
The quadrature error is a narrowband (typically single tone) signal superimposed on the rate s ignal,
however orthogonal (or 90), phase-shifted to it. This signal could be high in amplitude and results
in swamping of the dynamic range of the AFE. The cause of quadrature error is flaws in the sen-
sor suspensions. This motion can be large but distinguishable because of its orthogonal shift to
the intended (rotation) signal (sometimes referred to as the Coriolis signal). Figure 9.5 shows the
quadrature error (not drawn to scale). This error can be easily sensed and corrected in a continuous
fashion [2]. A continuous loop is required to cancel such errors due to their vulnerability to drift
with temperature and aging of the MEMS structure. Note that Figure 9.5 shows the presence of self-
test, which is explained in Section 9.7. The quadrature error can be demodulated as the frequency
characteristics are known. The demodulation can be done by analog or digital techniques. The
resulting quadrature value can be used to calculate the amount of correction to be applied to the
sensor through a correction digital-to-analog convertor (DAC). Such a continuous loop is further
discussed in Section 9.5.

9.4.3Drive Phase Error


Drive phase error is the phase shift introduced from the electronics in the drive-loop. Thus, while
the resonance loop is being formed, as shown in Figure 9.4, the phase shift from the nonsensor

Signal out of sensor,


modulated at the
resonant frequency of
Offset error
resonator fo

Self-test signal
fo

Drive-phase error
departure from 90
Quadrature error

FIGURE 9.5 Spectrum of signal and errors from a vibratory MEMS gyroscope.
190 MEMS: Fundamental Technology and Applications

electronics, which are Trans-C amplifier, comparator, and HV amplifier, tends to vary by a few
degrees over PVT (process, voltage, temperature). This variation forces the sensor to offer phase
different than 90. The overall feedback loop would finally offer 180 of phase shift, for oscillations
to sustain. It therefore means that the sensor is not really being driven at its resonance frequency,
resulting in lower amplitude of the drive motion. The error needs to be detected and canceled either
as a calibration method or in a continuous time fashion [3].

9.4.4Drift over Time and Temperature


The amplitude of resonance (drive motion) and quadrature error can vary significantly over time and
temperature because of the variation in damping of the environment and aging of the structure. This
drift can cause all of the error sources discussed above to vary. And this eventually results in an error
in measurement for sensor sensitivity or the headroom for measurements and so on. Such drift in
errors would typically demand for either an intermittent background calibration or preferably a con-
tinuous time feedback loop. One technique suggested in this chapter is the amplitude regulation servo
loop to compensate for the drive amplitude variation. This is further discussed later in the chapter.

9.5 ERROR CORRECTION TECHNIQUES AND ELECTROMECHANICAL LOOPS


In this section, we take the first step toward constructing a reliable and robust gyroscope system
discussed in the previous section. These error sources can be minimized but not completely elimi-
nated. Also, the technique employed depends on the behavior of these errors. For example, one time
error could be corrected through factory calibration. However, for errors that do drift, a servo loop
tailored to a primordial reference could be used.
A unifying theme in many of the error-correction schemes discussed below is the nature of
the feedback loop that is formed. The servo loop will consist of applying the right potential to the
structures, after sensing the signature of those errors in electronics. Such loops herein are referred
to as electromechanical loops. And it is the understanding of such mechanics coupled with signal
processing in electronics that tends to differentiate these systems.

9.5.1Offset Error Correction


As discussed before, the imperfection in the drive finger results in the motion getting coupled in
the vertical direction, thereby causing the resonator to traverse an elliptical motion instead of a
one-dimensional motion. Conceptually, one can think of canceling this by applying an appropri-
ate electrostatic force, such that the elliptical motion of mass spring system is pulled back into one
dimension. A DC voltage upconverted (through chopping) at the resonance frequency with a proper
phase can be applied as an electrostatic force to cancel the offset error. This could be a part of the
initial (factory) trim. A DAC can be used to generate a digitally controlled DC voltage. A conceptual
system for such a scheme is shown in Figure 9.6.

9.5.2Quadrature Correction
The quadrature error results from linear out-of-plane motion of sensor mass. Once again, concep-
tually, this motion can be inhibited by applying an appropriate electrostatic potential on dedicated
fingers overlapping the resonator motion. The resulting forces will accurately cancel the quadrature
error, as shown in Figure 9.7. A similar scheme like offset correction can be implemented to do the
quadrature correction wherein a DAC can be used to apply the required differential DC voltage. Since
only DC voltage is needed for quadrature correction, a chopping circuit is not needed in this case [2].
Quadrature error varies significantly over the temperature and over the life time of the product,
and hence, the initial trim might not be sufficient. A continuous time quadrature tracking loop can
Electromechanical Loops for High-Performance and Robust Gyroscope System Design 191

Coriolis sensing
Z In-phase rate
demodulation
N-bit
AFE ADC

Z fo (+90)

Nfo
Z Comparator

fo UP
FREF
Coriolis/XL

AFE PFD + CP + filter +


startup DN VCO
Drive

Resonance
Z
FDIV
Resonator sensing FVCO
Divider
PLL
LV-HV

Offset correction scheme

Digital offset
DAC
Trim settings
Up-conversion
chopping circuitry

FIGURE 9.6 Scheme for offset correction.

be implemented to eliminate the drift. The electronic signature of the quadrature signal is easy to
detect in the sense that it is a narrowband signal and, more importantly, 90 phase-shifted from
the rotation rate signal. Thus, a clock of proper phase can be used to sample the amplitude of this
error, thereby forming a feedback loop in conjunction with the MEMS structure. The errors can be
integrated on a capacitor and the feedback loop will be typically single-pole in nature. This tracking
bandwidth of the loop can be slow. Thus, coupled with its single-pole nature, the loop is generally
stable. The loop can be implemented digitally with a precision DAC dictating the DC potential to
be applied. Alternatively, an analog implementation would require a buffer driving the structure.
Figure 9.7 shows the analog implementation of this loop.

9.5.3Drive Phase Correction


The phase error introduced by electronics in the drive loop can be corrected either by trimming
the analog component such as R and C or through digital trimming. Analog trimming, however, is
prone to temperature and process variations. A digital-centric method would be to pull in the PLL
as part of the resonance loop and digital (and thereby accurately) control the phase shifts. Drive loop
deserves a full section of its own and is thus discussed in the next section.

9.5.4Drift over Time and Temperature


While several of the error sources tend to vary over temperature and with the lifetime, in this
chapter, a method to control the resonance amplitude is discussed. Such variation changes the
192 MEMS: Fundamental Technology and Applications

Quadrature
Z demodulation

From PLL
AMP

Z Quadrature correction scheme

Z In-phase rate
Coriolis demodulation
sensing
N-bit
AFE ADC

Z fo (+90)

Nfo
Z Comparator

fo UP
FREF
AFE PFD + CP + filter +
Sense/XL

startup DN VCO

Drive
Z
FDIV
Resonator sensing
Divider FVCO

Drive PLL
LV-HV

FIGURE 9.7 Quadrature error servo loop.

sensitivity of the rate measurement significantly, thereby necessitating a mechanism to control the
amplitude. This scheme has been described in the following section.

9.6 DRIVE LOOP


To recapitulate, vibratory gyroscopes measure rotation rate based on the principle of Coriolis accel-
eration. In a rotating system, the Coriolis force creates acceleration in an axis called the sense axis
(sometimes referred as the Coriolis or XL axis in this chapter). A proof mass (also called resonator)
is made to oscillate in the orthogonal axis called the drive axis (or resonator axis). When the device
is subjected to an external rotation, a signal is obtained in the sense axis. This signal is directly
proportional to the applied rotation rate and the velocity of the mass oscillating in the drive axis.
The resonators local velocity is required so that an external rotation converts itself to the orthog-
onal motion called the Coriolis acceleration. By driving the resonator at its resonant frequency
(termed as fo), it is possible to maximize the rotation signal that can be sensed from the structure.
This is achieved by forming a feedback loop with the resonator. This section deals with the design
details of the drive loop as it pertains to vibratory gyroscopes.

9.6.1Oscillator-Based Loop
The early gyroscopes employed transresistance amplifiers [3], which were used to form an elec-
tromechanical oscillator with the resonator. A simplified block diagram of this loop structure is as
shown in Figure 9.8.
Electromechanical Loops for High-Performance and Robust Gyroscope System Design 193

FREF Clk 90
vel TRA PLL
90 Clk 0

Resonator

HV

FIGURE 9.8 Drive loop based on an electromechanical oscillator.

It is assumed here that the resonator is made to oscillate using electrostatic forces [4], and the
resulting displacement is sensed using transcapacitance AFE (chargevoltage). The resulting capaci-
tor displacement current is sensed by a transresistance amplifier to convert it into voltage. The signal
is then DC-blocked and passed through a comparator and level-translated to a HV clock before being
applied as the force to the resonator, as discussed previously and as shown in Figure 9.4. The resona-
tor can be modeled as a second-order system and, at resonance, the displacement of the mass has
a phase shift of 90 from the force, as shown in Figure 9.9. The displacement of the mass is sensed
through change in capacitance on the beam fingers, thereby resulting in a capacitive displacement
current (which imparts again a 90 shift) and is a measure of velocity (vel in Figures9.8 and 9.9).

X 102

k
100
|H(j)| (m/N)

102
m F 100.0001
100.0000
104 99.9999
99.9998
9999.99 10,000 10,000.01
D 106
100 102 104 106
Frequency (Hz)
0

45
X 02
H(s) = = 0
F
H(j) ()

S2 + ( 0/Q)S + 02 90 45
90
135 135
180
9950 10,000 10,010
180
100 102 104 106
Frequency (Hz)

FIGURE 9.9 Resonator as a second-order system.


194 MEMS: Fundamental Technology and Applications

This configuration thus oscillates at the frequency where force and velocity are in phase and hence
oscillates at the resonant frequency of fo.
The startup in an oscillator-like loop is dependent on the noise. All the electronics in the loop
should present low-enough offsets and high gain to enable startup. Also, high voltages are typically
needed to achieve the sufficient amplitude of oscillations. An HV drive of ~22V is used in Ref. [5].
Any phase lag in the amplifier or in electronics in the whole loop will lead to the loop not locking
on to the exact resonant frequency and hence need to be properly engineered.
The PLL has become an inevitable block in gyroscope systems due to the need of multiphased
clocks for demodulating the Coriolis signal and the quadrature signal and also due to the need of
high-frequency clocks for further digital processing of the Coriolis signal. Therefore, shown in Figure
9.8 and discussed in the previous sections is a PLL that takes the comparator output as the reference
clock and generates all the clocks useful in the gyroscope system. However, the complete resonant or
drive-loop performance can be made more reliable as well as controllable if the PLL itself is pulled
inside the electromechanical feedback loop. The next section discusses such a PLL-based drive loop.

9.6.2 PLL-Based Drive Loop


The drive-loop architecture can be modified and made more effective if we employ the PLL within
the loop as shown in Figure 9.10 and disclosed in Refs. [6,7]. The comparator output now forms the
input FREF to the PLL. FVCO is the output of the VCO, which is divided and fed back to the PFD
as FDIV. The FDIV signal is phase-shifted by and is fed back to the resonator. The AFE that
precedes the comparator can be either a transresistance amplifier (TRA) as earlier or a charge sense
amplifier (CSA). needs to be designed based on the AFE architecture chosen such that the total
phase around the loop is 0, for sustainable oscillations. If a TRA is used, then =0, and if a CSA
is used, then = 90 since the CSA itself imparts another 90 because of its integrator behavior.
The sign of the phase shift should be decided to achieve negative feedback.
The sinusoid coming out of the resonator is typically noisy and hence the conversion to a clock
needs careful considerations. The comparator should be designed to have low offset. Since the PLL
at power-up can start from a frequency far from fo, for a high-Q resonator, the signal coming out of
the resonator could be so small that it could get swamped under the offset of the comparator. In such
a case, there is no input clock for the PLL and hence the loop would then settle at the lowest VCO
frequency that is possible. Some startup circuitry is typically needed to achieve the lock within a
reasonable time. The details of the startup are out of the scope of this chapter and are generally
specific to the architecture as in Refs. [5,6].
Using a PLL within the drive loop offers many advantages compared to the oscillator-based
loop. A well-defined narrow PLL lock range makes it possible to avoid the resonator from locking

FREF UP
AFE PFD + CP + filter
vel
startup + VCO
90 DN

Resonator Z FDIV
Clk 90
FVCO
Divider
HV Clk 0

FIGURE 9.10 Drive loop using PLL.


Electromechanical Loops for High-Performance and Robust Gyroscope System Design 195

FREF UP
vel AFE PFD + CP + filter
startup + VCO
DN
90

Resonator Z
FDIV
FVCO
Divider
HV

AGC Ref
Ampdet

FIGURE 9.11 PLL-based drive loop with amplitude regulation.

to other parasitic or harmonic modes of oscillation. The phase can now be accurately trimmed
using high-frequency clocks, which otherwise is difficult in a traditional analog loop where PLL is
outside the loop.
In general, the loop needs to be carefully designed, especially to avoid parasitic coupling between
the HV signals with the sensitive nodes like vel. Any capacitive feed-through could lead to startup
issues since during startup the signals coming out of the AFE could be so small that the feed-
through dominates.

9.6.3Amplitude Regulation Loop


As discussed earlier, it is essential to maintain a consistent amplitude of oscillation of the resonator
over temperature and time to maintain a stable sensitivity (the scale factor for the rotation rate) in
the gyroscope system. This is typically done by means of an automatic gain control (AGC) loop
embedded in the drive loop using PLL, as shown in Figure 9.11.
The output of the AFE is a representation of the resonator velocity and it forms the input to an
amplitude detect block, which further demodulates the amplitude of the signal. The AGC block then
compares the amplitude with a fixed reference and then controls the gain of the HV block. Thus, the
AGC loop tries to maintain the AFE output constant and hence the velocity by changing the force
that is applied to the resonator.

9.7RELIABILITY
MEMS gyroscopes are used in a variety of applications from automobiles, consumer, industry, and
defense platforms. These applications demand the MEMS gyroscopes to work reliably under harsh
environmental conditions such as shock, vibration, a wide range of temperature, and so on [8]. The
most critical function is to report the health of the system and failure conditions if any occurred during
the runtime. This will validate the data outputs and helps the end system to use or discard the data.
The continuous self-test (CST) function helps in checking the health of the signal path of any MEMS
gyroscope system. The rest of the system is covered with fault monitors embedded in design blocks.

9.7.1Continuous Self-Test
The CST of the gyro system is a critical feature for the user to validate and use the rotation data
in the application [8]. This validates the integrity of the electromechanical system by applying a
196 MEMS: Fundamental Technology and Applications

Magnitude
Time
Rate signal self-test as coming out of sensor

Magnitude
Magnitude

Time Time
Demodulated self-test signal Low-frequency rate information

FIGURE 9.12 CST generation and separation.

high-frequency electrostatic stimulus from the electronics. Typically, a single tone is modulated
onto the same signal, which is used for offset correction as shown in Figure 9.6. The force applied
to the gyroscope frame results in a deflection of the capacitive sense fingers, such that a rotation-like
signal is embedded in the Coriolis path. However, the modulation is at a frequency different from
the rate signal (or the signal of interest), as shown in the spectrum of Figure 9.5. This deflection is
exactly equivalent to the deflection that occurs as a result of external rate input, although at different
frequency. This self-test can be easily differentiated from the baseband rate data using digital filter-
ing techniques, as shown in Figure 9.12. The fundamental of this separation lies in proper filtering
of the signal through a chain of high-pass and low-pass filters.
A self-test control block (STCB) generates the self-test stimulus at a rate of fo/, where can be
selected as necessitated by the sensor design and the bandwidth of the sensor. This is applied to the
DAC. This signal is modulated on a carrier frequency that is essentially the resonance frequency fo.
In electronics, self-test signal is compared with a preset value. The STCB enables checking of most
of the electronics as well as the mechanical health of the system.

9.7.2Fault Monitors
It is important that for the robustness of the system, an alert is issued to the system for various levels
of defects. For example, the system should have amplitude detect flag, PLL lock flag, on-chip and
off-chip voltage source monitors, nonvolatile memory (NVM) check sum, and so on. Failure flags
are registered in the status register and read by the end user to check the faults in the system. The
rate data should be discarded if any of the faults occur.
Electromechanical Loops for High-Performance and Robust Gyroscope System Design 197

9.7.3Temperature Compensation
The change in temperature changes the viscosity of the gas/air around the MEMS sensor mass. The
change in viscosity affects sensor dynamics a great deal. The drive-loop regulation nullifies these
effects significantly, but not completely. To obtain a temperature-independent gyroscope, rotation rate
and self-test signals need to be compensated across the temperature, and this is done by a calibration
technique in the digital domain. The gyroscope uses internal NVM to store its temperature calibra-
tion information. The calibration information is encoded into the device during the factory test. The
calibration data are used to perform offset, gain, and self-test corrections over temperature. By storing
this information internally, the system eliminates the need for the customer to perform temperature
calibration. Each and every part is calibrated based on its individual temperature characteristics.

9.8 COMPLETE SYSTEM


In the previous sections, an idealized system was proposed and then its nonidealities were discussed.
Later, it was followed with various electromechanical loops and one-time trims that intended to
mitigate these error sources. For furthering the reliability of the system, methods such as self-test
(again through electromechanical methods) were discussed. Finally, the reliability of the complete
system through digital calibration methods, fault monitoring, and temperature compensation were
discussed. All these discussions are finally summarized in Figure 9.13.

Quadrature
Z demodulation
From PLL
AMP
Temp sensor
Digital calibration
Z
Quadrature correction scheme
Z In-phase rate
Coriolis demodulation
sensing N-bit
AFE ADC Signal
processor

Z fo (+90)

Instruction
Nfo ROM Data RAM
Z Comparator
SPI NVM
fo FREF UP
AFE PFD +
Sense/XL

CP + filter +
startup DN VCO
Cock and
Z timing
Drive
Drive

Resonator sensing FDIV


FVCO
Divider
PLL

LV-HV

AGC
Amp-
detect
Self-test
Offset correction scheme control block (STCB)

DAC

Up-conversion Digital offset


trim settings
chopping circuitry

FIGURE 9.13 Complete system diagram for an MEMS gyroscope.


198 MEMS: Fundamental Technology and Applications

9.9 NOVEL APPLICATIONS


This section discusses the various novel applications that a robust gyroscope system has enabled.
The discussions are very brief and intended to motivate the readers to look for more details else-
where in the literature.

9.9.1Optical Image Stabilization


Capturing clear and crisp images, especially without a tripod, becomes a challenge for even pro-
fessional photographers. When a photographers hands are not steady, the camera lens rotates
resulting in a blurry image. These problems are exaggerated at slow shutter speeds and at low light
conditions.
Blur due to hand jitter is reduced by mechanically stabilizing the camera. A two-axis gyroscope
is used to measure the movement of the camera, as shown in Figure 9.14. A microcontroller directs
that signal to small linear motors that move image sensor, compensating for the camera motion.
Alternative design methods move a lens somewhere in the optical chain within the camera as shown
in Figure 9.15.
With either method, the result is that the body of the camera may shake, but light strikes the
pixels of the image sensor as though the camera was not shaking. Figure 9.16 shows the result on an
image taken with and without an OIS system.

9.9.2 Gaming
Motion is already becoming a must have function in devices such as console games, as demon-
strated in the Nintendo Wii and Sony PlayStation 3 controller. In these systems, motion is used to
control the game play. Similarly, in cell phones, motion processing is used for display orientation,
for gaming, and for user interface functionality.
Since accelerometers cannot distinguish between acceleration due to angular movement or due
to gravity, separation of motion vectors is difficult with accelerometers. Unlike accelerometers,
gyroscopes are not affected by gravity, but react solely to the rotation motion. As such, they can
be used in a complementary fashion with accelerometers to help decompose motion vectors into
their separate components of gravity, linear motion, and rotation motion [9]. Nintendo supple-
mented the accelerometers in its Wii Remote with gyroscopes in its Wii Motion Plus dongle
(Figure 9.17).

Pitch Signal Y-axis motor


+
gyro processing control

Y-axis hall
sensor

Yaw Signal Y-axis motor


+
gyro processing control

Y-axis hall
sensor

FIGURE 9.14 Block diagram of OIS (optical image stabilization) system.


Electromechanical Loops for High-Performance and Robust Gyroscope System Design 199

Focus lens Corrective Film


group lens group surface

Subject

Camera
shake

Compensated
light beam

FIGURE 9.15 OIS correction using lens.

FIGURE 9.16 Photograph with (right) and without (left) OIS. (From Soumitra, Sony Cybershot DSC W220
Review, Gaea News Network.)

FIGURE 9.17 Nintendo Wii Motion Plus dongle.


200 MEMS: Fundamental Technology and Applications

9.9.3 3D Motion Capture


Motion capture is a term used to describe the process of recording movement and translating that
movement onto a digital model. Some methods of motion capture include video capture from cam-
eras or the use of active markers such as LED on the object, or by using MEMS gyroscopes and
accelerometers, through which the direction of the motion and the trajectory followed in three-
dimensional space is captured.
In image- and video-processing techniques, the video of the objects is taken and then computer
algorithms are used to track the changes in the position or orientation of the body. However, there can
be a blind spot from the camera and it is difficult to estimate the 3D coordinate and distance using
a single camera. In the above-mentioned techniques, it is also difficult to measure acceleration with
simultaneous rotational motion or when the body is moving really fast. The use of MEMS sensors
can provide us with accurate tracking of the system of study. There can be no blind spots while using
inertial sensors and data can be transmitted wirelessly and the trajectory of motion can be estimated.
3D modeling is a great way of learning and teaching; it can help the students get a better experi-
ence and understanding of science. A low-cost system can be designed that can give 3D models of
various objects and bodies and can be easily controlled by the instructor using an MEMS-based
control, which can help in moving and rotating the entity. An example of an anaglyph image of
pentan-2-ol is shown in Figure 9.18 [10].
Another emerging application is real-time motion capture technology, being used for character
animation in major motion pictures. A lightweight body suit is used to record physical movement,
and the technology is enabling interactive special effects environments and breakthrough work-
flows that are transforming the way filmmakers and production teams plan and create movies.
Leading video game developers have also adopted the motion capture technology for use in com-
puter-generated character development [11].

9.9.4Electronic Stability Control


Electronic stability control (ESC) is one of the active safety systems deployed in modern cars. When
ESC detects loss of steering, it automatically applies the brakes to steer the vehicle where the driver
intends to go. Braking is automatically applied depending upon oversteer or understeer as shown in
the Figure 9.19.
In the case of understeer, the braking force is applied on the inner rear wheel, whereas in the
case of oversteer, the braking force is applied on the outer front wheel. ESC will be continuously

FIGURE 9.18 Anaglyph image of pentan-2-ol organic compound model.


Electromechanical Loops for High-Performance and Robust Gyroscope System Design 201

Desired With ESC


course Without ESC

Braking force

Understeer
Compensating
moment

Oversteer With ESC


Without ESC

Compensating
moment

Desired
course Braking
force

FIGURE 9.19 Illustration of ESC.

working in the background. It will come into effect only when the automobile loses control. ESC
compares the drivers intended direction, which is determined from the steering wheel position;
braking/acceleration force applied to the actual direction, which is determined by lateral accelera-
tion; vehicle rotation (measured by a yaw rate gyroscope); and individual speeds. If there is any
difference between the intended direction and the actual direction, the ESC system will apply the
braking force as mentioned above.

9.9.5Navigation
GPS-based navigation systems are very common these days. GPS devices provide latitude and lon-
gitude, and some may calculate altitude information. This information can be used in navigation.
But GPS data may not be continuously available due to the possibility of signal blockage and other
factors. In the event of GPS signal dropout, MEMS sensors (accelerometer and yaw rate gyroscope)
act as a flywheel to maintain vehicle orientation and help ensure accurate vehicle positioning.
These navigation techniques are effectively used in AutoFarm. Farming vehicles ensure preci-
sion cultivation throughout full crop cycle, enabling operations such as tillage, planting, chemical
and fertilizer application, and harvesting to be performed in a manner that maximizes crop produc-
tivity and yield while minimizing time, labor, and material inputs.

ACKNOWLEDGMENTS
The authors acknowledge the support and encouragement provided by Farhad Vazehgoo and S.
Karthik for this work. Also authors acknowledge several discussions and ideas by Bill Clark, which
has resulted in the overall architecture as outlined in this chapter.

REFERENCES
1. H. Wisnioski, Analog Devices introduces worlds first integrated gyroscope, http://www.analogzone.
com/acqp1118.htm, October 2002.
2. W. A. Clark, T. Juneau, R. Howe, Micromachined vibratory rate gyroscope, U.S. Patent 6067858.
202 MEMS: Fundamental Technology and Applications

3. W. A. Clark, R. T. Howe, and R. Horowitz, Surface micromachined z-axis vibratory rate gyroscope,
in Proceedings of Solid-State Sensors and Actuators Workshop, Hilton Head Island, SC, June 1996,
pp.283287.
4. JJohn A. Geen et al., Single-chip surface micromachined integrated gyroscope with 50 /h Allan deviation,
IEEE J. of Solid-State Circuits, 37(12), December 2002.
5. ADXRS450: 300/s High vibration immunity digital Gyro, http://www.analog.com/static/imported-
files/data_sheets/ADXRS450.pdf
6. W. A. Harris, Quick start resonant circuit control, U.S. Patent 6585338 B2, July 1, 2003.
7. A. K. Dikshit et al., Method and apparatus for MEMS phase locked loop, U.S. Patent Application number
13/086,746, filed on April 14, 2011.
8. K. Saravanan, B. Clark, A. Dikshit, D. P. Kumar and A. Kumar, A reliable approach to architecture design
of a MEMS gyroscope system, International Conference on Smart Materials Structures and Systems,
January 2012.
9. D. P. Kumar, S. Bajaj, Low cost approach to 3-D gaming using MEMS sensors, IEEE International
Conference on Electronics Computer Technology, April 2012.
10. D. P. Kumar, S. Bajaj, 3D image control using MEMS sensors for teaching purposes, IEEE International
Conference on Electronics Computer Technology, Kanyakumari, India, April 2012.
11. D. P. Kumar, S. Bajaj, Real time 3D anaglyph image control using MEMS sensors, International
Conference on MEMS, NANO and Smart Systems, November 2011.
12. Soumitra, Sony Cybershot DSC W220 Review, Gaea News Network.
Section II
MEMS-Based Novel Applications
10 Bulk Acoustic Wave Resonators
for Mobile Communication
Systems
Sumy Jose

CONTENTS
10.1 BAW Resonator Concept.......................................................................................................206
10.1.1 BAW Resonator Configurations................................................................................206
10.1.2 From Piezoelectricity to Impedance Curves.............................................................208
10.2 BAW Modeling......................................................................................................................209
10.2.1 Physics-Based 1D Mason Model............................................................................... 210
10.2.2 Modified Butterworth Van Dyke Model.................................................................... 212
10.3 Key Performance Parameters for BAW Resonators.............................................................. 213
2
10.3.1 Effective Coupling Coefficient (keff ).......................................................................... 213
10.3.2 Quality Factor............................................................................................................ 214
2
10.3.3 keff and Q.................................................................................................................... 216
10.4 Loss Mechanisms and Q Factor............................................................................................ 216
10.4.1 Acoustic Leakage through the Reflector Stack......................................................... 217
10.4.1.1 Quality Factor and Transmission................................................................ 218
10.5 BAW Resonator Measurements............................................................................................. 219
10.5.1 Measurement Setup................................................................................................... 219
10.5.2 High-Q SMRs............................................................................................................ 221
10.6 Summary............................................................................................................................... 223
Acknowledgments...........................................................................................................................224
References.......................................................................................................................................224

The wireless market has become promising for consumer electronics. The conventional radio fre-
quency (RF) filters are getting replaced by bulk acoustic wave (BAW) filters for all major cell
phone standards. BAW filters and duplexers are among the most successful microelectromechanical
system (MEMS) devices getting commercialized. The good selectivity and steep transition band
offered by the BAW resonators make them excellent components for interstage filters and duplexers
used in mobile communication system and wireless applications.
This chapter provides an overview of the basics of BAW device physics. The main resonator
parameters are explained. A concise introduction to the terminologies associated with BAW reso-
nators is also presented. Various loss mechanisms possible in BAW resonators are introduced and
their association with the quality factor is discussed. The BAW resonator concept and the two gen-
erally adopted configurations are introduced in Section 10.1. The existing models for BAW device
operation are reviewed in Section 10.2. Section 10.3 discusses the key performance parameters for
BAW resonators, Section 10.4 deals with the loss mechanisms in thin-film BAW resonators and its
association with the quality factor, and Section 10.5 discusses the BAW resonator measurements.

205
206 MEMS: Fundamental Technology and Applications

10.1 BAW RESONATOR CONCEPT


BAW resonators exploit the piezoelectric effect [1] of a thin piezoelectric film for obtaining reso-
nance [2,3]. The simplest configuration of a BAW resonator is a thin piezoelectric film sandwiched
between two metal electrodes as shown in Figure 10.1. When a DC electric field is created between
the electrodes, the structure is mechanically deformed by the inverse (or converse) piezoelectric
effect [4]. When applying an AC electric field, the electric signal is transformed into a mechanical
or acoustic wave in the device. This longitudinal acoustic wave launched into the device propagates
along the electric field and is reflected at the electrode/air interfaces. As the name suggests, a longi-
tudinal wave is a wave in which the particle displacement is in the same (z) direction as that of the
wave propagation. The thin-film BAW resonators make use of the so-called thickness extensional
(TE) vibration mode of a piezoelectric film [5,6].
At the fundamental resonance, half the wavelength of the longitudinal acoustic wave is equal
to the total thickness of the piezoelectric film. The resonance (or series resonance) frequency f R is
determined approximately by the thickness t of the piezoelectric film [2,3]

v v
fR = L, (10.1)
l 2t

where vL is the longitudinal acoustic velocity in the normal direction in the piezoelectric layer, t
the thickness of the piezoelectric film, and the acoustic wavelength of the longitudinal wave. In
practice, the frequency f R is different from Equation 10.1, since the acoustic properties of all other
layers affect the resonator performance, for example, by the mass-loading effect of the resonators
electrodes [2,7]. Although Equation 10.1 is only a crude approximation, it is important to note that
as the sound velocity is typically in the range between 3000 and 11,000m/s for most of the mate-
rials, for the desired frequency range (13GHz), the thickness of the piezolayer is in the order of
micrometers that makes the devices relatively smaller than the electromagnetic structures [2,8].
For the device to be practical, there are two widely adopted configurations. These are discussed
in Section 10.1.1.

10.1.1BAW Resonator Configurations


As discussed above, the construction of a BAW resonator is rather straightforward. It consists of a
piezoelectric layer and two electrodes. The resonator must be attached somewhere. This attachment

X
Z

Electrode (metal)

Piezoelectric layer
(AlN, ZnO, PZT)

FIGURE 10.1 A schematic cross-section of a free-standing (stress is zero at the electrode/air interfaces) BAW
resonator with infinite lateral dimensions. The dashed line (stress) and the solid line (displacement) indicate
half-wavelength of the acoustic wave vertically trapped in the piezoelectric layer indicating fundamental thick-
ness resonance (the TE mode, see main text). The wavelength of the applied electric signal is not to the scale.
Bulk Acoustic Wave Resonators for Mobile Communication Systems 207

might disturb the free motion of the materials. Therefore, in practice, these resonators require an
acoustic isolation from the substrate to prevent energy leakage, thereby confining the acoustic wave
in the resonator yielding a high quality factor (Section 10.3.2).
There are two types of BAW resonator configurations, employing two different kinds of acous-
tic isolation from the substrate, namely the film bulk acoustic resonator (FBAR) and the solidly
mounted resonator (SMR). The FBAR uses an air-gap cavity for the acoustic isolation from the sub-
strate, whereas in the case of an SMR, a reflector stack (or acoustic mirror) provides the isolation [9].
Figure 10.2a shows one possible approach for an FBAR in which substantial acoustic isolation
from the substrate is achieved by micromachining an air-gap below the structure. The resonator
is anchored only from the sides. As the acoustic impedance* of air is a factor of 105 lower than in
typical solid materials, less energy is radiated into the air at the top and bottom surfaces of the
electrodes [6]. In FBARs, the sandwich structure is almost mechanically floating. These membrane-
type BAW resonators are also called free-standing bulk acoustic resonator [10].
Figure 10.2b shows a more mechanically rugged structure that is formed by isolating the reso-
nator from the substrate with a Bragg reflector stack that is composed of alternating layers of low
and high acoustic impedances located below the bottom electrode [2,9]. The reflector stack layers
are nominally quarter-wavelength (/4) thick [9,11]. The number of layers depends on the reflection
coefficient required and the characteristic impedance ratio between the successive layers [9].
Good comparisons between the two technologies are presented in Refs. [6,10,11]. The appeal
of FBARs lies in the small number of layers to be manufactured and in the potentially high qual-
ity factor (Q factor) that can be achieved. On the negative side, the layer stress can cause serious
problems such as buckling of the structure. Membranes are very delicate to handle as soon as they
are released and they are prone to damage during dicing and assembly. In addition to efficiently
isolating the acoustic waves from the substrate, the membranes also prevent efficient heat transfer
down to the substrate, which is important for power handling. A large portion of the generated heat
will not be removed by convection in air and has to travel along the lateral direction until it finds a
proper heat sink. Concerning the power handling capabilities, FBAR has some principal drawbacks
as well. In FBARs, the designer has to deal with harmonic resonances (overtones) of considerably
high Q values because the isolation to the substrate is perfect at all frequencies [6].

Top
(a) (b) electrode

Piezolayer Bottom
electrode

L/4 L
Top
electrode H/4 H
Acoustic
Bottom L mirror
Piezolayer
electrode H
L
Air cavity

Substrate Substrate

FBAR SMR

FIGURE 10.2 Schematic cross-section of BAW resonator configurations: (a) FBAR; (b) SMR. L and H indi-
cate layers having a low and high acoustic impedance, respectively.

* The acoustic impedance is a property of the medium, which is a product of its mass density and the acoustic velocity of
the wave in the medium 0, 0.
208 MEMS: Fundamental Technology and Applications

The realization of SMRs requires several additional layers to be deposited, which increases pro-
cessing costs; however, the process is compatible with complementary metaloxidesemiconductor
(CMOS) technology [3,6]. At low frequencies (below 500MHz), the mirror approach becomes
impractical because the /4 layers need to be very thick. In terms of robustness, the SMR is supe-
rior to an FBAR. There is no risk of mechanical damage in any of the standard procedures needed
in dicing and assembly and there are also less problems with layer stresses in the piezolayer or the
electrode layers. For BAWs requiring good power handling capabilities, it is very beneficial that a
direct vertical heat path through the mirror exists, which reduces thermal resistance to the ambient
significantly. In SMRs, harmonic overtones are highly damped because the mirror can have bad
reflection at these frequencies [6]. The SMR has a lower temperature coefficient of frequency (TCF)
than the FBAR, since the SiO2 layers in the reflector stack have a positive TCF, which compensates
for the negative TCF of the other layers in the stack [11].
Another difference between the FBAR and the SMR is that the Q factor of the FBAR is more
dependent on the process (membrane edge-supporting configuration). Moreover, the FBAR resona-
tor is straightforward to design without much need of the two-dimensional (2D) modeling. The Q
factor of the SMR is dependent on both the process and the design. Although the design of an SMR
structure involves more complicated 2D acoustic analysis, this also gives more degrees of freedom
to optimize the resonator performance. The SMR provides a lower Q factor compared to an FBAR
due to the presence of additional reflector layers in which an acoustic wave may attenuate and
escape [11].

10.1.2From Piezoelectricity to Impedance Curves


Piezoelectric materials can convert electrical energy into mechanical (or acoustical) energy and vice
versa. BAW devices utilize the converse piezoelectric effect to generate a mechanical resonance
from an electrical input. Conversely, the mechanical resonance is converted into electrical domain
for output [12,13].
As the piezoelectric effect is responsible for the resonance in BAW resonators, the material prop-
erties of the deposited piezoelectric film influence the performance of the resonators to some extent
[2]. The most popular piezoelectric materials used in BAW devices are aluminum nitride (AlN),
zinc oxide (ZnO), and lead zirconium titanate (PZT). Reviews of the performance of these materials
for BAW applications are reported in Refs. [6,14]. Despite the fact that ZnO has, in theory, a slightly
higher coupling coefficient than AlN, it has not been demonstrated so far as a viable alternative to
AlN as ZnO is chemically not very stable and is prone to contamination in CMOS environment
[6,15]. The other prominent piezomaterial PZT is an interesting candidate with very high coupling
along with extremely high dielectric constant. However, in the gigahertz range, PZT appears to have
too high intrinsic losses. Moreover, the high dielectric constant and low acoustic velocity would
result in extremely small resonators, which in turn would make it very hard to control acoustic
behavior [15].
For BAW devices, AlN has now been established as the piezoelectric material that offers the
best compromise between performance and manufacturability [6,11]. The use of AlN as the piezo-
electric in thin films in FBAR devices was first realized by Lakin etal. in the early 1980s [16,17].
The relatively high stiffness of AlN, high acoustic velocity, low TCF, and, more importantly,
the compatibility with CMOS fabrication process make this material the piezoelectric of choice
[10,13]. Currently, all commercially available FBAR and SMR devices use AlN as the piezoelec-
tric material [10].
The electrical performance of a BAW resonator is analyzed by the so-called impedance character-
istics of the resonator as shown in Figure 10.3 [2,13]. The electrical impedance of a BAW resonator
is characterized by two resonances: one at the resonance (or series resonance) frequency f R where
the magnitude of the impedance tends to its minimum value and the other one at antiresonance (or
parallel resonance) frequency fA where the magnitude of the impedance ideally becomes infinite.
Bulk Acoustic Wave Resonators for Mobile Communication Systems 209

2
keff

Electrical impedance log |Z| ()


1
Z =
2 fC

fR fA
Frequency f (GHz)

FIGURE 10.3 Impedance characteristics of a BAW resonator. f R and fA represent the resonance and antireso-
nance frequencies, respectively. k2eff, the frequency separation between the resonances f R and fA, is a measure
of the strength of the piezoelectric effect in the device. For frequencies other than resonance or antiresonance,
the BAW resonator behaves like a metalinsulatormetal (MIM) capacitor.

When an electric field is applied to the piezoelectric film sandwiched between the electrodes,
the atoms and consequently the center of dipole charges in the film are displaced [2,4]. The
crystal deforms, and the charge is attracted to the electrodes that causes an increase in current.
At resonance, when the driving frequency matches the mechanical resonance frequency of the
BAW resonator, the particle displacement is very large, a huge amount of charge is attracted to
the electrode, and hence the impedance (the ratio of voltage to current) is minimal. At antireso-
nance, particle displacement is limited; though limited charge is attracted to the electrode, it
gets exactly compensated by the dielectric charge in the piezoelectric material. Therefore, the
total charge attracted to the electrodes is negligible and hence the electrical impedance becomes
enormously high.
For frequencies other than resonance and antiresonance, the BAW resonator behaves like an
MIM capacitor. Therefore, far below and far above these resonances, the magnitude of the electri-
cal impedance is proportional to 1/f with f as the frequency. The frequency separation between f R
and fA is a measure of the strength of the piezoelectric effect in the device, the so-called effective
2
coupling coefficient often represented by keff (Section 10.3.1). The upper limit values of the relative
bandwidth ((fAf R)/fA) are mainly determined by the piezoelectric material, electrode material, and
the conditions of the surface on which the piezoelectric layer is deposited [11].
The ratio of impedance maximum to impedance minimum is approximately equal to the Q fac-
tor as long as series resistance of the leads and parasitic shunt conductance are negligible. In gen-
eral, a good BAW resonator behaves like an almost ideal capacitor below f R and above fA and like an
almost ideal inductor with varying inductance between f R and fA [6]. The key resonator parameters,
the coupling coefficient, and the Q factor are discussed in detail in Section 10.3.

10.2 BAW MODELING


Time-saving modeling techniques are important tools when designing BAW resonators. Since a
resonator may consist of many different layers, with different material properties, the description
of such a multilayered structure requires the use of theoretical models by which the BAW physics
can be modeled efficiently. Two popular models used for BAW design are the physics-based one-
dimensional (1D) Mason model [18] and the equivalent circuit-based modified Butterworth Van
210 MEMS: Fundamental Technology and Applications

Dyke (mBVD) model [12,19,20]. The Mason model uses an analytical approach to calculate the
frequency response of the device based on the material parameters of the constituting materials,
such as mass density, elastic constants, piezoelectric, and dielectric constants. The mBVD model
is the lumped-element electrical equivalent circuit model useful for extracting parasitic parameters
[11]. Below is a summary of these two models.

10.2.1 Physics-Based 1D Mason Model


The Mason model is one of the most frequently used in BAW resonator modeling [18,20]. The
model uses a transmission line concept in which the piezoelectric layer is a three-port network hav-
ing two acoustic ports and one electric port, as illustrated in Figure 10.4. By applying the boundary
conditions at the acoustic ports, the electrical impedance at the electrical port can be calculated as
a function of the frequency [8]. The analogy between electrical and acoustic transmission line is
highlighted in Table 10.1.
If we consider the case of an SMR, the mechanical load on the left side zl represents the top
electrode terminated by a mechanical short. Therefore, the boundary conditions at the top electrode
follows that the stress and hence the derivative of the vertical displacement is zero. On the right-
hand side, zr represents the effective mechanical impedance provided by the bottom electrode and
the reflector stack, terminated by the characteristic impedance of the substrate. The impedance at
the electrical port can then be given by [18,21]

1 1 tan f
Z = = 1 k2 F ( zl , zr , f) . (10.2)
Y jw C f

(a)

Left material Piezoelectric Right material


region

(b)

Three-port
Left load Right load
network
zl zr

I
V

FIGURE 10.4 Schematic of the 1D three-port Mason model: (a) material configuration of piezoelectric
material and external load materials and (b) circuit black diagram representation showing a three-port network
for the piezoelectric plate. The materials on both sides of the piezoelectric plate are represented by mechanical
loads zl and zr. (Adapted from K. M. Lakin, Modeling of thin film resonators and filters, Proceedings of the
IEEE MTT-S International Microwave Symposium Digest, vol. 1, pp. 149152, 1992.)
Bulk Acoustic Wave Resonators for Mobile Communication Systems 211

TABLE 10.1
Analogy between Electrical and Acoustic Transmission Line
Symbol Electrical Transmission Line Acoustic Transmission Line
Z0 Inductance per unit length/capacitance Characteristic acoustic impedance
per unit length (mass density wave velocity)
Phase difference of the electrical wave Phase difference of the acoustic wave
V(z) Voltage at position z Stress at position z
I(z) Current at position z Current at position z
zl Electrical impedance Acoustic impedance

F(zl,zr,) is given by

(( zr + zl ) cos2 f) + j sin 2f
F ( zl , zr , f) = , (10.3)
( zr + zl ) cos 2f + j ( zr zl + 1)sin 2f
f

where =t/ is half the phase across the piezoelectric plate of thickness t, zl and zr are normal-
ized (to the acoustic impedance of the piezoelectric layer) acoustic impedances at the boundaries,
and C is the physical capacitance described by A/t, with A being the active device area. k2 is the
piezoelectric coupling coefficient given by

e2 /c D eS (10.4)
k2 = ,
1 + e2 /c D eS

where e, cD, and S are the piezoelectric constant, the elastic constant measured at constant electric
displacement (superscript D), and the dielectric constant measured at constant strain (superscript S),
respectively.
In the case of a simple acoustic resonator having only the piezoelectric and ideal electrodes with-
out mass loading (zl=zr=0), Equation 10.2 reduces to

1 tan f
Z = 1 k 2 . (10.5)
jw C f

Equation 10.5 gives the impedance versus frequency characteristics of an FBAR having infinitely
thin electrodes.
All structures attached to the piezoelectric plate, including the mechanical effect of the elec-
trodes, must be described in terms of equivalent terminating acoustic impedance (mechanical loads)
as illustrated in Figure 10.4b. The equivalent terminating acoustic impedance can be found by the
successive use of the transmission line equation [20,22]:

Z cos q + j Z s sin q
Z in = Z s l , (10.6)
Z s cos q + j Z l sin q

where Zin is the input acoustic impedance of the examined section in the transmission line, Zl is the
load impedance or equivalent terminating impedance attached to the section, Z s is the characteristic
impedance of the section, and =2d/ is the total phase across the section where d is the thick-
ness of each layer.
212 MEMS: Fundamental Technology and Applications

The analysis of the reflector stack is most conveniently done using the fundamental equation of
wave propagation. The mirror reflection R is given by [23]

Z RS Z p
R= , (10.7)
Z RS + Z p

where Z p is the acoustic impedance of the piezolayer and Z RS is the effective acoustic impedance of
the layer stack below the piezolayer, including the bottom electrode, mirror layers, and the substrate.
Both R and Z RS are generally complex numbers.
The Mason model together with the transmission line equation allows for calculating the trans-
mission characteristics for longitudinal and shear waves, by just choosing the appropriate material
parameters (acoustic impedance and wave velocity). Marksteiner et al. [23] found that the shear
reflection characteristics of the Bragg reflector can have profound effects on the Q value of a longi-
tudinal mode resonator at antiresonance. They also suggested inspecting a logarithmic transmission
of the form

T = 10 log10 (1|R|2 ), (10.8)


instead of the reflection given by Equation 10.7 to resolve small differences important for high-Q
resonators.
From a plot of the electrical impedance Z over frequency, all relevant resonator parameters can
be extracted if the material parameters and layer thickness for all the layers are known. The Mason
model is suitable for optimizing both FBARs and SMRs. In general, this model will give reliable
impedance curves if the material parameters are accurate. It is, however, by definition, not suitable
for modeling spurious modes and other lateral acoustic effects and it will also not predict the Q
values of the resonators accurately [6].

10.2.2 Modified Butterworth Van Dyke Model


Although the physical model described above gives useful physical insights into the device, a more
compact model, based on lumped parameters, is desirable for circuit designers. Apart from the
physical model, there exists a compact model, which is a lumped-element electrical equivalent cir-
cuit model known as the Butterworth Van Dyke (BVD) model [12,24]. The model was further modi-
fied [19] by the addition of a parallel resistor to incorporate the parasitic components.
The mBVD model is illustrated in Figure 10.5. The resonator is represented by a static arm and a
motional arm. L m Cm Rmthe motional armrepresents the electroacoustic properties of the piezo-
electric layer by the motional inductance L m, motional capacitance Cm, and motional resistance Rm.
Rm represents the acoustic attenuation in the device. In the static arm, Cs is the physical capacitance

Resonance
Cm Lm Rm

Relectrodes

Rs Cs
Antiresonance

FIGURE 10.5 Modified Butterworth Van Dyke (mBVD) model with the motional arm (L m Cm Rm) and static
arm (Rs Cs).
Bulk Acoustic Wave Resonators for Mobile Communication Systems 213

(Cs=C in Equation 10.2) formed by the piezoelectric layer between the electrodes. Rs describes the
dielectric losses in the material. Relectrodes represents the electrical resistance of the electrodes and the
contact resistance in the measurement.
With these circuit parameters, the resonance and antiresonance frequencies are, respectively,
given by [12]

1
fR = , (10.9)
2p Lm Cm

and

1 1 Cm
fA = 1 + C . (10.10)
2p Lm Cm s

Hence, the motional arm mainly determines the resonance frequency, while the antiresonance is
determined by the combination of the static and the motional arm.
From the mBVD circuit, the quality factor (Q factor) at f R and fA can be evaluated as [12,25]

w R Lm
QRmBVD = , (10.11)
Relectrodes + Rm

where R=2f R, and

w A Lm
QAmBVD = , (10.12)
Rs + Rm

where A=2fA. The mBVD model is particularly suitable for the evaluation of the resonator
performance and extraction of device properties from electrical measurements. The model only
gives accurate results close to resonances [25]. This model is a very practical approach for design-
ing filters as well and the results will be as close to reality as using other commonly used models.
Any circuit simulator will be able to handle the mBVD model properly. The mBVD model can
be extended in many ways to include size effects, temperature effects, spurious resonances, and
so on [6].

10.3 KEY PERFORMANCE PARAMETERS FOR BAW RESONATORS


The performance parameters to be considered for a BAW resonator design are reviewed in
[10,11,15,2628]. Although some of these reports investigate a few different parameters (such as
temperature coefficient and power handling capabilities), the coupling coefficient and the qual-
ity factor determine the important characteristics of the resonator. A brief discussion about these
parameters is presented in the below sections.

10.3.1Effective Coupling Coefficient (keff


2
)
2
The effective electromechanical coupling coefficient keff is an important parameter for the design
of BAW components. It is a measure of how efficiently the resonator converts electrical energy into
mechanical energy, and vice versa [27]. The fundamental meaning of the electromechanical cou-
pling coefficient for a piezoelectric body is defined by Berlincourt [2830]
214 MEMS: Fundamental Technology and Applications

Em2
2
keff = , (10.13)
Ea Ee

where Em is the so-called mutual energy (coupled or electromechanical energy), Ea the acoustic
energy, and Ee the electrical energy.
It is to be noted that the electromechanical coupling coefficient defined for a piezoelectric mate-
rial (Equation 10.4) is a material property. Therefore, k2 is defined for a piezoelectric film; for
example, in AlN, it is usually 6.6%, depending on the deposition conditions [15]. The k2 for AlN
allows for filter bandwidths >4%, which is just convenient to serve narrowband communication
standards [6].
For piezoelectric thin-film resonators with electrode layers and reflector stack layers, in practice,
2
an effective coupling coefficient keff is defined in terms of relative spacing of the resonance fre-
quency f R and antiresonance frequency fA [2,6,30]

p 2 fA fR p 2
2
keff = = BW. (10.14)
4 fA 4

The relative spacing of the resonance frequencies also determines the bandwidth of the filter.
2
The value of keff is a measure of the strength of coupling between the acoustic and electric fields
in the resonator structure as a whole. For an FBAR with ideal (infinitesimally thin, perfectly con-
ducting) electrodes, the fractional separation of f R and fA is equal to 4/2. k2 and thus keff2
is equal
2
to the piezoelectric coupling coefficient k2 of the piezomaterial used. For practical resonators, keff
2
depends on the electrode and the reflector stack layer configurations. Therefore, in practice, keff will
2
differ from k . In some circumstances, keff can be even larger than k of the piezoelectric material
2 2

used, for example, when the acoustic impedance of the electrodes is higher than that of the piezo-
electric film [10,15,31]. This is due to an improved match between the acoustic standing wave and
the linear electric field in the piezoelectric.
Although there are various definitions in use by different groups, the definition by Equation 10.14
2
has been claimed as optimists favorite [15]. The factors directly influencing keff are associated with
2
electroacoustic energy conversion. keff is maximum for the maximum overlap of electric and acous-
tic fields. The spacing of resonance and antiresonance will be modified when taking the additional
support layers such as reflector stack layers into consideration. In most cases, the additional layers
2
will reduce the relative spacing. The AlN-based FBAR gives an improved keff (6.9% vs. 6.5% at
2GHz) compared to the SMR due to the existence of some stored energy outside the piezoelectric,
in the reflector stack layers [11]. However, the coupling coefficient in SMRs can also be improved
by the proper choice of electrodes [31].
The quality of the piezoelectric film is another major factor influencing the coupling in a BAW
resonator. A rough-bottom electrode significantly degrades coupling due to processing reasons.
Thus, the smoothening of the bottom electrode is also important. For an SMR with metal layers in
the Bragg reflector, a parasitic capacitive coupling with the contact pads will reduce the coupling
coefficient further. This parasitic coupling can be eliminated by patterning of the Bragg reflector as
proposed in [6,32]. An alternative approach is fabricating the SMR on a dielectric reflector [10,33,34].
In summary, the reflector stack and most importantly the electrodes stack have a strong influ-
ence on the effective coupling coefficient in a BAW device. A properly designed reflector stack can
enhance coupling while a poorly designed stack will degrade coupling [15].

10.3.2Quality Factor
The quality factor (Q factor) is a measure of the energy dissipation within the system, indicating
how well the mechanical energy input to the resonator remains confined during the oscillatory
Bulk Acoustic Wave Resonators for Mobile Communication Systems 215

motion. In the resonator, the energy oscillates between the kinetic and potential forms, and during
these cycles, some energy is inevitably wasted due to internal friction and other loss mechanisms
(see Section 10.4). For a mechanical resonator, the Q factor is indicative of the rate at which energy
is being dissipated and is generally defined as [12]

Stored energy
Q = 2p . (10.15)
Lost energy per cycle

With a force applied at its resonance frequency, a resonator with an infinitely high Q would vibrate
with nondecreasing amplitude, never losing energy to its surroundings, and continue to vibrate indefi-
nitely once the applied force is removed. Unfortunately, in a practical resonator, there are some losses
associated with the device and hence the achievable Q is limited. Consequently, a high Q is one of
the most desired parameters in BAW resonator design as it indicates a low rate of energy dissipation.
High-Q resonators when used in the filters offer a high transmission in the pass band.
There are several methods to extract the Q value of a BAW resonator from the measurements
[10]. One practical approach is the phase derivative method to extract the Q factor from the steep-
ness of the phase ((f)) curves according to [23]

dj ( f )
Qj = 0.5 f0 , (10.16)
df f = f0

where f0 is the frequency of interest.


Another extraction method is the traditional 3-dB bandwidth method to determine the band-
width f at the 3dB level of the admittance or impedance curves according to [11]

f0
Q BW = . (10.17)
(f )3 dB

Although the formulas for calculating the Q factor are well defined, obtaining a reliable Q from
experiments is challenging [15,27]. The methods for determining the Q are quite sensitive to the
frequency step size in the measured range [10,11,25]. Moreover, any spurious modes or other non
idealities at the measured frequency greatly complicate a direct Q calculation from the measured S
parameters [15]. For a qualitative study of Q values, either Equation 10.16 or Equation 10.17 can be
used. The choice of the method to use depends on the application as well as the user preference [26].
For the experimental extraction of the Q factor, a much more robust method is to fit the imped-
ance curve using the mBVD model [13,15]. By using such a model, the derivation of the Q factor
simply becomes a matter of calculating the stored energy and the dissipated energy per cycle (see
also Equations 10.11 and 10.12) from the input impedance of the circuit defined in Section 10.2.2.
However, the accuracy of this approach depends on how this fitting is done [13,25,27]. A compari-
son of the Q values calculated by various methods is presented in Ref. [10]. The Q values predicted
by the phase derivative method and the traditional 3-dB bandwidth method yield similar results.
These values are higher than the Q values extracted from the mBVD fit. However, the results are
comparable to the other methods. The comparison of Q values obtained from various resonators is
legitimate only when the same method has been employed to compute it.
The Q value at resonance or antiresonance depends on the series resistance or some shunt con-
ductivity; either the resonance or the antiresonance will show the larger Q value. Some authors
propose [6] to define an acoustic Q value, which is equivalent to the maximum of those two values.
In electrical measurements, it is straightforward to distinguish between acoustic losses and electric
216 MEMS: Fundamental Technology and Applications

losses because in a frequency sweep, electric losses can be seen even far away from the acoustic
resonance frequency (f R or fA) where the acoustic losses no longer play a role. The Q value at the
resonance frequency, Q R, is lower than at the antiresonance frequency QA, since there is a strong
influence of electrical (ohmic) losses for the former, which will be addressed in Section 10.4. Hence,
although there is an overall improvement in the Q value of BAW resonators, it is mainly observed in
QA and not in Q R. Therefore, in general, the antiresonance QA is the best parameter to look at while
investigating acoustic losses in a BAW device [13,25]. QA is mainly related to the mechanical losses
rather than the electrical losses and hence it is used to quantify the influence of the acoustic reflector
on the performance of the resonators [35].

10.3.3 keff
2
and Q
For practical applications, both a sufficiently high coupling and Q values are the goal [6]. However,
there is a trade-off between these parameters [10]. Therefore, to judge the performance of the BAW
technology, the so-called figure of merit (FOM) has been introduced

FOM = keff
2
Q. (10.18)

2
Note that keff is not a function of frequency, while the Q value is a function of frequency and
therefore FOM is also a function of frequency [10,36]. Hence, FOM is more commonly used in filter
design than in the resonator design [37].
2
Device designers can trade off keff against the Q factor depending on the application. A small sac-
2 2
rifice in keff gives a large boost in the Q value [10]. keff can be enhanced by choosing a high acoustic
impedance electrode and can also be traded off with other parameters such as electrode thickness
and a thicker passivation layer [11]. In the case of SMRs, loading the reflector stack with a high
acoustic impedance metal also seems to improve the coupling coefficient [33,34].

10.4 LOSS MECHANISMS AND Q FACTOR


The loss mechanisms in thin-film BAW resonators can be divided into two major categories: electri-
cal and acoustical losses [38]. The acoustical losses mainly include acoustic leakage to the substrate,
laterally escaping waves, though viscous losses and wave scattering are also sometimes referred to
as acoustic attenuations [26,27]. Except for acoustic leakage to the substrate (discussed in detail in
Section 10.4.1), all other loss mechanisms are associated with both FBARs and SMRs.
Electrical losses are caused by resistance of the resonator electrodes and lead connecting resona-
tors and bonding/probing pads. Utilizing low-resistivity materials such as gold or aluminum in the
electrodes with high-enough thickness reduces ohmic losses, but resistivity must be cooptimized
with other properties such as the coupling coefficient and this easily leads to trade-offs [27,31].
Dielectric and eddy current losses are the other reported electrical loss paths [13].
Another possible acoustic loss path is the energy loss by lateral leaking waves [26]. The exis-
tence of this type of loss is visibly observed in the interferometer measurements of BAW resonators.
However, the amplitudes of these waves are considerably smaller than the amplitudes in the active
area of the resonator caused by the longitudinal waves. The reason for their excitation is most likely
the discontinuity at the resonator edge. Experiments have shown that lateral wave leakage is not a
dominant loss mechanism in SMRs in the Q regime up to 2500; however, it might become signifi-
cant above this threshold. It has been shown experimentally [38] that lateral energy leakage can
indeed be prevented by appropriate measures. The laterally leaking waves when trapped within the
electrode boundaries lead to additional unwanted spurious resonances, which are formed by stand-
Bulk Acoustic Wave Resonators for Mobile Communication Systems 217

ing waves [13]. The appropriate methods for suppressing these spurious resonances are discussed
in Refs. [3943].
Viscous losses are intrinsic to the materials used in the devices. No material is perfectly elastic;
only some of the energy stored in a viscoelastic system is recovered upon removal of the load. The
remainder is dissipated in the form of heat, causing a loss of energy for the acoustic vibration [26].
Apart from the choices of materials, not much can be done about these losses other than optimizing
the process parameters. Among the acoustic materials typically used in BAW devices, SiO2 and alu-
minum have the largest losses, while AlN, Mo, and W are all rather low-loss materials [44]. For the
viscoelastic damping constants, literature values [45] are typically used. But it is a difficult work to
get any reliable loss parameters for thin-film material from experiments or from the literature [6]. The
viscoelastic losses become significant in SMRBAW devices once the Q regime is above ~3000 [13].
Scattering losses occur due to material layer imperfections and surface or interface roughness
[13]. The main loss mechanism is the redirection of vertically moving acoustic energy toward lat-
eral directions. This causes the waves to leave the active resonator region and dissipate either in the
device substrate or in the regions surrounding the device laterally. However, it has been shown that
typical processing-related nonuniformities do not affect the quality factor of the resonator because
the acoustic wavelength is greater than the nonuniform layer thickness variations [38].

10.4.1Acoustic Leakage through the Reflector Stack


As mentioned in Section 10.1.1, SMR devices contain an acoustic reflector for acoustical isolation
from the substrate. However, the quarter-wavelength (/4) Bragg reflector is optimized for one par-
ticular wavelength, that is, the wavelength of the longitudinal main mode at the resonant frequency.
Hence, the Bragg reflector cannot isolate the acoustic waves of other wavelengths from escaping
into the substrate. Owing to the vibration or deformation of the piezoelectric layer, acoustic waves
with other wavelengths are also generated and hence some acoustic energy will be leaking through
the reflector stack into the substrate. The energy that leaked into the substrate cannot be recovered;
this loss mechanism significantly reduces the quality factor of the resonance [13].
The dominant loss mechanism in the traditional quarter-wavelength SMR is the loss caused by
shear waves (which is explained further in this section) generated in the device and transmitted
through the mirror. It is a well-established fact that the /4-reflector stack has the best acousti-
cal isolation from the substrate when only the chosen wave type (here longitudinal) is considered.
However, as the shear wave velocity being about half that of the longitudinal wave velocity [46], a
quarter-wavelength stack designed for the reflection of longitudinal waves meets the full transmis-
sion condition (/2) for shear waves. Therefore, the conclusion without any additional analysis is:
if shear waves are generated in the device, they are transmitted readily through the mirror, and a
corresponding Q loss will be observed. This loss can indeed be very large for even small amounts
of shear waves involved. Although the reported shear amplitudes are approximately a factor of 10
times smaller than that of the amplitude of longitudinal waves, they still constitute a significant
contribution to the losses and results in a decrease in the resonator Q, effectively limiting Q to the
regime of <700 [13,27].
The origin of the shear waves can be attributed to three probable reasons [47]. First, at the edges
of a resonator, certain acoustic edge conditions for the boundaries between the active area and the
outside area must be fulfilled. If these boundary conditions are not matched, shear waves are gener-
ated at the circumference of the resonator. The real device is indeed a three-dimensional (3D) struc-
ture having lateral boundaries and hence these boundaries can easily be a source of shear waves.
Second, if the piezoelectric layer of the resonator has tilted grain boundaries, then a vertical field
may lead to the launch of shear waves in the piezoelectric layer. Third, longitudinal waves moving
in a direction not exactly perpendicular to the substrate plane can get converted into shear waves at
the interface of different layers.
218 MEMS: Fundamental Technology and Applications

The solution to the shear wave leakage problem is to design the mirror in such a way that both
the longitudinal and shear waves are reflected. The improvement of Q factor by minimizing the
shear wave energy leakage throughout the reflector stack was first reported by Infineons BAW
group [23,47]. They have presented a cooptimized reflector stack providing good reflection for both
shear waves and longitudinal waves. Recent reports from various groups among the BAW (SMR)
community [35,48] confirm that careful cooptimization of the reflector for longitudinal and shear
waves significantly boosts the QA. Without consideration of the shear wave reflection, the quality
factor of SMRs was limited to about 700. In this case, the losses seem to be dominated indeed by the
acoustic reflector leakage due to shear waves. Careful reflector design, on the other hand, boosted
the resonator QA up to 2000 [46].
It should be mentioned that this cooptimization of the reflector stack for shear waves goes hand
in hand with sacrificing some piezoelectric coupling, since layers in the reflector close to the reso-
nator usually have to be made thicker. This in turn causes a larger part of the stress field to reside
outside the piezoelectric material, thus reducing the coupling [13].
As we have discussed, the shear waves can constitute a major loss mechanism for BAW reso-
nators. Apart from many computer-optimized reflector stacks [3335,46,47], a systematic design
procedure [48] for optimizing the reflector stack for both longitudinal and shear waves has also
been reported.

10.4.1.1 Quality Factor and Transmission


As we have been discussing, the quality factor (Q factor) is a measure of losses in the system. The
very basic definition (Equation 10.15) states that the Q factor is inversely proportional to losses in
the system. Hence, an improvement of Q factor can be achieved by minimizing the losses.
If the losses are, for example, only in the form of energy transmitted through the reflector stack
to the substrate described by transmission T, the Q value takes the form [44]

Etot 2p
Q = 2p = , (10.19)
T Etot T

where Etot is the total stored energy. In this way, one can translate the transmission of the waves in
the reflector stack into a Q value. The former can be obtained using the Mason transmission line
model as described in Section 10.2.1. The simple Mason model calculation is a 1D treatment and
there exists no mechanism for generating any shear waves in it. By using Masons model to simulate
the longitudinal and shear wave transmission of a given reflector stack configuration, the resulting
limitations to the resonator quality factor can be calculated [13].
Assuming the various loss mechanisms as discussed, from the nature of the Q value being
inversely proportional to the relative losses, it follows that [13]

1 1
Qtot
= Q ,l
(10.20)
l

where Ql is the Q value associated with the loss mechanism l and hence the summation is over dif-
ferent loss mechanisms.
Now, inspecting a single loss mechanism such as the transmission through the reflector stack,
we can interpret its resulting Q value as the one that the device would exhibit in case all other loss
mechanisms were much smaller in magnitude. We can thus interpret the minimum transmission
resulting from the reflector simulations as the inverse of a reflector limited Q value [44].
The acoustic leakage through the reflector stack being the dominant loss mechanism in SMRs,
a significant improvement in Q values can be achieved by minimizing this loss. The approach of
Marksteiner etal. [23] to model both longitudinal and shear transmission in an acoustic reflector is
Bulk Acoustic Wave Resonators for Mobile Communication Systems 219

by separately calculating longitudinal and shear transmission in 1D, and then assuming a constant
fraction of the energy to be in the shear waves. Thus, they calculate a composite acoustic Q value
combining the losses due to longitudinal and shear wave leakages. With an assumed value of 1%
of the total energy converted to shear waves, the total quality factor drops significantly (one order)
despite the seemingly small amount of energy associated with the shear waves. A recent work [35]
also reports an increase in quality factor from 200 to 2800 by minimizing the shear losses to the
substrate. The authors of that work also present the experimental evidence that the variations of the
Q factor follow the trend of shear transmission rather than longitudinal transmission with acoustic
reflectors of different layer thicknesses. They empirically estimate a lower value of 0.05% as the
amount of energy stored in the shear modes. Unfortunately, there are no reports of the amount of
energy stored in shear waves from experiments.
Looking at the Q factor for the transmission analysis of the reflector stack is a successful method
in practice; however, it is an indirect method. Alternative methods exist [49] to analyze the longitudi-
nal and shear transmissions. A direct experimental method is the analysis by the laser interferometric
measurement technique [50]. In this method, mirror transmission characteristics are analyzed by the
vibration amplitude measured by the interferometer both on the surface of the resonator and at the
reflectorsubstrate interface. But this requires devices to be fabricated on a glass substrate [51].

10.5 BAW RESONATOR MEASUREMENTS


10.5.1 Measurement Setup
Thin-film BAW resonators are electrically characterized by measuring their scattering parameters
or S parameters with a vector network analyzer (VNA) on wafer level with coplanar RF probes [52].
The resonator performance is typically characterized by measuring the reflection coefficient S11 [52]
of a one-port configuration.
Figure 10.6a shows the schematic measurement setup, Figure 10.6b shows the actual electrical
measurement setup, which includes a microwave network analyzer, a probe station to connect to

(a)
DUT
Signal
generator Load
Z0
(VNA) (VNA)

(b) (c)
Network analyzer
Probe station

GSG
DUT

FIGURE 10.6 (a) Schematic representation of the measurement setup with a microwave VNA and DUT.
(Adapted from S. Marksteiner et al., Optimization of acoustic mirrors for solidly mounted BAW resona-
tors, Proceedings of the IEEE Ultrasonics Symposium, pp. 329332, 2005.) (b) The electrical measurement
setup for electrical characterization with a VNA, RF probe station, and DUT. (Adapted from H. Campenella,
Acoustic Wave and Electromechanical Resonators: Concepts to Key Applications, Artech House, Norwood,
MA, 2010.) (c) Coplanar RF probe with a GSG tip.
220 MEMS: Fundamental Technology and Applications

G S G

Bottom electrode

FIGURE 10.7 Schematic cross-section of the typical GSG geometry used for the one-port electrical charac-
terization of BAW resonators. The gray shade shows the piezoelectric layer, while the darker shade indicates
the bottom electrode and the top electrode patterned as GSG configuration. Note that a via through the piezo-
layer is needed to contact the ground pad.

the resonator, and the device under test (DUT), and Figure 10.6c shows a coplanar RF probe with
groundsignalground (GSG) tip configuration.
Before performing any device measurement with a VNA, a calibration of reflected and transmitted
power is necessary to circumvent systematic errors. One-port RF characterization requires calibration
using thru-open-short-and-load (TOSL) calibration structures [22] provided by the microwave instru-
mentation companies. By using the TOSL calibration routine standards, the VNA is calibrated till the
RF probe tips, thus compensating the effect of the probing system, including cables and the connec-
tors. The remaining parasitic contributions from the measurement setup that is difficult to remove by
any calibration include the contact resistance from the probes to the contact pads and the inductance of
the loop formed by the electrodes from one tip to another [52]. A conventional way to eliminate these
contributions is to use deembedding structures that constitute these losses, typically the so-called
short and open structures. The GSG (or GS) structures are carefully designed for the usage of a copla-
nar RF probe, so that the possible parasitics remain the same for the device variations. An example of
the layout of the typical GSG geometry of a BAW resonator is shown in Figure 10.7.
The electrical responses of the SMRs were analyzed by RF measurements using a Rohde &
Schwarz ZVB20 VNA. One-port scattering parameters (S11) were measured using GSG-250 copla-
nar RF probes and impedance curves were plotted. A low power level of 20dBm was used to avoid
any nonlinearities in the measurements.
The VNA measurement is performed within the characteristic impedance of Z 0=50 environ-
ment, which is the port impedance of the network analyzer [22,52]. From the measured S11, the
complex resonator impedance can be obtained by [52]

1 + S11
Z = Z0 . (10.21)
1 S11

Alternatively, some network analyzers [53] facilitate direct impedance measurement, either as
the magnitude or phase of the impedance curve. The quality factor (Q factor) of the resonators can
then be calculated from any of the methods discussed in Section 10.3.2. Although the formulas for
calculating the Q factor are well defined, obtaining a reliable Q from experiments is challenging
[13,54]. Methods for determining the Q are quite sensitive to the frequency step size in the measured
range [15]. Moreover, any spurious modes or other nonidealities at the measured frequency greatly
complicate a direct Q calculation from the measured S parameters [54].
It is therefore convenient to fit the measured response to the impedance obtained from the mBVD
model to calculate the Q [54] as discussed in Section 10.1.1. However, the accuracy of this approach
depends on how this fitting is done [15,28,54]. A quantitative comparison of Q values obtained from
various resonators is legitimate only when the same computation method has been employed.
Bulk Acoustic Wave Resonators for Mobile Communication Systems 221

10.5.2High-Q SMRs
Currently, BAW technology is commercially available for US-PCS (Transmit band: 1.851.91 GHz,
Receive band: 1.931.99 GHz) applications. A major limitation with the US-PCS standard is that
the transmit and receive bands are close in frequency [55]. This demands BAW resonators that con-
stitute the narrowband filters for the application to be nearly loss-free. Hence, one of the important
goals of BAW community is to come up with high-Q resonators for RF filters by minimizing the
energy losses [35,36,39].
SMRs with optimized reflector stacks adopting a novel design approach [39,56] have been real-
ized and characterized. The reflector stacks used are unique in the sense that they consist of only
dielectric layers. The main advantage of using a fully dielectric stack is that the reflector stack
layers need not be patterned [35,36]. However, since most of the dielectric combinations result in
comparatively low-impedance contrast stacks, a higher number of layers is needed to achieve the
specified transmission.
A He-ion cross-section image of the fabricated SMR is shown in Figure 10.8. The SMR has nine
layers of SiO2/Ta2O5 stacks optimized for 1.88GHz with aluminum nitride (AlN) as the piezoelec-
tric layer.
A frequency step of 25kHz was used to extract the Q factor at antiresonance QAj (see phase
derivative method, Equation 10.16), from the steepness of the phase ( ( f)) curves measured
directly from the analyzer [23]. Although the Q values calculated by this method are sensitive to
the frequency step df [10,11], this method is used here for illustrating the efficiency of the reflec-
tor stack. Alternatively, a more robust method by fitting the electrical response using an mBVD
model (Section 10.2.2) has also been used for Q determination for a series of resonators to extract
the 1D Q factor.
Figure 10.9 shows a comparison between the measured and 2D FEM simulated [57] impedance
curves for a resonator designed with a conventional quarter-wave reflector stack and optimized dual-
wave reflector stack; the simulations are in good agreement with the measurements. In the SMR
with a shear-optimized reflector stack, the piezoelectric layer thickness was adapted to bring f R to
1.88GHz. For a 300100-m2 conventional quarter-wave reflector device, a QAj value of 1075 is
obtained, whereas the device with optimized stacks exhibits a QAj of around 1940. As the Q factor
at resonance, Q R, is limited by ohmic rather than acoustic losses, the improvement in Q R is small.

Top electrode: TiW, Al, TiN

Bottom
electrode: Pt
AIN
Pt
SiO2
Pt
SiO2
Ta2O5
SiO2 Reflector
Ta2O5 stack
SiO2
Ta2O5
SiO2
Ta2O5
SiO2
Si substrate
Field of view Dwell time Blanker curent
CRL ZEISS SMT 11.00m 1.00 m 50.0 us 1.0 pA
Acceleration V Detector Line Averaging Date: 17.Dec.10
29.5 kV PrimaryETDetector 4 Time: 11:28 AM

FIGURE 10.8 He-ion microscope image of the cross-section of an SMR with nine layers of SiO2/Ta2O5
reflector stack. (Courtesy of Gregor Hlawacek and Joost Melai, MESA+ Institute for Nanotechnology.)
222 MEMS: Fundamental Technology and Applications

(a) (b)
10,000
Quarter wave fA 100,000
fA
Impedance |Z| ()

1000

Impedance |Z| ()
10,000
100 1000
10 100
FEM simulation
10 FEM simulation
1 Measurements
1 Measurements
fR fR
0.1 0.1
1.7 1.8 1.9 2 1.8 1.85 1.9 1.95 2
Frequency (GHz) Frequency (GHz)

FIGURE 10.9 Comparisons between impedance curves obtained from FEM simulations and measurements
of a 300100-m2 SMR with (a) quarter-wave reflector stack and (b) shear-optimized reflector stack. The SMR
with shear-optimized reflector stack shows a high peak at antiresonance in the impedance curve. The presence
of spurious modes in the shear-optimized impedance curve is also an indication of energy confinement.

Note that the shear-optimized mirror increased the maximum impedance at antiresonance by more
than a factor of 2 compared to the quarter-wave stack.
Furthermore, impedance plots of the device with the shear-optimized reflector stack obtained
from FEM simulations and measurements, shown in Figure 10.9, show spurious resonance peaks
besides the main peak. The appearance of spurs is a sign of acoustic energy confinement. Although
this is an undesired side effect, this is an indication that the acoustic energy is better confined in the
new reflector stack than in the conventional quarter-wavelength reflector stack. These spurs make
the proper extraction of a quality factor difficult. To extract the substrate losses, we should measure
the impedance curve of a very large resonator (unlike the small dimension of 300100m2) or
more practicalplot 1/QA versus perimeter/area [35,36]. A series of SMRs with area varying from
5050m2 up to 300300m2 were fabricated to assess the influence of area and perimeter on
resonator behavior and hence to extract the 1D QA.

1E2
Quarter wave
Shear optimized
8E3 Shear optimized with frame
Inverse quality factor 1/QA

region

6E3

4E3

2E3

0E+0
0.0E+0 2.0E+4 4.0E+4 6.0E+4 8.0E+4 1.0E+5 1.2E+5
Perimeter/area P/A (1/m)

FIGURE 10.10 Experimental data showing scaling of the quality factor at antiresonance, QAmBVD, for resona-
tors with quarter-wave and shear-optimized stack both with and without a frame region [7]. The 1D or extrapo-
lated value at the vertical axis gives us 1/QA of the active device (corresponding to QA= 1600 for quarter-wave
and QA=3300 for optimized stack); the slope of this (scattered) curve gives information about the parasitic QA
caused by perimeter/edge effects [35,36].
Bulk Acoustic Wave Resonators for Mobile Communication Systems 223

Figure 10.10 shows the scaling of the quality factor [35,36] for resonators at antiresonance QA
with a quarter-wave stack and a shear-optimized stack with and without a frame region. The QA
values are obtained from these experiments after an mBVD fit. The spread on 1/QA appears much
larger for the shear-optimized one than for the quarter-wave stack because spurious modes make
good extraction of Q difficult. The shear-optimized reflector alone does not give an improvement in
QA, for neither large nor small resonators. This is because the fraction of stored acoustic energy in
the shear waves is small, so an improvement of shear-wave reflection has little impact on the over-
all QA. Small resonators have more energy in shear waves but their main loss mechanism is edge
acoustic radiation rather than loss into the substrate.
The improvement in the Q factor can be seen when a frame region is applied for devices with
shear-optimized stacks. The combination of shear-optimized stacks with a frame region is a
known method to decrease loss due to lateral radiation at the device edge and thus to improve
QA for small devices [15]. However, for a frame-region device to work, shear wave reflection is
a necessary condition [44] as discussed in Section 10.6. The scattering of the graph is strongly
reduced by applying the frame region as reported in Refs. [35,39]. Optimizing the reflector stack
for energy confinement thus also allows a straightforward use of the frame region. The extracted
1D quality factor for a resonator with the optimized stack and the frame region is around 3300
and even for small devices QA values well above 1000 are found. Figure 10.10 thus shows that
shear wave reflection has increased and demonstrates the effectiveness of the proposed optimiza-
tion scheme.

10.6SUMMARY
In this chapter, an overview of the basics of BAW device physics was presented. The concept of the
BAW resonator was introduced and the two main BAW resonator configurations were explained.
The piezoelectric effect has been described as a property of certain materials in which applied
mechanical force results in a generated electric field in the structure and vice versa. The impedance
curve of a BAW resonator and its important parameters are explained. The piezoelectric effect is
responsible for the resonance peaks in the impedance curve.
The relevant models for the BAW resonators have been presented. The 1D Mason model is
described, which uses the transmission line concept in which the piezoelectric layer is a three-
port network having two acoustic ports and one electric port. The model describes the electrical
behavior for any mechanical load condition. The BVD model has also been discussed, which is a
circuit model consisting of lumped elements. The lumped nature of the circuit makes it suitable for
parameter extraction and design studies.
The key parameters for the BAW resonators, the quality factor, and the effective coupling coefficient
have been introduced. For practical applications, both a sufficiently high coupling and as large as pos-
sible Q values are the goal. However, there is a trade-off between the two. By choosing a high acoustic
impedance electrode, the effective coupling coefficient of a BAW device can be significantly improved.
Various loss mechanisms possible in BAW resonators are introduced and their association with
the quality factor is discussed. The loss mechanisms are mainly acoustic and electric losses. The
acoustic losses are again subdivided into losses such as acoustic leakage to the substrate and later-
ally escaping waves. The relation between the transmission of the acoustic waves in the reflector
stack and the Q factor is emphasized. The available methods for the experimental determination
of the reflector stack performance have also been summarized. BAW resonator measurements are
presented with an insight into the device layout and the measurement setup. Measurements on high-
Q SMRs have been explained.
224 MEMS: Fundamental Technology and Applications

ACKNOWLEDGMENTS
The author would like to thank R.J.E. Hueting, University of Twente, the Netherlands for his sup-
port in this work. Thanks also due to the former BAW team of NXP Semiconductors, Eindhoven,
the Netherlands for the fabricated devices.

REFERENCES
1. W. G. Cady, Piezoelectricity, New York: McGraw Hill, 1946.
2. H. P. Loebl, C. Metzmacher, R. F. Milsom, P. Lok, F. Van straten, and A. Tuinhout, RF bulk acoustic
resonators and filters, Kluwer Journal of Electroceramics, 12, 109118, 2004.
3. Y. Satoh, T. Nishihara, T. Yokoyama, M. Ueda, and T. Miyashita, Development of piezoelectric thin film
resonator and its impact on future wireless communication systems, Japanese Journal of Applied Physics
Part 1Regular Papers Brief Communications & Review Papers, 44(5A), 28832894, 2005.
4. B. A. Auld, Acoustic Fields and Waves in Solids, 1st ed., vol. 1, John Wiley & Sons, Inc., New York, 1973.
5. K. M. Lakin, Fundamental properties of thin film resonators, Proceedings of IEEE 45th Annual
Symposium on Frequency Control, pp. 201206, 1991.
6. R. Aigner, MEMS in RF filter applications: Thin-film bulk acoustic wave technology, Wiley Interscience:
Sensors Update, 12, 175210, 2003.
7. J. F. Werner and A. J. Dyer, The relationship between plateback, mass loading, and electrode dimensions
for AT-cut quartz crystals having rectangular resonators operating at fundamental and overtone modes,
Proceedings of the Annual Frequency Control Symposium, pp. 4053, 1976.
8. K. M. Lakin, G. R. Kline, and K. T. McCarron, Development of miniature filters for wireless applica-
tions, IEEE Transactions on Microwave Theory and Techniques, 43(12), 29332939, 1995.
9. K. M. Lakin, A review of thin-film resonator technology, IEEE Microwave Magazine, 4(4), 6167, 2003.
10. R. Ruby, Review and comparison of bulk acoustic wave FBAR, SMR technology, Proceedings of the
IEEE Ultrasonics Symposium, pp. 10291040, 2007.
11. F. Z. Bi and B. P. Barber, Bulk acoustic wave RF technology, IEEE Microwave Magazine, 9(5), 6580,
2008.
12. J. F. Rosenbaum, Bulk Acoustic Wave Theory and Devices, Artech House, London, 1988.
13. K. Hashimoto, RF Bulk Acoustic Filters for Communications, Artech House, Norwood, MA, 2009.
14. N. Setter, D. Damjanovic, L. Eng, G. Fox, S. Gevorgian, S. Hong, A. Kingon et al., Ferroelectric thin
films: Review of materials, properties, and applications, Journal of Applied Physics, 100, 051606-1
051606-46, 2006.
15. R. Aigner, Bringing BAW technology into volume production: The ten commandments and the
seven deadly sins, IEEE International Symposium for Acoustic Wave Development for Future Mobile
Communication Systems, 2007.
16. J. S. Wang and K. M. Lakin, Sputtered AlN films for bulk-acoustic-wave devices, Proceedings of the
IEEE Ultrasonics Symposium, pp. 502505, 1981.
17. K. M. Lakin, J. S. Wang, G. R. Kline, A. R. Landin, Y. Y. Chen, and J. D. Hunt, Thin film resonators and
filters, Proceedings of the IEEE Ultrasonics Symposium, pp. 466475, 1982.
18. W. P. Mason, Physical Acoustics Principles and Methods, vol. 1A, Academic Press, New York, 1964.
19. J. D. Larson, P. Bradley, S. Wartenberg, and R. Ruby, Modified Butterworth Van Dyke circuit for FBAR
resonators and automated measurement system, Proceedings of the IEEE Ultrasonics Symposium, pp.
864868, 2000.
20. K. M. Lakin, Modeling of thin film resonators and filters, Proceedings of the IEEE MTT-S International
Microwave Symposium Digest, vol. 1, pp. 149152, 1992.
21. K. M. Lakin, G. R. Kline, and K. T. McCarron, High-Q microwave acoustic resonators and filters, IEEE
Transactions on Microwave Theory and Techniques, 41, 21392146, 1993.
22. D. M. Pozar, Microwave Engineering, 3rd ed., Hoboken, New Jersey: John Wiley & Sons, Inc., 2007.
23. S. Marksteiner, J. Kaitila, G. G. Fattinger, and R. Aigner, Optimization of acoustic mirrors for solidly
mounted BAW resonators, Proceedings of the IEEE Ultrasonics Symposium, pp. 329332, 2005.
24. H. Jaffe and P. L. Smith, IRE standards on piezoelectric crystalsThe piezoelectric vibratorDefinitions
and methods of measurement, Proceedings of the Institute of Radio Engineers, vol. 45, pp. 353358, 1957.
25. T. Pensala, R. Thalhammer, J. Dekker, and J. Kaitila, Experimental investigation of acoustic substrate
losses in 1850-MHz thin film BAW resonators, IEEE Transactions on Ultrasonics, Ferroelectrics, and
Frequency Control, 56(11), 25442552, 2009.
Bulk Acoustic Wave Resonators for Mobile Communication Systems 225

26. K. Nam, Y. Park, B. Ha, D. Shim, and I. Song, Piezoelectric properties of aluminum nitride for thin film
bulk acoustic wave resonator, Journal of Korean Physics Society, 47, s309s312, 2005.
27. G. G. Fattinger, BAW resonators design considerationsAn overview, Proceedings of the IEEE
International Frequency Control Symposium, pp. 762767, 2008.
28. J. Kaitila, Review of wave propagation in BAW thin film devices progress and prospects, Proceedings of
the IEEE Ultrasonics Symposium, pp. 120129, 2007.
29. D. A. Berlincourt, D. R. Curran, and H. Jaffe, Piezoelectric and piezomagnetic materials and their func-
tion as transducers, In Physical Acoustics, W. P. Mason, ed. New York: Academic Press, 1964.
30. S. Chang, N. Rogacheva, and C. Chou, Analysis of methods for determining electromechanical coupling
coefficients of piezoelectric elements, IEEE Transactions on Ultrasonics, Ferroelectrics, and Frequency
Control, 42(4), 630640, 1995.
31. IEEE Standard on Piezoelectricity, ANSI/IEEE Standard 176-1987, 1988.
32. K. M. Lakin, J. Belsick, J. F. McDonald, and K. T. McCarron. Improved bulk wave resonator coupling
coefficient for wide bandwidth filters, Proceedings of the IEEE Ultrasonics Symposium, pp. 827831,
2001.
33. J. Olivares, E. Wegmann, M. Clement, J. Capilla, E. Iborra, and J. Sangrador, Assessment of solidly
mounted resonators with wide-band asymmetric acoustic reflectors, Proceedings of the IEEE Ultrasonics
Symposium, pp. 16771680, 2010.
34. R. Aigner, Volume manufacturing of BAW-filters in a CMOS fab, Proceedings of the International
Symposium on Acoustic Wave Devices for Future Mobile Communications Systems, pp. 129134, 2004.
35. J. W. Lobeek, R. Strijbos, A. B. M. Jansman, N. X. Li, A. B. Smolders, and N. Pulsford, High-Q BAW
resonator on Pt/Ta2O5/SiO2-based reflector stack, Proceedings of the IEEE Microwave Symposium, pp.
20472050, 2007.
36. R. Strijbos, A. B. M. Jansman, J. W. Lobeek, N. X. Li, and N. Pulsford, Design and characterization of
high-Q solidly-mounted bulk acoustic wave filters, Proceedings of the IEEE Electronic Components and
Technology Conference, pp. 169174, 2007.
37. S. H. Lee, K. H. Yoon, and J. K. Lee, Influence of electrode configurations on the quality factor and
piezoelectric coupling constant of solidly mounted bulk acoustic wave resonators, Journal of Applied
Physics, 92, 40624069, 2002.
38. J. W. Lobeek and A. B. Smolders, Design and industrialization of solidly mounted BAW filters, 2006
IEEE MTT-S International Microwave Symposium Digest, vols 15, pp. 386389, 2006.
39. S. Jose, A. B. M. Jansman, R. J. E. Hueting, and J. Schmitz, Optimized reflector stacks for solidly
mounted bulk acoustic wave resonators, IEEE Transactions on Ultrasonics, Ferroelectric, and Frequency
Control, 57(12), 27532763, 2010.
40. R. Thalhammer and R. Aigner, Energy loss mechanisms in SMR-type BAW devices, Proceedings of the
IEEE MTT-S International Microwave Symposium Digest, pp. 225228, 2005.
41. T. Pensala, Thin film bulk acoustic wave devices. Performance Optimization and Modeling, PhD
Dissertation, VTT Helsinki, Finland, 2011.
42. R. Lanz, M. A. Dubois, and P. Muralt, Solidly mounted BAW filters for the 68GHz range based on AlN
thin films, Proceedings of the Ultrasonics Symposium, pp. 843846, 2001.
43. S. Marksteiner, G. G. Fattinger, R. Aigner, and J. Kaitila, Acoustic reflector for a BAW resonator providing
specified reflection of both shear wave and longitudinal waves, US Patent: 006933807B2, August 2005.
44. G. G. Fattinger, S. Marksteiner, J. Kaitila, and R. Aigner, Optimization of acoustic dispersion for high
performance thin film BAW resonators, Proceedings of the IEEE Ultrasonics Symposium, pp. 1175
1178, 2005.
45. K. Kokkonen, Laser interferometers in physical acoustics, Proceedings of the IEEE Ultrasonics
Symposium, pp. 10361043, 2009.
46. K. Kokkonen, T. Pensala, and M. Kaivola, Interferometric measurements of dispersion curves and trans-
mission characteristics of the acoustic mirror in thin film BAW resonator, Proceedings of the IEEE/
MTT-S International Microwave Symposium, pp. 20712074, 2007.
47. K. Kokkonen, T. Pensala, and M. Kaivola, Dispersion and mirror transmission characteristics of bulk
acoustic wave resonators, IEEE Transactions on Ultrasonics, Ferroelectrics, and Frequency Control, 58,
215225, 2011.
48. T. Pensala and M. Ylilammi, Spurious resonance suppression in gigahertz-range ZnO thin-film bulk
acoustic wave resonators by the boundary frame method: Modeling and experiment, IEEE Transactions
on Ultrasonics, Ferroelectrics, and Frequency Control, 56, 17311744, 2009.
49. J. Kaitila, M. Ylilammi, J. Ella, and R. Aigner, Spurious resonance free bulk acoustic wave resonators,
Proceedings of the IEEE Ultrasonics Symposium, pp. 8487, 2003.
226 MEMS: Fundamental Technology and Applications

50. A. Link, E. Schmidhammer, H. Heinze, M. Mayer, B. Bader, and R. Weigel, Appropriate methods to
suppress spurious FBAR modes in volume production, IEEE MTT-S Microwave Symposium Digest, pp.
394397, 2006.
51. R. Thalhammer, J. Kaitila, S. Zieglmeier, and L. Elbrecht, Spurious mode suppression in BAW resona-
tors, Proceedings of the IEEE Ultrasonics Symposium, pp. 456459, 2006.
52. S. Jose, R. J. E. Hueting, and A. B. M. Jansman, On the rule of thumb for flipping of the dispersion rela-
tion in BAW devices, Proceedings of the IEEE Ultrasonics Symposium, October 2011.
53. H. Campenella, Acoustic Wave and Electromechanical Resonators: Concepts to Key Applications, Artech
House, Norwood, MA, 2010.
54. Rohde & Schwartz International Network Analyzers, http://www2.rohde-schwarz.com.
55. E. Schmidhammer, B. Bader, W. Sauer, M. Schmiedgen, H. Heinze, C. Eggs, and T. Metzger, Design
flow and methodology on the design of BAW components, IEEE MTT-S International Symposium Digest,
pp.233236, June 2005.
56. S. Jose, Reflector stack optimization for bulk acoustic wave resonator, PhD Dissertation, University of
Twente, Enschede, 2011, ISBN:978-90-365-3297-6.
57. Comsol Multiphysics, Version 3.5, 2009 (www.comsol.com).
11 Wideband Ultrasonic
Transmitter and Sensor Array
for In-Air Applications
J. R. Gonzalez, Mohamed Saad, and Chris J. Bleakley

CONTENTS
11.1 Introduction........................................................................................................................... 227
11.2 Ultrasonic Transducer Technology........................................................................................ 228
11.2.1 Piezoelectric Transducers.......................................................................................... 228
11.2.2 PVDF Transducers..................................................................................................... 229
11.2.3 EMFi Transducers..................................................................................................... 230
11.2.4 Capacitive MEMS Ultrasonic Transducers............................................................... 230
11.3 Wideband Transducers.......................................................................................................... 230
11.3.1 Piezoelectric Bandwidth Modification...................................................................... 231
11.3.2 CMUT Sensor Array................................................................................................. 234
11.4 Evaluation.............................................................................................................................. 236
11.4.1 Method....................................................................................................................... 236
11.4.2 Results........................................................................................................................ 237
11.5 Application............................................................................................................................240
11.5.1 Local Positioning System.......................................................................................... 241
11.5.2 Signal-Processing Algorithm..................................................................................... 241
11.5.3 Experimental Results................................................................................................. 243
11.6 Conclusion............................................................................................................................. 245
Acknowledgments........................................................................................................................... 245
References....................................................................................................................................... 245

11.1INTRODUCTION
Ultrasonic technology has been increasing in importance in the recent years. It has been shown to
be effective in a range of applications, including range estimation (Today and Dahl 2007), indoor
local positioning systems (LPSs) (Hazas and Hopper 2006), and nondestructive testing (Dobie etal.
2011). Demand for improved performance in these applications has created new requirements that
are difficult to fulfill using commonly available ultrasonic transducers. Most commonly available
ultrasonic transducers have a narrowband response, which makes them unsuitable to wideband
applications. In recent years, wideband ultrasonic transducers have been developed using polyvinyl-
idene fluoride (PVDF) materials (Fiorillo 1992, Bloomfield etal. 2000). However, these transducers
require a high supply voltage and only achieve short-range signaling, making them unsuitable for
mobile and low-power applications. Other researchers have proposed the use of electromechanical
film (EMFi) transducers, but these are not commercially available (Barna etal. 2007).

227
228 MEMS: Fundamental Technology and Applications

In this chapter, we describe our research on wideband piezoelectric transducers and microelec-
tromechanical system (MEMS) sensors for ultrasonic in-air applications. The transducers and sen-
sors described are compact, low-cost, and have low power consumption (Gonzalez and Bleakley
2011). These characteristics enable their use in mass market mobile applications. Furthermore, their
wide bandwidth enables the introduction of frequency-hopped spread spectrum (FHSS) modula-
tion, significantly improving signaling robustness and increasing the update rate (Gonzalez and
Bleakley 2009). The small size of the sensors allows the construction of compact uniform circu-
lar arrays (UCAs) to improve sensitivity and provide accurate angle of arrival (AoA) estimation.
We describe the application of the transducers and sensors in a prototype LPS. The LPS achieves
submillimeter-range accuracy (Saad etal. 2011) and high-accuracy AoA estimation using a 7-mm
diameter UCA of sensors (Gonzalez and Bleakley 2009).
In Sections 11.2 through 11.4, we review previous work by other authors on in-air ultrasonic
transducers, we describe the wideband transducers and sensor arrays investigated in our work, and
we evaluate their performance, respectively. In Section 11.5, we describe the use of the transducers
and sensor arrays in an indoor ultrasonic LPS. Section 11.6 concludes the chapter.

11.2 ULTRASONIC TRANSDUCER TECHNOLOGY


There are four main categories of ultrasonic in-air transducerpiezoelectric, PVDF, EMFi, and
capacitive MEMS ultrasonic transducer (CMUT). These are reviewed in the context of wideband
mobile applications in the following sections.

11.2.1 Piezoelectric Transducers


Piezoelectric ultrasonic transducers are typically zirconate titanate polymer or composite materi-
als (Gururaja etal. 1985). Typically, piezoceramic transducers have high electrical-to-mechanical
efficiency, narrow bandwidth, and high impedance, and offer a range of options for characteris-
tic modification in production. The technology is very cost-effective for large-scale production.
However, owing to their narrow bandwidth (usually 13kHz), they are not suitable for broadband
applications. However, they do offer simple electronic polarization and have low supply voltage
requirements. Hence, they have been widely used in narrowband indoor location systems, such as in
Cricket (Priyantha etal. 2000) and Bats (Harter etal. 2002).
Piezoelectric transducers rely on a mechanical phenomenon called piezoelectricity, a lineal
phenomenon that converts the mechanical tension in the material to an induced voltage, and vice
versa. This phenomenon makes the piezoelectric material vibrate according to the applied voltage.
There are two main resonance modes (Gururaja etal. 1985): thickness and planar. Thickness mode
resonance, as shown in Figure 11.1a, produces longitudinal waves, giving acoustic signals at low
frequency, usually less than 1MHz. Planar mode resonance, as shown in Figure 11.1b, produces
radial vibrations, giving acoustic signals at high frequency, usually greater than 1MHz. There are

(a) (b)

V V

FIGURE 11.1 Resonant modes in piezoelectric transducers: (a) thickness mode; (b) planar mode.
Wideband Ultrasonic Transmitter and Sensor Array for In-Air Applications 229

other spurious resonance modes, such as lateral mode resonances, which are out of the scope of this
chapter, but are explained in Gururaja etal. (1985).
Commonly used procedures for increasing bandwidth (Kossoff 1966) are based on mechanically
damping the piezoelectric element with a well-matched medium that reduces the elements sensitiv-
ity, or on using an impedance matching layer of thickness /4 and impedance equal to the geometric
mean of the transducerload impedance. Other work on bandwidth modification for piezoelectric
transducers has focused on finding new piezoelectric materials that provide better properties, such
as broader bandwidth and matched acoustic impedance (Cochran etal. 1997, 1999, Smith 1989).
The results show slight improvements but not enough to call the transducers broadband. Some
researchers (Takeuchi etal. 2002) have investigated increasing transducer bandwidth by modifying
the materials to obtain a double resonance peak for harmonic imaging, increasing the bandwidth
and resolution in imaging applications. These procedures are difficult to replicate and do not pro-
vide a significant bandwidth improvement. In contrast to these approaches, the method described
herein does not modify the internal structure of the transducer, but adds passive components that
are easy to replicate and provides a wide bandwidth response.
Piezoceramic transducers are usually made from polycrystal materials. The piezoelectric prop-
erties of these crystals are obtained by applying a high voltage to them (usually several kV/mm)
during the production process. This orients the dipoles in the direction necessary to provide the
desired piezoelectric properties. A number of publications have described research on piezoelectric
composite materials for low-frequency applications (<40kHz) (Newnham etal. 1978, Skinner etal.
1978, Klicker et al. 1981). Higher frequencies are used for medical diagnostics and nondestructive
evaluation (110MHz).
One of the greatest problems in using piezoceramic transducers in air is that the transducer and
medium impedance are badly matched. The transducer impedance determines the Q factor, which
determines the bandwidth of the transducer. This mismatch means that the coupling of the acoustic
energy at the transducerload interface is very poor. This limits the maximum achievable sound
pressure level (SPL), decreasing signaling range for a given signal-to-noise ratio (SNR). Also, the
high Q (narrow bandwidth) causes a slow pulse-rise time and prolonged ring-down, reducing resolu-
tion in ranging applications.

11.2.2 PVDF Transducers


PVDF was patented by Ford and Hanford in 1948 (Ford and Hanford 1948). Since then, there has
been a great deal of development work on ultrasonic devices that take advantage of the inherent
properties of piezoelectric polymers, that is, their relatively good acoustic impedance match to
water and tissue, their flexible form, availability in large sheets, broadband acoustic performance,
and ability to be dissolved and coated onto various substrates.
A number of papers have developed the theory for building transducers based on PVDF materi-
als (Fiorillo 1992, Brown 2000, Toda 2002). In addition, several papers have studied the acoustic
and electric characteristics of PVDF transducers (Lan et al. 1999, Bloomfield etal. 2000).
Because of the difficulties in creating an efficient PVDF transducer, there are few applications
that make use of PVDF materials. Recently, the commercial availability of PVDF cylindrical trans-
ducers, such as the US40KT-01 transducer from MSI (MSI 2001), has increased the number of
applications that exploit this material. For example, Jimenez and Seco (2005) used the MSI trans-
ducer to improve object position and contour estimation in outdoor environments. Villadangos etal.
(2007) used the transducer to improve coverage in an indoor location system. However, the mechan-
ical setup required in a PVDF transducer, due to the PVDF film length variation, makes it difficult
to use in custom acoustic applications. Also, the high polarization voltage needed means that they
are not ideal for low-power applications. The maximum range that transducers, based on PVDF, can
achieve is comparable to the range provided by the piezoelectric transducers, having a typical mean
SPL of 110dB (MSI 2001).
230 MEMS: Fundamental Technology and Applications

11.2.3EMFi Transducers
EMFi is a low-cost thin film of microporous polypropylene foam with high resistivity and per-
manent charge due to being polarized by the corona method. The resultant inner air voids act as
dipoles, which make it particularly sensitive to forces normal to its surface. When glued to a rigid
substrate and excited by an external voltage, EMFi can be used as an actuator, operating in thick-
ness mode without the influence of the substrate geometry (Ealo etal. 2006, 2008). EMFi has been
used to build acoustic transducers, such as physiological sensors (Alametsa etal. 2006), keyboards
(Sorvoja et al. 2005), and force position sensors (Evreinov and Raisamo 2005). The usable fre-
quency range of an EMFi film for in-air applications begins at audible frequencies and extends up
to its measured resonance frequency of 300kHz.
The supply voltage of around 110Vpp (Ealo etal. 2006) makes EMFi materials only suitable
for fixed devices for which power consumption is not a major issue. However, even in these applica-
tions, power can be an issue if a high density of transducers is needed. These high voltages are not
acceptable in mobile devices, which require low power consumption for long battery life.
There are no commercially available EMFi-based transducers. EMFi films are available, so
building an EMFi transducer requires transducer prototyping using a film (Jimenez et al. 2007,
Karki and Lekkala 2008).

11.2.4Capacitive MEMS Ultrasonic Transducers


Recently, MEMS, or more specifically, capacitive MEMS ultrasonic transducers (CMUTs), have
emerged as an alternative technology offering advantages such as wide bandwidth, ease of fabricat-
ing large arrays, and potential for integration. Sensors, actuators, and signal-processing components
can be integrated into miniaturized smart systems, capable of performing tasks that previously
needed the use of a range of fabrication processes (Schuenemann et al. 2000, Sarihan etal. 2008).
MEMS technology has demonstrated its economic strength in batch fabrication of large volumes of
more or less identical devices (Tummala and Madisetti 1999).
CMUTs are fabricated using standard silicon integrated circuit fabrication technology (Schubring
and Fujita 2007). This technology makes it possible to create large arrays using simple photo
lithography. Two-dimensional CMUT arrays with as many as 128128 elements have already
been successfully fabricated and characterized (Cheng etal. 2000). Individual electrical connec-
tions to transducer elements are provided by through-wafer interconnects. Another feature, inher-
ent to CMUT technology, is its wide bandwidth. A wideband transducer does not simply increase
resolution, but it also enables the design of new applications and tools. CMUTs are promising for
high-frequency applications, such as intravascular ultrasound imaging, in which high-frequency
operation using miniature probes is vital. CMUTs operating at frequencies as high as 60MHz have
been fabricated and tested successfully.
MEMS ultrasonic transducers are commercially available, for example, SPM0204 from Knowles
Acoustics. They provide good sensitivity as well as a nearly flat response between 10 and 70kHz.
Their size, 4.723.761.15mm3, makes them useful for compact ultrasonic applications.

11.3 WIDEBAND TRANSDUCERS


Because of their low circuit complexity and low supply voltage, piezoelectric transducers are a very
good option for low-power applications. MEMS sensors are small in size, making them suitable for
array-processing applications. Also, their wide bandwidth, high sensitivity, and low supply volt-
age make them a good choice for implementation in MDs. In this section, we consider the use of
piezoelectric transducers and MEMS sensors as wideband ultrasonic transmitters and receivers for
mobile applications.
Wideband Ultrasonic Transmitter and Sensor Array for In-Air Applications 231

11.3.1 Piezoelectric Bandwidth Modification


A piezoelectric transducer can be modeled using an equivalent electronic representation. Several
models have been proposed (Mason 1935, Church and Pincock 1985, Ymada etal. 1999). A simple
but efficient representation, that is, one that captures the devices performance with acceptable
error, is the Mason circuit (Mason 1935) shown in Figure 11.2. The series and parallel resonance
frequencies of the circuit, for both transmitter and receiver operation, are given by

1
fs = (11.1)
2p Ls Cs

1 (11.2)
fp =
2p Ls (CsC p / (Cs + C p ))

The piezoelectric resonant frequency is either the series resonance frequency fs, the minimum
impedance frequency f m, or the smallest of fs and f m, called frr. Usually, the three of these are similar,
so f m is taken to be the resonant frequency fr, because it can be easily calculated.
The acoustic power transmitted by the transducer is proportional to the power dissipated in the
resistor. Using the Laplace transform, the current through the resistor Rs is defined as follows:

Vin
IM = (11.3)
Ls s + (1/Cs s ) + Rs

IM (1/Ls )s (11.4)
= 2
Vin s + ( Rs /Ls )s + 1/LsCs

By defining wn and as

1 R Cs
wn2 = , x= s (11.5)
Ls C s 2 Ls

(a) (b)

Rs Rs

Vin Cp Ls Cp Vout

Ls
Cs

Cs Vin

FIGURE 11.2 Piezoelectric equivalent circuit: (a) transmitter; (b) receiver.


232 MEMS: Fundamental Technology and Applications

Equation 11.4 can be expressed as

(1/Ls )s
Y (s ) = (11.6)
s 2 + 2xw n + w n2

which has the same structure as a bandpass filter, with wn as the filters resonant frequency and as
a factor related to the filters bandwidth.
If an inductance and a resistor are added to the circuit, as shown in Figure 11.3, a second peak
appears in the frequency response. If this second peak is correctly chosen, the transducers band-
width is increased, but its sensitivity is slightly reduced. The Laplace response Y1(s) is then

1 1
Rs + Ls s + C s C s = X s X p (11.7)
s p

Ls s + Rs + 1/Cs s
Xs  X p = (11.8)
C p Ls s 2 + C p Rs s + (Cs + C p ) /Cs

Xs  X p 1
Y1 (s ) = . (11.9)
X s  X p + RL + LL s Rs + Ls s + 1/Cs s

where || is the parallel equivalent impedance, Xs is the equivalent series impedance of Rs, Ls, and Cs,
and Xp is the equivalent impedance of Cp.
Figure 11.4 shows the effect of inductance and resistor values on the compensated frequency
response. The thick black line represents the unmodified frequency response. The thin lines repre-
sent the modified frequency responses. As can be seen, the inductance controls the frequency of the
secondary peak, and the resistance controls the amplitude of the peaks.
This procedure can be applied to the receiver by adding the inductor and resistor in parallel to
the equivalent circuit as shown in Figure 11.5. This provides results similar to those obtained for
the transmitter. Cp is calculated as follows making use of the transducer impedance Z at a given
frequency f:

1
Cp = (11.10)
|Z | 2pf

LL
RL
Rs

Cp

Ls

Cs

FIGURE 11.3 Compensated piezoelectric equivalent circuit.


Wideband Ultrasonic Transmitter and Sensor Array for In-Air Applications 233

(a) (b)
20 20
100
10 10
100
Response (db)

Response (db)
0 0

10 10
2 k 2 k

20 20

30 30
25 30 35 40 45 50 55 25 30 35 40 45 50 55
Frequency (kHz) Frequency (kHz)

FIGURE 11.4 Frequency response of compensated (thin) and noncompensated (thick) transducers for
R=1002k: (a) L c=5mH; (b) L c=10mH.

Rs

RL LL
Ls Cp

Cs

Vin

FIGURE 11.5 Compensated receiver piezoelectric equivalent circuit.

To obtain the impedance of the transducer for various frequencies, the circuit shown in Figure
11.6 is used, and the voltage Vm is calculated. As the impedance of R is known, the current can be
easily obtained, and the voltage in the transducer can be calculated as Vtx=VinVm. Once the paral-
lel capacitance Cp is obtained, the equivalent series resistance is calculated as

|Z |
Rs = (11.11)
1 (| Z | C p 2pfm )2

Use is made of Cp and the impedance at the resonant frequency to determine Rs. The resonant
frequency is the one with maximum impedance, which means that voltage is maximum:

1
fm = (11.12)
2p Cs Ls

234 MEMS: Fundamental Technology and Applications

Im

Tx

Vin

1.014 k Vm

FIGURE 11.6 Transducer test circuit.

0.45
Ls = 100 mH
0.4 Ls = 130 mH
Ls = 160 mH
Ls = 190 mH
0.35 Ls = 220 mH
Ls = 250 mH
0.3

0.25
Cs (pF)

0.2

0.15

0.1

0.05

0
25 30 35 40 45 50 55 60
Frequency (kHz)

FIGURE 11.7 Parametric C and L values for various frequencies.

Ls and Cs can be calculated using Equation 11.12, which relates them to the resonant frequency.
Parametric plots are provided in Figure 11.7 for various values of Cs and Ls and frequencies between
25 and 60kHz.

11.3.2CMUT Sensor Array


Array signal processing has been used in many applications. Beamforming techniques have been
used to improve system performance (Veen and Buckley 1988) and to extract additional informa-
tion from an impinging signal (Krim and Viberg 1996, Trees 2002). In Rong and Sichitiu (2006)
and Niculescu and Nath (2003), location is estimated in wireless sensor networks by computing
the AoA of the exchanged signals. A triangular-shaped ultrasonic receiver array was proposed and
tested in Cricket Compass (Priyantha etal. 2001). The array is large (21mm) and suffers from phase
ambiguities.
AoA estimators are based on estimating the phase difference between signals arriving at two
different sensors. The maximum unambiguous phase difference between two sensors is . If D is
the separation between two sensors, then we must have Dc/2f=/2 to provide a phase differ-
ence of less than or equal to . As can be seen in Table 11.1, for low-frequency ultrasonic signals,
that is, <45kHz, the maximum separation between sensors is 3.81mm. The distance decreases
to 1.8mm for a 95-kHz signal. Commonly, ultrasonic receivers have a diameter greater than that
Wideband Ultrasonic Transmitter and Sensor Array for In-Air Applications 235

TABLE 11.1
Maximum Distance between Sensors for c=343m/s
Frequency (kHz) Wavelength (mm) Maximum Distance (mm)
25 13.72 6.86
35 9.80 4.90
45 7.62 3.81
65 5.27 2.64
95 3.61 1.80

which would allow construction of an ultrasonic array. If this maximum sensor separation require-
ment is not met, the beamforming or AoA estimation process becomes ambiguous. The system
is unable to correctly estimate the phase delays, and multiple solutions are possible rather than a
single solution. Using CMUT sensors allows smaller arrays that can support array signal processing
without ambiguities.
If the signal arrives at the array from direction (,), where is the source elevation and is the
source azimuth, then the received signal at the center of the array can be described as

xref (t ) = a(t )exp( jw 0 t ) (11.13)


where a(t) is the complex envelope of the signal. The signal received at sensor m is defined as

xm (t ) = a(t )exp( jw 0 t )exp( j m ) (11.14)


where m is the phase delay between the signal at sensor m and the central sensor. Let us assume
that a set of Ns signals arrive at an array from direction [n,n], n= 1,. . .,Ns. The received signal at
each sensor at an instant n is called a snapshot and is defined as (Krim and Viberg 1996)

X n = AS + wn (11.15)

S1 (n)
S ( n)
S =
2
(11.16)


S NS (n)


( ) ( ) (
A = a1 V1 ,f1 , a2 V2 ,f2 ,, aNS VNS ,fNS

) (11.17)

where Si(n) is the complex envelope of each of the NNS signals at time n, A is a matrix containing
the AoA of each signal, and wn is an independent white Gaussian noise at each sensor. The snapshot
is basically the sum of contributions of a set of sources plus noise. Most AoA estimators operate
on the covariance matrix of the received snapshots. The covariance matrix R is ideally obtained by
calculating the correlations between the sensors and placing the results in an MM matrix, where
M is the number of sensors in the array:

R = E X n X nH (11.18)

236 MEMS: Fundamental Technology and Applications

In practical applications, the number of observations is limited to the number of snapshots Nsnap.
In this case, the covariance matrix is estimated according to

N snap 1
1
R =
N
q=0
X n q X nH q (11.19)

11.4EVALUATION
This section describes characterization of four modified transmitters and a receiver array.

11.4.1 Method
The transducers chosen for modification were Prowave models: 250ST180 (25kHz), 328ET250
(32kHz), 400ET180 (40kHz), and 400EP900 (50kHz) (Prowave). The first step in the modifica-
tion process was obtaining the equivalent circuit parameters. These parameters were calculated
based on the voltage and impedance of the transducer at a range of frequencies. The circuit shown
in Figure 11.6 was built to obtain these measurements. The test circuit was connected to a signal
generator applying a 15Vpp sinusoidal wave signal to the transducer with a resistor R=1.014k
in series. Frequency response characterization was performed by placing the transmitter and an
SPM0204 receiver face-to-face and sending sinusoidal pulses between them from 10 to 50kHz in
steps of 100Hz. For each frequency, the mean amplitude was calculated and used as a data point in
the measured frequency response. The results were corrected to allow for the receiver response. The
frequency response of which is given in the datasheet (Knowles Acoustics).
The design process had an optimization criterion of maximizing the bandwidth at 15dB. The
transducer parameters were applied to the optimization procedure to find the inductance value that
provides two resonance peaks equally separated from the original narrow peak. Once the induc-
tance value was chosen, so as to provide a modified frequency response centered on the original
resonance, an iterative procedure was applied to search for the resistance providing maximum band-
width at 15db, ideally with the smallest resonance peak variations, to ensure small variations in
the frequency response within the usable bandwidth. The circuit was constructed and the frequency
response of the bandwidth-modified transducer was measured.
The receiver array was constructed using eight Knowles Acoustics SPM0204 sensors fixed to a
printed circuit board, configured as shown in Figure 11.8. The separation between the sensors is

(a) (b)

5.417 mm
4.1
46
mm

FIGURE 11.8 Antenna array: (a) footprint; (b) photograph.


Wideband Ultrasonic Transmitter and Sensor Array for In-Air Applications 237

4.71mm, allowing a maximum frequency of 40kHz without ambiguity. The voltage supply neces-
sary for the sensors is 3.3V, and the current consumption varies between 0.1 and 0.25mA, as stated
in the datasheet (Knowles Acoustics). A signal conditioning and amplification circuit was imple-
mented to boost the signals to levels suitable for processing. The mutual coupling and mismatch
between channels in the array were measured.
Finally, the SNR achieved between the transmitter and the receiver was measured for a variety
of ranges. A 14Vpp sinusoid at 40kHz was applied to the transducer. The receiver was supplied by
a 3.6-V battery. The mean Vpp was calculated for the background noise and the signal.

11.4.2 Results
Tables 11.2 and 11.3 show the results obtained when measuring voltage and impedance for the trans-
ducers using the circuit given in Figure 11.6, with R=1.014k. Table 11.4 gives the equivalent cir-
cuit component values for all transducers. Figure 11.9 shows the estimated compensated frequency

TABLE 11.2
Transducer Voltage for Various Excitation Frequencies
Frequency (kHz) Vtx (25kHz) (Vpp) Vtx (32kHz) (Vpp) Vtx (40kHz) (Vpp) Vtx (48kHz) (Vpp)
20.0 6.70 7.00 7.20 7.00
25.0 5.20 6.70 6.44 6.40
27.5 8.68 6.30 6.30 6.20
30.0 7.60 5.80 6.00 5.90
32.5 6.45 4.80 5.55 5.60
35.0 5.95 9.02 5.00 5.20
40.0 4.00 6.00 3.20 4.50
42.5 6.80 5.50 8.40 4.40
45.0 6.80 5.20 5.70 4.20
47.5 5.70 4.80 5.20 3.90
50.0 5.20 4.60 4.80 3.60
55.0 4.50 4.20 4.40 8.10
60.0 4.05 3.60 3.85 7.00

TABLE 11.3
Transducer Impedance Measurements for Various Excitation Frequencies
Frequency (kHz) Z (25kHz) (k) Z (32kHz) (k) Z (40kHz) (k) Z (48kHz) (k)
20.0 2.06 2.37 2.26 2.37
25.0 1.10 2.06 1.83 1.80
27.5 6.67 1.73 1.73 1.65
30.0 3.21 1.40 1.52 1.46
32.5 1.84 0.94 1.24 1.29
35.0 1.49 9.13 1.01 1.10
40.0 0.68 1.52 0.48 0.83
42.5 1.02 1.24 5.32 0.80
45.0 2.16 1.10 1.34 0.73
47.5 1.35 0.94 1.10 0.65
50.0 1.10 0.86 0.94 0.57
55.0 0.83 0.73 0.80 4.06
60.0 0.69 0.57 0.63 2.37
238 MEMS: Fundamental Technology and Applications

TABLE 11.4
Transducer Equivalent Circuit Component Values
Tx Model Cp (nF) Rs (k) Cs (nF) Ls (mH)
250ST180 3.84 2.65 0.25 160
328ET250 4.65 2.08 0.15 160
400ET180 3.05 1.95 0.10 160
400EP900 3.36 2.77 0.07 160

(a) (b)
Frequency response (Lc = 5 mH) Frequency response (Lc = 8 mH)
20 0
Frequency response (db)

Frequency response (db)


100

0 100 10

2 k
20 2 k 20

40 30
25 30 35 40 45 15 20 25 30 35
f (kHz) f (kHz)
(c) (d)
Frequency response (Lc = 5 mH) Frequency response (Lc = 5 mH)
20 0
Frequency response (db)

Frequency response (db)

100
10
0 100
20 2 k
20 2 k
30

40 40
30 35 40 45 50 40 45 50 55 60
f (kHz) f (kHz)

FIGURE 11.9 Estimated modified frequency response for transducer, RL from 100 to 2k: (a) 250ST180;
(b) 328ET250; (c) 400ET180; (d) 400EP900.

response for the transducers modeled with the component values listed in Table 11.4. The effect
of the compensation process on all of the transducer responses can be clearly seen. The circuits
provide significant improvements in bandwidth, achieving a bandwidth of 1015kHz, depending
on the transducer. Figure 11.10 shows the results of the modifications for the four real transducers.
It can be seen that the measurements match the estimates very closely. The second peak is visible
in all cases.
Table 11.5 gives the voltage received in all channels when only one sensor in the array is
receiving signals. With the information in this table, the mutual coupling matrix was computed
(Veen and Buckley 1988, Krim and Viberg 1996). The results are presented in Equation 11.20.
The contribution to one channel from the others is very small, allowing for precise array signal
processing.
Wideband Ultrasonic Transmitter and Sensor Array for In-Air Applications 239

(a) 10 (b) 0

10

Frequency response (dB)

Frequency response (dB)


20

20
30
30
40
40
50 50
250ST180 328ET250
250ST180-comp 328ET250-comp
60 60
10 20 30 40 50 10 20 30 40 50
Frequency (kHz) Frequency (kHz)

(c) 0 (d) 5

10
Frequency response (dB)

Frequency response (dB)


10
20

30 15

40
20
50
400ET180 400EP900
400ET180-comp 400EP900-comp
60 25
10 20 30 40 50 10 20 30 40 50 60
Frequency (kHz) Frequency (kHz)

FIGURE 11.10 Measured modified frequency response for transducers: (a) 250ST180, (b) 328ET250,
(c)400ET180, and (d) 400EP900.

1 0.04 0.02 0.03 0.02 0.03


0.03 1 0.06 0.01 0.01 0.03

0.04 0.05 1 0.05 0.01 0.08
G 40 kHz = (11.20)
0.08 0.05 0.08 1 0.08 0.08
0.03 0.02 0.03 0.08 1 0.02

0.02 0.03 0.01 0.03 0.03 1

Table 11.6 shows the mismatch between channels. The first column is the amplitude of the
received signal at each channel. The second column is the deviation in amplitude of each channel
with respect to the reference channel CHr in %. The third column is the deviation in the continuous
component with respect to the reference channel, in volts. As can be seen, the mismatch is small
enough so as to be negligible.

TABLE 11.5
Receiver Channel Mutual Coupling Index
CHr (mV) CH1 (mV) CH2 (mV) CH3 (mV) CH4 (mV) CH5 (mV) CH6 (mV)
CHr 51.0 1.04 1.34 1.14 1.26 0.95 0.92
CH1 1.96 49.0 2.18 1.19 1.50 1.11 1.25
CH2 0.83 0.63 18.5 1.05 0.24 0.22 0.56
CH3 1.04 0.87 1.24 24.3 1.16 0.25 2.05
CH4 1.05 1.21 0.83 1.25 15.8 1.30 1.20
CH5 0.95 1.18 0.94 0.99 3.01 37.6 0.93
CH6 1.22 1.17 1.29 0.64 1.29 1.52 50.9
240 MEMS: Fundamental Technology and Applications

TABLE 11.6
Continuous Component and Amplitude Mismatch between Channels
Channel Amplitude (V) Amplitude Mismatch (%) Continuous component (V)
CHr 3.67 0.00 0.00
CH1 3.75 2.40 0.01
CH2 3.66 0.22 0.00
CH3 3.50 4.52 0.01
CH4 3.75 2.06 0.01
CH5 3.69 0.67 0.01
CH6 3.59 2.04 0.02

TABLE 11.7
SNR Values in Tested Room
Distance (m) SNR (dB)
1.12 33.40
1.67 30.45
2.60 25.45
3.57 20.12

Table 11.7 shows the SNR values obtained in the tested room between the transmitter and the
receiver. Clearly, the transmitterreceiver pair provides a very good SNR at the ranges measured.

11.5APPLICATION
An LPS determines the 3D location, and possibly the orientation, of a mobile device (MD) in a
fixed frame of reference. Over the years, many LPS technologies have been proposed and investi-
gated. Ultrasonic LPSs are distinguished by their ability to estimate location with a high degree of
resolution at low cost. The high resolution achieved is primarily due to the low propagation veloc-
ity of sound in air. Over the years, a number of ultrasonic LPSs have been developed, notably,
Constellation (Foxlin etal. 1998), Cricket (Priyantha etal. 2000), Bats (Harter etal. 2002), Whisper
(Vallidis 2002), and Dolphin (Hazas and Hopper 2006). While results are promising, the final
accuracy obtained from these systems is dependent on temperature, humidity, multipath, ambient
noise, and air flow. Temperature can be accounted for numerically with the aid of a temperature
sensor, while errors due to variations in humidity and air flow can generally be assumed to be
negligible in indoor environments. Multipath and ambient noise, however, have a significant
impact on performance in real-world indoor environments. This is particularly problematic when
conventional, narrowband ultrasonic transducers are employed. Since the signals are impulsive,
the direct path signal is difficult to distinguish from reflected signals, particularly under condi-
tions of ambient noise. To alleviate this problem, a number of researchers have proposed the
useof broadband ultrasonic transducers, for example, Hazas and Hopper (2006) and Villadangos
et al. (2007). However, the broadband ultrasonic transducers used were bulky and costly, and have
high power consumption. Clearly, these features are not desirable for mass market mobile
applications.
We have developed a prototype indoor ultrasonic LPS based on the transducers and sensors
described in Sections 11.3 and 11.4. In the following sections, we describe the LPS, the signal-
processing algorithms used, and the performance achieved by the overall system.
Wideband Ultrasonic Transmitter and Sensor Array for In-Air Applications 241

11.5.1Local Positioning System


The LPS prototype consisted of three fixed beacon devices, each with a single ultrasonic transmitter.
The beacons were deployed on tripods in the corners of the location space. Based on the ultrasonic
signals received from the beacons and a wired timing reference signal, the beaconMD range and MD
orientation were determined. The MD consisted of the ultrasonic sensors and an associated ampli-
fier circuit. A digital signal-processing (DSP) board from Sundance (model 361A) was used for signal
generation and signal acquisition. The board included a C6416 DSP from Texas Instruments with two
daughter boards, an SMT377 with eight independent digital-to-analog converters and an SMT317 with
an eight-channel analog-to-digital converter. The beacon and MD units were connected to the DSP
board via coaxial connections. Signal processing was performed off-line in MATLAB on a PC. On the
basis of the options in the DSP board, a sampling frequency of 117.5kHz was selected for the experi-
ments. Sound velocity was assumed to be constant during the experiments. Variations in humidity and
air flow were not accounted for. A photograph of the prototype system is provided in Figure 11.11.

11.5.2Signal-Processing Algorithm
Each beacon continuously transmits an FHSS signal. The carrier frequency of the signals hops
between a set of frequencies within the available bandwidth according to a pseudorandom hopping
sequence. Each beacon has a unique hopping sequence to avoid collisions between signals and to
allow beacon identification based on the received signal. The transmitted signal xk(n) for beacon k
can be described as

xk (n) = sin(2pfk n / Fs + fk ) (11.21)



where f k is the carrier frequency, which is a function of time according to the pseudorandom
sequence of user k, Fs is the sampling frequency, and k is the phase offset.
Range estimation is performed by calculating the cross-correlation r(l) of the signal y0(n) received
at sensor 0 with the known signal transmitted by beacon k:
N 1
rk (l ) = x ( n) y ( n l )
k 0 (11.22)
n=0

FIGURE 11.11 Photograph of the prototype LPS.


242 MEMS: Fundamental Technology and Applications

where N is the length of the window. The delay of the earliest peak pk in the cross-correlation is
taken as the time of flight of the direct path signal from the transmitter to the receiver. The earli-
est peak is defined as the earliest peak with an amplitude greater than 0.7 of the highest peak. The
estimated transmitterreceiver distance dk is then

pk c
dk = (11.23)
Fs

where c is the speed of sound.


This coarse range estimate is refined by adding a phase adjustment term. The phase adjustment
term improves the resolution of ranging from the sample level to the subsample. The phase angle for
the signal from beacon k at hop m, m,k, can be calculated as

fm,k = ang( X m,k (w m )Ym*,0 (w m )) (11.24)


where * is the complex conjugate operator and the ang(.) operator returns the phase angle of a
complex number and Xm,k(m) and Ym,0(m) are the discrete Fourier transforms (DFTs) of the mth
hop of the signal transmitted by beacon k delayed by pk samples and the received signal at sensor
0, respectively. The estimated phase adjustment is the phase shift expressed as a distance averaged
over multiple hops:

M 1
c fm,k
dk =
M w
m=0 m
(11.25)

where m is the carrier frequency of hop m and M is the number of hops.


Since the phase adjustment is limited in the range /2, phase adjustments are calculated for a
range of candidate values of integer delay, for example, pk8. The candidate integer delay giving the
minimum variance V in the phase adjustment at each hop is selected as the final integer delay pk
together with its associated mean phase adjustment  k .

M 1
1
V =
M (d k dm,k )2 (11.26)
m=0

where m,k is the phase adjustment for beacon k at hop m.


The final range estimate is then

 p c
dk = k +  k
Fs (11.27)

Given range estimates for at least three beacons, the 3D location of the MD can be determined
by trilateration (Foy 1976).
The AoA of the signal from beacon k is estimated based on the difference in the times of arrival
(ToA) of the signals at the sensors in the array. The phase angle of the signals at sensors i and j for
hop m from beacon k is calculated as

qm,k ,i , j = ang(Ym,i (w m )Ym*, j (w m )) (11.28)



Wideband Ultrasonic Transmitter and Sensor Array for In-Air Applications 243

where * is the complex conjugate operator and Ym,i(m) is the DFT of the received signal for hop m
at sensor i. The phase angle can be converted to a time difference of arrival (TDoA) by accounting
for the frequency of the carrier and the TDoAs can be averaged over multiple hops:

1
M 1
qm,k ,i , j
k ,i , j =
M wm
(11.29)
m=0
Given these intersensor TDoAs, the AoA of the signal at the UCA can be determined using the
MUSIC algorithm (Mathews and Zoltowski 1994). Given AoA estimates to three or more beacons,
the 3D orientation of the MD can be determined by a system of geometrical equations (Gonzalez
and Bleakley 2009).

11.5.3Experimental Results
Experiments were conducted to assess the performance of the LPS in estimation of beaconMD
range. The beacons were fitted with Prowave 250ST180 transducers with bandwidth expansion from
2 to 10kHz. The MD was fitted with three SPM0204 sensors with known separations. The LPS
was deployed in a normal office measuring 3.52.82.7m3. The distance between the sensors
was measured using the ultrasonic LPS at three different beaconMD ranges, namely 2.1, 2.3, and
2.5m. The cumulative error in the estimated ranges is shown in Figure 11.12. The error is less than
0.5mm in 90% of the cases.
Experiments were conducted to assess the performance of the LPS in estimating MD orientation. The
beacons were fitted with Prowave 400ST160 transducers with bandwidth expansion from 2 to 15kHz.
The MD was fitted with an eight-element UCA of SPM0204 ultrasonic sensors, as shown in Figure 11.8.
The LPS was deployed in a normal office measuring 242m3. The MD was placed in the center of
the location space, and the orientation of the device estimated using the ultrasonic signals and compared
with manual measurements. The mean error in orientation estimation was calculated over 10 orientation
estimates. Each orientation estimate was derived from AoA estimates calculated over 15 hops. The
mean error in the estimated pitch, roll, and yaw of the MD are shown in Figures 11.13 through 11.15.
The average error for pitch and roll is around 3.5, while for yaw, the average is around 1.5.

100
Percentage of estimates with error less than X

90

80

70

60

50

40

30

20

10

0
0 0.2 0.4 0.6 0.8 1 1.2 1.4
Error in mm

FIGURE 11.12 Cumulative error of estimated sensor separation.


244 MEMS: Fundamental Technology and Applications

4.5

3.5

Error (deg)
3

2.5

X-axis error
1.5
0 5 10 15 20 25 30 35 40 45 50
X-axis rotation (deg)

FIGURE 11.13 Orientation estimation accuracypitch.

5.5

4.5
Error (deg)

3.5

2.5
Y-axis error
2
0 5 10 15 20 25 30 35 40
Y-axis rotation (deg)

FIGURE 11.14 Orientation estimation accuracyroll.

3.5

2.5
Error (deg)

1.5

0.5
Z-axis error
0
150 100 50 0 50 100
Z-axis rotation (deg)

FIGURE 11.15 Orientation estimation accuracyyaw.


Wideband Ultrasonic Transmitter and Sensor Array for In-Air Applications 245

11.6CONCLUSION
In this chapter, a review of ultrasonic in-air transducer technologies was presented. The review
focused on transducers for low-cost, low-power wideband applications. It was highlighted that con-
ventional technologies are not optimal for this application. A modification process was presented
for ultrasonic transmitters, which allows a significant increase in piezoelectric transducer band-
width by adding two passive components to the circuitan inductor and a resistor. The theory used
was explained and validated by experimental results. The chapter described how MEMS sensors
can be used to construct the ultrasonic receiver arrays. The results of array characterization are pro-
vided. The use of these transducers and sensors in an ultrasonic LPS was described. These results
demonstrate the potential of these technologies for exploitation in novel commercial applications,
such as body tracking, 3D humancomputer interaction, and robot navigation.

ACKNOWLEDGMENTS
This work was funded by the Science Foundation Ireland (SFI) and the Higher Education Authority
(HEA) of Ireland.

REFERENCES
Alametsa, J., Rauhala, E., Huupponen, E. etal. 2006. Automatic detection of spiking events in EMFi sheet dur-
ing sleep. Med. Eng. Phys. 28(3):267275.
Barna, L., Koivuluoma, M., Hasu, M., Tuppurainen, J., and Varri, A. 2007. The use of electromechanical film
(EMFi) sensors in building a robust touch sensitive tablet-like interface. IEEE Sensors J. 7(1):7480.
Bloomfield, P.E., Lo, W.J., and Lewin, P.A. 2000. Experimental study of the acoustical properties of poly-
mers utilized to construct PVDF ultrasonic transducers and the acousto-electric properties of PVDF and
P(VDF/TrFE) films. IEEE Trans. Ultrasonics, Ferroelectrics Frequency Control 47(6):13971405.
Brown, L.F. 2000. Design considerations for piezoelectric polymer ultrasound transducers. IEEE Trans.
Ultrasonics, Ferroelectrics Frequency Control 47(6):13771396.
Cheng, C.H., Khuri, E., and Yakub, B. 2000. An efficient electrical addressing method using through-wafer vias
for two-dimensional ultrasonic arrays. Proc. IEEE Ultrasonics Symp. 2:11791182, San Juan, Puerto
Rico.
Church, D., and Pincock, D. 1985. Predicting the electrical equivalent of piezoceramic transducers for small
acoustic transmitters. IEEE Trans. Sonics Ultrasonics 32(1):6164.
Cochran, A., Hayward, G., and Murray, V. 1999. Multilayer piezocomposite ultrasonic transducers operating
below 50kHz. Proc. IEEE Ultrasonics Symp. 2:953956, Caesars Tahoe, NV, USA.
Cochran, A., Reynolds, P., and Hayward, G. 1997. Multilayer piezocomposite transducers for applications of
low frequency ultrasound. Proc. IEEE Ultrasonics Symp. 2:10131016, Toronto, Canada.
Dobie, G., Summan, R., Pierce, S.G., Galbraith, W., and Hayward, G. 2011. A noncontact ultrasonic platform
for structural inspection, IEEE Sensors J. 11(10):24582468.
Ealo, J.L., Jimenez, A.R., Seco, F. etal. 2006. Broadband omnidirectional ultrasonic transducer for air ultra-
sound based on EMFi. Proc. IEEE Ultrasonics Symp. 812815, Vancouver, Canada.
Ealo, J.L., Seco, F., and Jimenez, A.R. 2008. Broadband EMFi based transducers for ultrasonic air applications.
IEEE Trans. Ultrasonics, Ferroelectrics Frequency Control 55(4):919929, 2008.
Evreinov, G., and Raisamo, R., 2005. One-directional position-sensitive force transducer based on EMFi.
Sensors Actuators: A 123:204209.
Fiorillo, A.S. 1992. Design and characterization of a PVDF ultrasonic range sensor. IEEE Trans. Ultrasonics,
Ferroelectrics Frequency Control 39(6):688692.
Ford, T.A., and Hanford, W.E. 1948. Polyvinylidene fluoride and process for obtaining the same, U.S. Patent
2,435,537.
Foxlin, E., Harrington, M., and Pfeifer, G. 1998. Constellation: A wide-range wireless motion-tracking sys-
tem for augmented reality and virtual set applications. Proc. Int. Conf. Computer Graphics Interactive
Techniques (SIGGRAPH), pp. 371378, Orlando, FL, USA.
Foy, W.H. 1976. Position-location solutions by Taylor-series estimation. IEEE Trans. Aerospace Electronic
Syst. 12(2):187194.
246 MEMS: Fundamental Technology and Applications

Gonzalez, J.R., and Bleakley, C.J. 2009. High precision robust broadband ultrasonic location and orientation
estimation. IEEE J. Selected Topics Signal Proc. 3(5):832844.
Gonzalez, J.R., and Bleakley, C.J. 2011. Low cost, wideband ultrasonic transmitter and receiver for array signal
processing applications. IEEE Sensors J. 11(5):12841292.
Gururaja, T.R., Schulze, W.A., Cross L.E. etal. 1985. Piezoelectric composite materials for ultrasonic trans-
ducer applications. I: Resonant modes of vibration of PZT rod-polymer composites. IEEE Trans. Sonics
Ultrasonics 32(4):481498.
Harter, A., Hopper, A., Steggles, P., Ward, A., and Webster, P. 2002. The anatomy of a context-aware applica-
tion. Wireless Networks 8(2):187197.
Hazas, M., and Hopper, A. 2006. Broadband ultrasonic location systems for improved indoor positioning. IEEE
Trans. Mobile Computing 5(5):536547.
Jimenez, A., Hernandez, A., Urena, J. etal. 2007. Piezopolymeric transducer for ultrasonic sensorial systems.
IEEE Int. Symp. Industrial Electronics (ISIE), pp. 14581463, Vigo, Spain.
Jimenez, A.R. and Seco, F. 2005. Precise localisation of archaeological findings with a new ultrasonic 3D posi-
tioning sensor. Sensors Actuators: A 123:224233.
Karki, S., and Lekkala, J. 2008. Film-type transducer materials PVDF and EMFi in the measurement of heart
and respiration rates. IEEE Int. Conf. Eng. Medicine Biology Soc. (EMBS), pp. 530533, Vancouver,
Canada.
Klicker, K.A., Biggers, J.V., and Newham, R.E. 1981. Composites of PZT and epoxy for hydrostatic transducer
applications. J. Am. Ceram. Soc. 64(1):59.
Knowles Acoustics, SPM0204 MEMS Sensor Datasheet. http://www.knowles.com.
Kossoff, G. 1966. The effects of backing and matching on the performance of piezoelectric ceramic transduc-
ers. IEEE Trans. Sonics Ultrasonics 13(1):2030.
Krim, H., and Viberg, M. 1996. Two decades of array signal processing research: the parametric approach.
IEEE Signal Processing Mag. 13(4):6794.
Lan, J., Boucher, S.G., and Tancrell, R.H. 1999. Investigation of broadband characteristics of PVDF ultrasonic
transducers by finite element modeling and experiments. Proc. IEEE Ultrasonics Symp. 2:11091112.
Mason, W.P. 1935. An electromechanical representation of a piezoelectric crystal used as a transducer. Proc.
IRE 23(10):12521263.
Mathews, C.P. and Zoltowski, M.D. 1994. Eigenstructure techniques for 2-D angle estimation with uniform
circular arrays. IEEE Trans. Signal Proc. 42(9):23952407.
MSI. 2001. PVDF 40kHz Transducer Datasheet. http://www.ehag.ch/PDF-Files/MSI/40khz-transmitter.pdf.
Newnham, R.E., Skinner, D.P., Cross, L.E. etal. 1978. Connectivity and piezoelectricpyroelectric composites.
Mater. Res. Bull. 13(5):525536.
Niculescu, D., and Nath, B. 2003. Ad hoc positioning system (APS) using AOA. Proc. IEEE Conf. Computer
Communications (INFOCOM), pp. 17341743, San Francisco, CA, USA.
Priyantha, N.B., Chakraborty, A., and Balakrishnan, H. 2000. The cricket location-support system. Proc. Int.
Conf. Mobile Computing Networking, pp. 3243, Boston, USA.
Priyantha, N.B., Miu, A.K.L., Balakrishnan, H., and Teller, S. 2001. The cricket compass for context-aware
mobile applications. Proc. Int. Conf. Mobile Computing Networking (MobiCom), pp. 114, Rome, Italy.
Prowave. Piezoelectric Ultrasonic Transducers Datasheets. http://www.prowave.com.tw/.
Rong, P., and Sichitiu, M. 2006. Angle of arrival localization for wireless sensor networks. Proc. IEEE Sensor
Ad Hoc Comms. Networks (SECON), pp. 374382, Reston, VA, USA.
Saad, M.M., Bleakley, C.J., and Dobson, S. 2011. Robust high accuracy ultrasonic range measurement system.
IEEE Trans. Instrumentation Measurement 60(10):33343341.
Sarihan, V., Wen J., Li, G. etal. 2008. Designing small footprint, low-cost, high-reliability packages for perfor-
mance sensitive MEMS sensors. Proc. Electronic Components Technology Conf. (ECTC 2008), pp.817
818, Lake Buena Vista, FL, USA.
Schubring, A., and Fujita, Y. 2007. Ceramic package solutions for MEMS sensors. IEEE Int. Electronic
Manufacturing Tech. Symp. (IEMT), pp. 268, San Jose, CA, USA.
Schuenemann, M., Jam, K.A., Grosser, V. et al. 2000. MEMS modular packaging and interfaces. Proc.
Electronic Components Technology Conf., pp. 681688, Las Vegas, NV, USA.
Skinner, D.P., Newnham, R.E., and Cross, L.E. 1978. Flexible composite transducers. Mater. Res. Bull.
13(6):599607.
Smith, W.A. 1989. The role of piezocomposites in ultrasonic transducers. Proc. IEEE Ultrasonics Symp.,
pp.755766, Montreal, Canada.
Sorvoja, H., Kokko, V.M., Myllyla, R., and Miettinen, J. 2005. Use of EMFi as a blood pressure pulse trans-
ducer. IEEE Trans. Instrumentation Measurement 54(6):25052512.
Wideband Ultrasonic Transmitter and Sensor Array for In-Air Applications 247

Takeuchi, S., Al Zaabi, M.R.A., Sato, T., and Kawashima, N. 2002. Study on ultrasound transducer with
double peak type frequency characteristics for sub-harmonic imaging. Proc. IEEE Ultrasonics Symp.
2:11011105.
Toda, M. 2002. Cylindrical PVDF film transmitters and receivers for air ultrasound. IEEE Trans. Ultrasonics,
Ferroelectrics Frequency Control 49(5):626634.
Toda, M., and Dahl, J. 2007. PVDF corrugated transducer for ultrasonic ranging sensor. Sensors Actuators A
134(2):427435.
Trees, H.L. Van. 2002. Optimum Array Processing: Part IV of Detection, Estimation and Modulation Theory.
John Wiley & Sons, New Jersey, USA.
Tummala, R.R., and Madisetti, V.K. 1999. System on chip or system on package, IEEE Design Test Computers
16(2):4856.
Vallidis, N.M. 2002. WHISPER: A Spread Spectrum Approach to Occlusion in Acoustic Tracking. PhD thesis,
University of North Carolina at Chapel Hill.
Veen, B.D. Van, and Buckley, K.M. 1988. Beamforming: A versatile approach to spatial filtering. IEEE Signal
Proc. Mag. 5(2):424.
Villadangos, J.M., Urena, J., Mazo, M. etal. 2007. Improvement of cover area in ultrasonic local position-
ing system using cylindrical PVDF transducer. Proc. IEEE Int. Symp. Industrial Electronics (ISIE),
pp.14731477, Vigo, Spain.
Ymada, K., Sakamura, J.I., and Nakamura, K. 1999. Equivalent network analysis of piezoelectrically-graded
broadband ultrasound transducers. Proc. IEEE Ultrasonics Symp. 2:11191124.
12 MEMS-Based Lamellar Grating
Fourier Transform
Spectrometers
Hongbin Yu, Guangya Zhou, and Fook Siong Chau

CONTENTS
12.1 Introduction........................................................................................................................... 249
12.1.1 Review of MEMS-Driven FTIR Spectrometers........................................................ 250
12.1.2 Operation Principle of FTIR Spectrometers Based on Lamellar
GratingInterferometer............................................................................................... 251
12.2 MEMS-Driven Lamellar Grating FTIR Spectrometers........................................................ 253
12.2.1 Device Design............................................................................................................ 253
12.2.2 Fabrication Process.................................................................................................... 254
12.2.3 Experimental Results................................................................................................. 255
12.3 Resonate-Scanning MEMS Lamellar Grating FT Spectrometers........................................ 258
12.3.1 Advantages of Resonate Scanning............................................................................ 258
12.3.2 Device Operation and Measurement Setup............................................................... 259
12.3.3 Data Acquisition System............................................................................................ 259
12.3.4 Testing and Characterization..................................................................................... 262
12.4 Stationary MEMS Lamellar Grating FT Spectrometers....................................................... 265
12.4.1 Introduction to Stationary FT Spectrometers............................................................ 265
12.4.2 Stationary MEMS-Based Lamellar Grating FT Spectrometer Design.....................266
12.4.3 Fabrication and Assembly Processes......................................................................... 267
12.4.4 Spectrometer Calibration and Testing....................................................................... 267
12.5 Conclusion............................................................................................................................. 269
References....................................................................................................................................... 270

12.1INTRODUCTION
The optical spectrometer is one of the most important instruments in the field of metrology. It can
be used to identify chemical components in the environment such as air, soil, and water, via the
determination of the spectral composition of light that is transmitted through or emitted by the
sample under test. Hence, it has a wide range of applications in both civil and military areas and has
attracted much interest in recent years. To date, many high-performance spectrometers have been
successfully developed and commercialized. On the basis of their working principle, commercial-
ized spectrometers can be divided into three main categories: dispersive-type, interference-filter,
and Fourier transform-based (FT) spectrometers [13]. Usually, these conventional spectrometers
consist of bulky optical components such as prisms, gratings, or reflective mirrors, as well as com-
plicated fine-adjustment mechanisms. As a result, they are expensive and bulky, which restrict the
detection and analysis processes to be conducted mainly within the laboratory. Recently, with the
developments in bio-related industries, environmental monitoring, and homeland security systems,

249
250 MEMS: Fundamental Technology and Applications

there is increased demand for in situ, continuous field screening and portable devices that use min-
iaturized field-applicable spectrometers capable of delivering cost-effective real-time information.
Remarkable advances in microelectromechanical system (MEMS) technology, originally devel-
oped in the silicon microelectronics industry, have significantly facilitated the development of
microspectrometers. Several novel designs have been successfully demonstrated, including micro-
machined in-plane and out-of-plane dispersive gratings [4], polychromators based on programma-
ble diffractive gratings [57], tunable FabryPerot filters [8,9], and miniaturized Fourier transform
infrared (FTIR) spectrometers [1017]. Among these, FTIR spectrometers are favored over
dispersive grating instruments arising from their well-known Fellgett (multiplexing) and Jacquinot
(optical throughput) advantages, enabling them to collect large amounts of light energy (thereby
achieving a higher signal-to-noise ratio) at high resolution [18].

12.1.1 Review of MEMS-Driven FTIR Spectrometers


One design of miniaturized FTIR spectrometers is based on the well-known Michelson interferom-
eter as schematically shown in Figure 12.1. Incident light is first divided into two equal parts using
a beam splitter. One part is incident onto a fixed mirror, while the other is incident onto a movable
mirror.
All the reflections are finally combined together with the same beam splitter and the intensity of
the resultant interference is recorded by a detector. By translating the movable mirror, the optical
path difference (OPD) between these two parts can be changed accordingly, thus modulating the
interference. From the recorded intensity variation with change in OPD, the light spectrum can be
finally reconstructed by performing a standard Fourier transform. It is clear that the movable mir-
ror is one of the most important components in this system. Some groups have adopted an in-plane
Michelson interferometer configuration, in which all the components such as micromirrors, beam
splitters, and MEMS actuators can be simultaneously fabricated [1921]. As a result, excellent opti-
cal alignment of different components can be easily achieved without the need for postprocess
assembly and adjustment.
However, in such cases, the structures sidewalls are used as the mirror surfaces. As a result,
the effective mirror area is restricted by the maximum thickness of elements that can be achieved.
In addition, since all the microstructures are fabricated using etching processes (either wet or dry
etching), it is usually not easy to achieve good surface finish for the sidewalls. Consequently, the
optical properties of the micromirrors are degraded and the quality of the spectrometer is adversely
affected. Furthermore, the relatively small in-plane movement of the mirror results in amplitudes in
the order of 100m, which limits the resolution of the spectrometer.

d
Movable mirror
(M2)

Beam splitter

Light source
Fixed mirror
(M1)

Detector

FIGURE 12.1 Schematic of the Michelson interferometer.


MEMS-Based Lamellar Grating Fourier Transform Spectrometers 251

Fixed grating facets


Movable grating facets

FIGURE 12.2 Schematic of the lamellar grating interferometer.

By comparison, designs with a capability for out-of-plane motion of the mirror have some posi-
tive features. Its mirror surface is directly made from the polished wafer surface, thus guaranteeing
excellent surface quality. Meanwhile, the mirror size is no longer restricted by the achievable thick-
ness of the structure, enabling larger mirror areas as well as larger driving forces to be realized.
With the out-of-plane design concept and by incorporating displacement-amplifying mechanisms,
mirror movement amplitudes as large as 1mm have been achieved, resulting in better spectrometer
performances [2224]. The main drawback of this configuration is in the system integration that
requires complicated microassembly and adjustment processes to fix and align all optical compo-
nents. In addition, the relatively large beam splitter currently available in commercial market also
limits the extent of miniaturization of the system.
In contrast with the Michelson interferometer, the lamellar grating interferometer works by
dividing the wavefront of the incident light beam rather than its wave amplitude as shown in
Figure 12.2 [25]. Hence, there is no need for beam splitters, thereby increasing the light utilization
efficiency and eliminating the problems associated with the nonconstant reflection-to-transmis-
sion ratio over a broad band. In addition, the device size can be further reduced. Two types of
MEMS lamellar grating microspectrometers have been reported. In the first type, the grating mir-
ror facets are achieved using vertical deep reactive ion etched (DRIE) sidewalls in a thick device
layer of a silicon-on-insulator (SOI) wafer and the movable grating facets are driven in an in-plane
motion by a comb drive electrostatic microactuator [2628]. This spectrometer has demonstrated
an impressive wavelength resolution in the visible region. However, the resolution degrades for
longer wavelengths in the infrared region, mainly due to the limited amplitude of movement. At
same time, the performance of the spectrometer is also restrained by the height of the grating
facets due to diffraction effects [29].
In the second type of lamellar grating microspectrometer, the top surface of an SOI wafer is pat-
terned to form a lamellar grating and the grating facets are driven in an out-of-plane motion with
respect to the substrate using electrostatic or electromagnetic actuators [3033]. In this case, the
grating area can be made relatively large, thereby overcoming the diffraction problem. The work
presented here is on the second type of MEMS lamellar grating spectrometers.

12.1.2Operation Principle of FTIR Spectrometers Based on Lamellar


GratingInterferometer
The lamellar grating interferometer can be treated as two sets of mirrors, referred to here as the
front and back facets. Each set of strip mirrors can be considered as a linear array of identical long,
rectangular apertures. For the apertures, the diffracted wave amplitude is given by the following
expression [34]:

E front = Es F (12.1)

252 MEMS: Fundamental Technology and Applications

where Es is the diffracted wave amplitude for a single facet and F represents the interference between
the diffracted wave from the array of mirrors or facets.
For a long slit of width a/2 with normally incident waves of wavelength l, the diffracted wave
amplitude at an angle a is given by

sin (pa sin a ) / 2 l


Es
(12.2)
(p a sin a ) / 2 l

For an array of N facets at a distance of a apart, one has

sin ( Npa sin a ) /l ( N 1)pa sin


F = exp i (12.3)
sin (pa sin a ) /l l

So far, only the front facets are considered. To include the effect of the back facets, the total
amplitude will be expressed as

E = E front + Eback (12.4)

Since the front and back arrays are identical, the two reflected waves have only a phase differ-
ence between them. Hence, by substituting Equations 12.1 through 12.3 into Equation 12.4, the
following function is obtained:

E = Es F + Es Feij (12.5)

The phase is given by =2/. The total OPD is related to the facet offset d by


d = d (1 + cos a ) + sin a
a
2d (12.6)

The phase between the front and back facets is

2p 2pd
j = d = (1 + cos a ) + sin a
a
l l 2d (12.7)

Substituting Equations 12.2 and 12.3 into Equation 12.5, the total amplitude of the wave dif-
fracted from the lamellar gratings is given by

sin[(pa sin a ) / 2 l ] sin[( N pa sin a ) /l ]


E (1 + eij )e ij N (12.8)
(pa sin a ) / 2 l sin[(pa sin a ) /l ]

where N[(N1)a sin ]/.


So, the intensity from the lamellar grating is
2 2
sin[(pa sin a )]/ 2 l sin[( N pa sin a ) /l ] 2 j
I EE sin[(pa sin a )/l ] cos 2 (12.9)
(p a sin a ) / 2 l

The first and second terms of the above equation are basically constants, which account for the
grating design and the incident angle of the light. The third term results from the phase difference
between the waves diffracted from the front and back mirror facets.
MEMS-Based Lamellar Grating Fourier Transform Spectrometers 253

Considering normal incidence of light and the zeroth diffraction order (=0), the intensity of
the zeroth diffraction order beam is then proportional to

2pd
I (d ) Q cos2 cos2 (psd )
l (12.10)

where Q is some constant, is the wavenumber, and represents the OPD.


By performing a Fourier transform on this intensity data, the spectrum B() of the incidence
radiation can be retrieved using

B(s ) = I (d ) exp(i2psd ) dd
d
(12.11)

The spectral resolution of this type of spectrometers can be computed by the following for-
mula [35]:

l2
l
dmax (12.12)

It is obvious that the resultant resolution of the spectrometer is inversely proportional to the
maximum OPD max. As a result, a large OPD scan is desired to obtain higher spectral resolution.

12.2 MEMS-DRIVEN LAMELLAR GRATING FTIR SPECTROMETERS


This section describes in detail an electromagnetically actuated lamellar grating FTIR spectrometer
operating in an out-of-plane mode, including its design, fabrication, and experimental results.

12.2.1Device Design
During the device design stage, the actuation method should be first decided upon. There are many
actuation methods available for MEMS devices, such as electromagnetic, electrostatic, electrother-
mal, and piezoelectric. Although electrostatic actuation is most commonly used due to its excellent
compatibility with mainstream microelectronics fabrication technology, there still exist some draw-
backs, especially when the device is required to be operated in an out-of-plane mode. First, con-
sidering the nature of electrostatic actuation, only attraction forces can be produced, and therefore,
the object under operation can only be moved in one direction. As a side effect, identification of the
point of zero OPD will be difficult and may lead to inaccuracy in the retrieved and reconstructed
spectra of the one-sided interferograms and subsequent deterioration in device performance.
In addition, from Equation 12.12, it is seen that the spectral resolution of the spectrometer is
inversely proportional to the maximum OPD. Therefore, the movement of the mobile facets in a lamel-
lar grating interferometer should be designed to be as large as possible to obtain high-resolution mea-
surements. However, in the case of electrostatic actuation, the movement range is typically restricted
to tens of micrometers due to the pull-in problem associated with this type of microactuators [36].
This relatively small displacement is insufficient for many applications, including infrared absorption
spectroscopy for chemical sensing. Although a displacement of nearly 100 m can be realized [37],
this is frequently at the expense of significantly increasing the complexity of the mechanical design
and requiring an ultra-high voltage source and additional control circuits.
In contrast, bidirectional movement can be easily achieved in the case of electromagnetic actua-
tion merely by changing the polarity of the input current applied to the electromagnetic actuating
coil, without sacrificing device compactness and performance. Thus, two-sided interferograms can
254 MEMS: Fundamental Technology and Applications

be recorded and a better spectrum eventually obtained without requiring any prior knowledge of
the location of the zero OPD point. Since the device needs to be actuated to move several tens or
even hundreds of microns, it can be seen that within this relatively large space, the electromagnetic
actuation can provide a larger force than an electrostatic one given the same actuator dimension
[38], hence providing higher movement capability. Moreover, the resultant force varies linearly with
the actuating current, leading to greatly simplified control procedures.
Another point to be made is that the pull-in phenomenon associated with electrostatic actuation
can be avoided by using an electromagnetic actuator instead. Therefore, the reliability of the device
is expected to be better. In addition to these advantages over electrostatic actuation, an electromag-
netic actuator also exhibits better compatibility with the current mainstream silicon-based pro-
cesses than piezoelectric or electrothermal actuation methods. Recognizing all these advantages,
an electromagnetic driving mechanism is chosen to provide the actuating force for the proposed
design described here.
After determining the actuation method, the device structural design is carried out. The electro-
magnetically actuated microlamellar grating FTIR spectrometer can be divided into two main parts
according to their functionalities.
One part is the mechanical part, in which a platform (1mm1mm) with four folded-beam sus-
pensions arranged at each corner is used to accommodate the permanent micromagnet and movable
grating facets. The folded-beam suspension structure, adopted for its good structural stability, acts
as a spring to support the platform and provide the desired restoring force. Its equivalent spring con-
stant along the actuating direction (perpendicular to the platform surface) can be expressed as [31]

4 Ebh3
kz =
l3 (12.13)

where E is the Young modulus of the structural material, and b, h, and l are the width, thick-
ness, and length of the beam, respectively. For the proposed design, the beams are made of silicon
(E=165GPa) and are 2.43-mm long, 25-m wide, and 25-m thick, yielding an estimated spring
constant of 18.4N/m. It is clear that suspension structures with different stiffnesses can be achieved
by simply selecting appropriate values of the structural parameters.
The other part is the optical sensing part, namely the lamellar grating. One set of light-reflecting
fingers, each of 10-m width and 500-m length, is connected to the platform and driven up and
down during operation to achieve the desired OPD modulation. The other set of fingers, having
identical dimensions and constituting the fixed facets of the lamellar grating, are directly attached
to the substrate. The gap spacing between the fixed and movable fingers is 3m, resulting in a grat-
ing period of 26m. All the silicon finger surfaces are coated with a thin layer of gold to enhance
reflectivity.

12.2.2Fabrication Process
The proposed device is fabricated via a standard commercial process SOIMUMPs provided by
MEMSCAP Inc [39]. The schematic process flow is shown in Figure 12.3. The fabrication process
begins with a polished SOI wafer. The thicknesses of the device layer, buried oxide layer, and sub-
strate are 25, 1, and 400m, respectively. First, a 1.5-m-thick photoresist layer is spin-coated onto
the top wafer surface and all the desired structures (such as lamellar grating and suspension struc-
ture) are subsequently patterned into this layer using a standard photolithography process, which
acts as a hard mask for the following etching step. The patterns are then transferred into the silicon
device layer using a DRIE process, after which the photoresist layer is removed. Next, a layer of
silicon oxide is deposited onto the surface of the processed wafer using plasma-enhanced chemical
vapor deposition (PECVD) to act as a protective layer. The wafer is then reversed, and the substrate
layer is lithographically patterned from the bottom side followed by another DRIE step to open the
MEMS-Based Lamellar Grating Fourier Transform Spectrometers 255

Silicon

(a) (b)

Oxide
(c) (d)

Magnet
(e)

FIGURE 12.3 Fabrication process flow. (a) Wafer preparation, (b) front-side DRIE, (c) backside DRIE, (d)
structure release, and (e) attachment of the magnet.

Folded-beam Fixed fingers


suspension
Lamellar grating
interferometer

Platform

Acc.V Spot Magn Det WD 1 mm


15.0 kV 3.0 20 SE 35.1 Movable fingers

FIGURE 12.4 SEM of the fabricated device.

region right under the as-fabricated structures. All the exposed oxide layers are then removed using
a vapor hydrofluoric acid (HF) process to release the movable structure. A metal stack comprising
20-nm-thick chrome and 500-nm-thick gold layers is selectively sputtered onto the grating region
using a shadow masking technique to improve light reflectivity (Figure 12.4). Finally, a micron-
sized permanent magnet (dimensions: 700700500m3, mass: 2.7mg) with the magnetization
perpendicular to the device surface is assembled manually using UV epoxy to realize the final
device. A picture of the whole device is shown in Figure 12.5.

12.2.3Experimental Results
The vertical displacement of the platform of the microspectrometer versus applied current is first
characterized using the Zygo optical profilometer. From the results shown in Figure 12.6, it can be
seen that the deflection of the movable fingers as a function of the applied driving voltage dem-
onstrates good linearity with a slope of 2.6m/V. The maximum deflection, at +62.5m and
62.5m, can be reached when positive and negative 24V are applied, respectively. For two-sided
interferograms, this results in a theoretical spectral resolution of around 3.2nm at a wavelength of
632nm, and 2.2nm at a wavelength of 532nm. It is expected that larger deflections, for example,
hundreds of micrometers, can be achieved by simply increasing the applied voltage.
256 MEMS: Fundamental Technology and Applications

Micromagnet

FIGURE 12.5 Microscopic image of the fabricated microspectrometer.

60

40

20
Deflection (m)

20 Experimental results
Linear fit
40

60

20 10 0 10 20
Applied voltage (V)

FIGURE 12.6 Deflection of a movable finger as a function of the voltage applied to the electromagnetic coil.

From the results, we also find that the nominal (nonactuated) position of the movable fingers
shows a small initial offset above that of the stationary ones. This is mainly caused by the residual
stresses in the structural material and the reflective gold layer. This offset is measured to be around
3.3m, as shown in Figure 12.7.
An optical setup as schematically shown in Figure 12.8 is constructed to characterize the optical
performance of the fabricated device. In this setup, a HeNe laser beam at a wavelength of 632.8nm
and a diode-pumped solid-state (DPSS) laser beam at a wavelength of 532nm are coupled into a
single-mode optical fiber (SMF) and then made incident onto the device surface via a collimator.
They represent the unknown radiation that is to be detected by the spectrometer. The reflected
light is transmitted through a beam splitter and an iris diaphragm (to filter out the unwanted non-
zeroth diffraction orders) and finally received by a photodetector.
Owing to the residual stresses in the structure material and relatively small device thickness, the
silicon beams in the lamellar grating interferometer are slightly curved. As a result, the reflected
light beams from the fixed and movable fingers tended to propagate in two different directions with
a small angle between them as shown in Figure 12.9a, thus preventing interference from occurring.
To overcome this problem, a low optical power focusing lens is inserted between the collimator
and the beam splitter to make the two reflected light beams diverge and overlap with each other to
MEMS-Based Lamellar Grating Fourier Transform Spectrometers 257

Oblique plot Movable finger surface 15.00000


20.76718
14.00000

Height (m)
m
11.02945 13.00000
0.707
12.00000

mm 11.00000
0.000 0.020 0.040 0.060 0.080
Stationary finger surface Distance (mm)
0.000 PV 3.303 m Ra 1.322 m
0.000 mm 0.530 rms 1.335 m Profile stats

FIGURE 12.7 The initial state of the fabricated lamellar grating structure.

Coupler Collimator

Lens 1 order

Detector
Beam splitter 0 order

SMF
+1 order
Sample
Iris
Driver
HeNe

DPSS

Control signal
Electromagnetic coil

FIGURE 12.8 Schematics of optical testing systems.

(a) (b) (c)


Constructive interference Destructive interference
Light beam reflected
off the fixed fingers

Light beam reflected off


the movable
( fingers

FIGURE 12.9 Images of the reflected light beams captured by the camera. (a) Light reflected from the grat-
ing with collimated incident light. (b and c) Interference patterns at two different OPDs.

produce an interference pattern in the detection plane (see Figures 12.9b and 12.9c). The intensity
of the interferometer output as a function of the OPD is recorded by a photodetector and the results
are shown in Figure 12.10.
The final spectrum is obtained by performing a complex Fourier transform on the recorded inter-
ferogram (as described earlier) and taking the modulus of the transform. From the results shown
258 MEMS: Fundamental Technology and Applications

(a) (b)
2.0

1.5
Output of detector (V)

Output of detector (V)


1.5

1.0
1.0

0.5 0.5

0.0 0.0
100 50 0 50 100 3 2 1 0 1 2 3
Optical path difference (m) Optical path difference (m)

FIGURE 12.10 (a) Intensity recorded at different OPDs. (b) The result obtained at OPD from 3.5 to 3.5m.

1.2

1.0
Normalized magnitude

0.8

0.6

0.4

0.2

0.0
300 400 500 600 700 800 900 1000
Wavelength (nm)

FIGURE 12.11 Result of the spectrum reconstructed.

in Figure 12.11, it can be seen that two separate sharp peaks at 632.07 and 531.49nm appear in the
spectrum corresponding to the wavelengths of the two input laser beams. The spectral accuracy
of the detected wavelengths is better than 1nm. The full-width at half-maximum (FWHM) spec-
tral resolution is determined to be around 3.8nm at 632.8nm wavelength, and 3.44nm at 532nm
wavelength.

12.3 RESONATE-SCANNING MEMS LAMELLAR GRATING FT SPECTROMETERS


12.3.1Advantages of Resonate Scanning
In some application areas, power consumption during the operation of the spectrometer is a con-
cern. To lower the actuation current as well as the power of the electromagnetic actuatorwhile still
retaining the large displacement capabilitythe device can be operated under the resonant mode.
Although this may complicate signal sampling and processing, a large displacement can be pro-
duced at a relatively low current under this strategy. Furthermore, the mechanical suspension can
be designed to be stiffer, enabling more robust devices. Another advantage of actuating the device
at its resonant frequency is that the interferograms can be collected at a higher speed and therefore
transient spectroscopic measurements are feasible.
MEMS-Based Lamellar Grating Fourier Transform Spectrometers 259

12.3.2Device Operation and Measurement Setup


Similar to many commercial FTIR spectrometers, a reference laser is used here as an internal wave-
length reference to measure precisely the displacement of the driving actuator. This concept was
first used by Connes as a method to improve the accuracy of frequency of oscillation and hence it is
also called the Connes advantage. The interference signal generated from the monochromatic laser
in the same system is used to trigger sampling of the IR interferogram. The frequency or wavenum-
ber of the IR spectrum can then be calculated from the known frequency or wavenumber of the
reference laser. This self-calibration using a reference laser is much more accurate and stable than
the external calibration approach that uses dispersive spectrometers. Employing a reference laser in
the system also provides a response feedback mechanism so that the system remains stable under
external disturbance or vibration. This is especially important if the microspectrometer is meant to
be developed into a portable device for field use.
The same electromagnetically driven lamellar grating device described in Section 12.2 is used
for proof-of-principle demonstration. A sinusoidal-varying voltage is applied to the electromagnetic
actuator such that it can drive the device in resonant motion. A monochromatic reference laser is
used to trigger the data acquisition of the IR interferogram. The interference signal generated from
the monochromatic laser beam through the same interferometer is recorded by a photodetector and
used to trigger an analogdigital converter (ADC) to convert the analog signal from the IR detector.
The digitized signal is then saved by a data acquisition program. A more detailed description of the
data acquisition system is presented in the subsequent section.
Figure 12.12 shows the schematic of the optical setup. The output from the IR source under test
and DPSS laser (which acts as the reference laser) are coupled into an optical fiber and made inci-
dent on the lamellar grating of the device via a collimator and a focusing lens. As before, an iris is
also used to filter out the unwanted diffraction orders from the grating. The zeroth-order diffracted
beam is then split into the IR and visible components via a cold mirror and each is monitored by its
respective photodetector.

12.3.3Data Acquisition System


In this section, a detailed description of the electronic data acquisition system is presented. A total
of three signals are acquired from the optical setup. They are the driving signal generated by the

Collimator
Coupler
Lens 1 order
Cold mirror
IR detector
Beam splitter 0 order

SMF
+1 order
Sample Photo-
Iris
detector
IR source

DPSS

Electromagnetic coil Signal generator

FIGURE 12.12 Schematic of the FTIR optical testing setup for resonance operation.
260 MEMS: Fundamental Technology and Applications

Signal generator Amplification Comparator

Power amplifier IR detector Amplification

Monostable FPGA
Driving coil Photodetector
multivibrator software
Analog-
to-digital
converter

Amplification Comparator

High-pass filter DC raise

FIGURE 12.13 Schematic of the FTIR data acquisition system used for resonance operation with a refer-
ence laser.

signal generator, the IR interference signal whose spectrum is to be determined, and the DPSS laser
interference signal that is used for the internal wavelength reference. Device driving and DPSS
interference signals are modulated and conditioned into digital signals such that they can be used to
trigger the IR data acquisition devices and computer algorithms in the system. A schematic showing
the overall electronics of the FTIR system is illustrated in Figure 12.13. A detailed description of the
data acquisition and conditioning process for the three signals is given below.
The signal from the IR detector is the least processed as it represents the interferogram that
needs to be recorded as precisely as possible so as to retrieve the original spectrum of the IR source
after a fast Fourier transform (FFT) is performed. Owing to the relatively low sensitivity of the IR
detector used in the particular system, the analog signal from the detector is rather low. Hence, two
operational amplifiers are connected in tandem to amplify the IR interference signal. They have a
high slew rate and relatively short response time so as not to introduce any undue delay in acquiring
the IR interferogram after initiation. A variable resistor is used such that the amount of amplifica-
tion can be adjusted according to the requirement. The resultant analog signal is then digitized
using an ADC. A conversion is triggered at the falling edge of the conversion signal derived from
the DPSS laser interference signal.
A signal generator is used to produce a sinusoidal waveform to drive the electromagnet such
that the device can be actuated at its resonant frequency. This driving signal is also used to trig-
ger the starting point of the whole data acquisition process to obtain a single interferogram. It
is desirable that the IR interferogram is recorded starting from the position when the mobile
lamellar grating facets reach the maximum displacement above the fixed facets. To achieve this,
the signal from the signal generator is connected to a comparator and the reference voltage of
the comparator is adjusted to a suitable value. The basic function of the comparator is to output
a digital high signal when the input signal is higher than the reference voltage value. Hence, by
using the comparator, a digital high signal can be obtained when the maximum displacement
of the mobile facets is reached. The output from the comparator is then used to trigger the data
acquisition software.
MEMS-Based Lamellar Grating Fourier Transform Spectrometers 261

As the lamellar grating resonates, the OPD of the DPSS interferogram signal will vary in an
oscillatory fashion. The DPSS interference signal obtained, when plotted in the time domain, will
have a varying periodicity in accordance with the instantaneous velocity of the lamellar grating.
The period of the signal increases as the movable grating facets slow down as they reach the extrem-
ities. Conversely, the period is the shortest when the movable grating facets are at the instant when
they are in-plane with the fixed grating facets. It should be noted that one cycle of the reference
interferograms, although nonuniform in the time domain, corresponds to a constant OPD, which is
equivalent to the wavelength of the reference laser source.
The interference signal oscillates about an average value (the DC level). A high-speed electronic
circuit is used to produce a triggering pulse each time when the signal crosses the DC level. The
circuitry is designed such that one pulse per cycle of the reference interferogram is generated. Using
this methodology, it is possible to sample the IR interferogram at uniform discrete OPD intervals
(which is basically the wavelength of the DPSS laser) regardless of the nonuniform speed motion
of the lamellar grating facets. The interferogram signal of the DPSS laser obtained (Figure 12.14)
during the experiment demonstrates a varying periodicity due to the resonant motion of the lamel-
lar grating facets as mentioned earlier. Furthermore, it can be observed that there is an inherent DC
drift inside the interferogram as indicated by the dashed line in Figure 12.14. It can also be seen that
there is also a decaying trend in the amplitude of this DPSS interference signal as the offset between
the mobile and fixed grating facets increases.
As mentioned earlier, the DPSS reference signal is aimed at ensuring that the IR interferogram
is sampled at uniform, discrete OPD intervals. However, the drifting DC level of the DPSS interfer-
ence signal shown in Figure 12.14 will result in sampling point errors in the IR interferogram and
hence introduce spectral distortion and noise in the resultant spectrum [22,23]. To overcome this,
the original DPSS laser interference signal is conditioned and modulated in four stages, namely
amplification, high-pass filtering, DC-level shifting, and generation of triggering pulses, to generate
the correct triggering pulses for the ADC of the IR interferogram.
The output signal from the visible photodetector is relatively small and needs to be amplified to a
reasonable range of ~1Vpp. This is done at the first stage through a simple amplification circuit with
an operational amplifier. At the second stage, the floating DC component is removed using a simple
high-pass filter design. The high-pass filter, consisting of a capacitor and a resistor, determines the

0.3

0.25
Voltage (V)

0.2

0.15

0.1

0.05

0
0 0.05 0.1 0.15 0.2 0.25
Time (s)

FIGURE 12.14 Interference signal of the DPSS laser forms the lamellar grating interferometer as electro-
magnetic actuator moves at 1Hz. The signal sample rate is about 20kHz. The dashed line indicates inherent
DC drift.
262 MEMS: Fundamental Technology and Applications

0.1

0.05
Voltage (V)

0.05

0.1

0 0.05 0.1 0.15 0.2 0.25


Time (s)

FIGURE 12.15 Signal of the DPSS laser after going through the high-pass filter.

cut-off frequency. After passing through the high-pass filter, the floating DC component in the
signal is removed and the DPSS signal now oscillates about a constant DC voltage value of zero
volts (Figure 12.15). Next, a simple potential divider method is used to raise the input signal to the
required value since the comparator only accepts positive signal input. A noninverting amplifier
with unity gain is placed before the potential divider to act as a voltage follower, providing a buffer
to provide good current drive.
The conditioned DPSS interference signal is then sent to the comparator such that the sinusoidal
input is transformed into a square wave. The triggering voltage of the comparator is set at the exact
amount of the DC lift that is introduced beforehand. The square wave signal is then fed to the mono-
stable multivibrator for the generation of triggering pulses. The function of the monostable multi-
vibrator is to output a pulse at either the rising or the falling edges of the input square wave. The
duration and accuracy of the output pulse are determined by external timing components. These
triggering pulses are used for starting the ADC conversion of the IR interference signal.
The algorithms for controlling the data acquisition process are developed using the LabView
Program with NIs FPGA (field programmable gate arrays) system. The functionality of the FPGA
system can be defined by using a software to configure the FPGA gates, that is, the functionality of
the software is effectively converted into hardware-based (logic gates) algorithms. FPGA systems
offer many advantages over conventional software control, especially in terms of processing time.
When executing calculations in hardware, the response time to a digital signal can be controlled
within 25ns for an FPGA system operated at a clock rate of 40MHz. Also, performing calculations
in the hardware provides the highest reliability possible as any crash at any software layers will not
have significant effect on the execution of the code. The fast response time offered by an FPGA sys-
tem ensures that there is minimal delay in capturing the digital data from the ADC, thus reducing
the probability that the processed spectra will be affected.

12.3.4Testing and Characterization


The resonant frequency of the lamellar grating device is first identified by sweeping through the
frequency of the signal generator from 100 to 400Hz at 10-Hz intervals. The peak-to-peak voltage
MEMS-Based Lamellar Grating Fourier Transform Spectrometers 263

of the signal is kept at 300mV throughout the experiment. The amplitude of vibration of the lamel-
lar grating is determined by looking at the reference DPSS signal. The number of peaks within one
period of the lamellar gratings oscillation is directly proportional to the amplitude of the oscil-
lation. Subsequently, the frequency response of the system can be obtained and the resonant fre-
quency identified is as shown in Figure 12.16. It shows that the device has a resonant peak at 330Hz.
By driving the device at this frequency, a total grating displacement of 100m (bidirectional) is
achieved with at an input peak-to-peak voltage of 2.2V.
An IR tunable laser source, whose wavelength can be tuned from 1520 to 1620nm, is used in the
experiment as the IR radiation source under test. The interferograms are collected using the data
acquisition system and the spectra calculated using Fourier transform as outlined earlier. A sample
interferogram for the IR radiation at 1520-nm wavelength is shown in Figure 12.17 and the retrieved
spectrum at this wavelength is shown in Figure 12.18a. Figure 12.18bd shows the resultant spectra

25

20
Amplitude (m)

15

10

0
0 100 200 300 400 500
Frequency (Hz)

FIGURE 12.16 Frequency response of the lamellar grating device.

1.1

0.9
Intensity

0.8

0.7

0.6

0.5
0 20 40 60 80 100 120 140 160 180 200
OPD (m)

FIGURE 12.17 Sample interferogram of IR radiation (at 1520nm) collected when the device is resonating
with a driving frequency of 330Hz and a Vpp of 2.2V.
(a) 12,000 (b) 15,000
264

1525 nm 1544 nm
10,000

8000 10,000

6000

Intensity

Intensity
4000 5000

2000

0 0
1000 1100 1200 1300 1400 1500 1600 1700 1800 1900 2000 1000 1100 1200 1300 1400 1500 1600 1700 1800 1900 2000
Wavelength (nm) Wavelength (nm)

(c) 18,000 (d) 104

16,000 1565 nm 1585 nm


2
14,000

12,000
1.5
10,000

8000

Intensity
Intensity
1
6000

4000 0.5
2000

0 0
1000 1100 1200 1300 1400 1500 1600 1700 1800 1900 2000 1000 1100 1200 1300 1400 1500 1600 1700 1800 1900 2000
Wavelength (nm) Wavelength (nm)
MEMS: Fundamental Technology and Applications

FIGURE 12.18 Retrieved spectrum of the IR tunable laser source at wavelength of (a) 1520nm, (b) 1540nm, (c) 1560nm, and (d) 1580nm.
MEMS-Based Lamellar Grating Fourier Transform Spectrometers 265

TABLE 12.1
Peak Recorded and FWHM at Various Wavelengths of the
IR Laser Radiation
Wavelength of IR Peak Recorded
Radiation (nm) in Spectra (nm) FWHM Spectral Resolution (nm)
1520 1525 19
1530 1535 19
1540 1544 20
1550 1555 20
1560 1565 20
1570 1575 20
1580 1585 20
1590 1595 20

when the IR tunable laser is set to other wavelengths. The wavelengths at which the peaks occurred
in the retrieved spectra from Figure 12.18ad are obtained and shown in Table 12.1. The FWHM
spectral resolution at each peak is also measured and tabulated. It is thus demonstrated that operat-
ing the lamellar grating device under the resonance mode together with a reference laser system and
supplementary electronic data acquisition and processing circuits, one can successfully retrieve the
light spectrum using Fourier transform.

12.4 STATIONARY MEMS LAMELLAR GRATING FT SPECTROMETERS


12.4.1Introduction to Stationary FT Spectrometers
As discussed in the above sections, traditional FT spectrometers record interferograms as a func-
tion of time, which requires high-precision scanning mechanisms. Stationary FT spectrometers,
although sharing the same basic working principle of retrieving a light spectrum through Fourier
transform, record the interferograms as a spatial distribution of light intensity. A typical stationary
FT spectrometer implemented using a Michelson interferometer is illustrated in Figure 12.19.

Fixed mirror

Beam splitter Fixed mirror

Extended
source OPD1 Tilt a very
OPD2 small angle
Photodetector
array

Computer

FIGURE 12.19 Stationary Michelson interferometer for FT spectroscopy.


266 MEMS: Fundamental Technology and Applications

In this setup, the interference pattern is generated by tilting one or both mirrors to a small angle such
that the OPD of the interferogram varies spatially across the detection plane. The resultant interfero-
gram is then recorded by a photodetector array and processed to retrieve the spectrum. It is clear from
its working principle that the stationary FT spectrometer does not benefit entirely from the throughput
advantage. Additionally, it may suffer from relatively low spectral resolution due to the noise intro-
duced by spurious spatial light intensity variations and nonuniformity of the sensors. However, it has
some advantages, including having no mechanical moving parts, being compact, and having low cost.
These are attractive features for portable spectrometers meant for field use. Furthermore, with a high-
speed photodetector array, stationary FT spectrometers are capable of quasi-real-time processing and
are applicable to time-resolved spectroscopy for short-time transient phenomena.
Many miniaturized versions of stationary FT spectrometers have been reported. For example,
a miniature stationary FT spectrometer based on a Michelson interferometer was implemented by
Manzardo [35]. Moser and Mller [40] proposed a stationary lamellar-grating-based FT spectrom-
eter using an array of binary grating cells with different grating groove depths. Each cell hence
produces a constituent point or pixel with a specific OPD in an interferogram recorded by a camera.
This concept was later implemented using 3D x-ray lithography by Heussler etal. [41]. Here, an
alternative implementation using SOI micromachining technology [42] is presented.

12.4.2Stationary MEMS-Based Lamellar Grating FT Spectrometer Design


Consider the structure shown in Figure 12.20a, which consists of an array of cells. Each cell is a
thin lamellar grating element as shown schematically in Figure 12.20b. The cells are arranged and
aligned along a straight line with linearly increasing grating groove depth. The structure can be
thought of as an assembly of many lamellar grating elements with the same grating pitch and duty
cycle but different facet offsets or OPD aligned along the direction parallel to the grating grooves.
When the lamellar grating in the conventional lamellar grating interferometer is replaced with this
structure, each of its cells produces a different OPD between waves reflected from the top and bot-
tom of the grating element. The output of the interferometer is thus an interferogram with the OPD
varying spatially along the linear array direction. The light spectrum can be obtained by process-
ing the interferogram recorded using a linear photodetector array. This is exactly like a stationary
lamellar grating FT spectrometer.
However, the structure shown in Figure 12.20a is very difficult to fabricate using current micro-
fabrication techniques. Hence, in the work described here, it has been modified into a simpler struc-
ture as shown in Figure 12.20c. The revised structure consists of two sets of light-reflecting beams.
One set has beam facets located in a horizontal plane, while the other has facets located in a plane
that is tilted slightly with respect to the horizontal plane. As shown in Figure 12.20c, a thin slice of

FIGURE 12.20 (a) Structure having an array of lamellar grating cells with varying facet offsets, (b) details
of a single constituent lamellar grating cell, and (c) a revised structure consisting of tilted interdigitated light-
reflecting beams. The structure shown in (c) is easy to implement using silicon micromachining technology
and can achieve a similar optical functionality of the structure in (a).
MEMS-Based Lamellar Grating Fourier Transform Spectrometers 267

these light-reflecting beams at any point along a beam perpendicular to the beam length direction
represents a lamellar grating element with a specific OPD. A stationary FT spectrometer with this
interdigitated beam structure is constructed and tested.

12.4.3Fabrication and Assembly Processes


The proposed structure is implemented using standard SOI micromachining technology. As shown
in Figure 12.21a, a 25-m-thick device layer on an SOI wafer is etched to form the torsional plat-
form, interdigitated beams, and platform locking mechanisms. The backside of the SOI wafer is
also patterned and etched to remove the silicon substrate in regions under the movable structures.
Finally, the buried silicon oxide layer is removed by an HF etch process to release the movable
structures. After the fabrication process, all structures including interdigitated beams, torsional
platform, and locking mechanisms lie approximately in the same plane, as shown in Figure 12.21a.
Figure 12.21b shows the assembly process to form the tilted interdigitated beams for the station-
ary lamellar grating FT interferometer. Viewed under a microscope, the latching teeth are first
disengaged by pulling the side-latching plates away from the platform-locking plate. The torsional
platform is then pushed to rotate out of plane, giving way for the platform-locking plate to move
in and lock onto its position. While holding the side-latching plates and platform in their positions,
the platform-locking plate is pushed toward the platform using another probe until it overhangs
above the platform. The side-latching plates are then released such that the microlatching teeth are
engaged again to hold the platform-locking plate in position. In this way, the torsional platform is
locked to a predetermined tilt angle and so does the light-reflecting beams attached to it. The scan-
ning electron microscopic (SEM) image in Figure 12.22 shows a part of the device after assembly
process.

12.4.4Spectrometer Calibration and Testing


The optical layout of the stationary FT spectrometer is shown in Figure 12.23. In this setup, the
lenses L1 and L2 form an afocal system. Incident radiation is focused by the lens L1 through an
(a) (b)
Locking
mechanism Side-latching
Torsional beam plates

Latching
Torsional teeth
platform Interdigitated beams Platform-locking
plate

Locking
mechanism

FIGURE 12.21 (a) A photo showing a part of the device just after the fabrication and release processes,
and (b) a schematic showing the details of the locking mechanism and assembly process to form the tilted
interdigitated beams.
268 MEMS: Fundamental Technology and Applications

FIGURE 12.22 A part of the stationary lamellar grating interferometer device after assembly.

Lens L2

Optical slit

Stationary lamellar
grating device
(SOI micromachined
device)
Mirror
Lens L1
Line CCD
Cylindrical lens L3
Incident radiation

FIGURE 12.23 Optical layout of the stationary FT lamellar grating spectrometer.

optical slit, collimated by the lens L2, and directed to the SOI micromachined interdigitated beams
device. The light is then diffracted by the stationary lamellar grating device. The lens L2 collects
the diffracted light beams and focuses them onto the optical slit. During the initial system setup,
the slit is fully open, allowing all diffraction orders to pass. Somewhere behind the slit, a magnified
image of the SOI device is formed. A line charge coupled device (CCD) camera is then placed at the
image plane with its orientation parallel to the direction of the light-reflecting beams on the chip.
It is noted that the SOI device should be placed between the 2f point and the focal point of the lens
L2 to achieve a magnified image. When the system is set up, the optical slit is closed to allow only
zeroth-order diffraction beams to pass. An interferogram is then formed on the line CCD camera.
To increase the detection efficiency, a cylindrical lens L3 is inserted into the optical setup behind
the slit to focus the radiation onto the photosensitive area of the CCD.
MEMS-Based Lamellar Grating Fourier Transform Spectrometers 269

Measured spectrum of TLRH190P


500

400
Spectrum (a.u.)
300

200

100

560 580 600 620 640 660 680 700 720


Wavelength (nm)

FIGURE 12.24 Measured light spectrum of an LED (Toshiba TLRH190P).

The Fourier transform algorithm used in all FT spectrometers requires that the interferograms
be sampled precisely at equal intervals of optical retardation. This means that each constituent
light-reflecting beam must be perfectly straight. However, it is well known that micromachined
structures may deform after release due to the presence of residual stresses or stress gradients. It is
shown through measurement by an optical profiler that this developed SOI stationary lamellar grat-
ing device is no exception. To overcome this problem, the interferogram is segmented into six parts.
For each part, the increment of the OPD per pixel is assumed to be a constant, which is calibrated
with a green DPSS laser at 532nm. After the OPD increments for all six segments are determined,
the light spectrum is then computed using the following discrete form of Fourier transformation
with a phase correction:

B(s ) = I
k l
k lk exp {i 2psdlk } (12.14)

where k is the OPD increment per pixel in the kth segment, and Ilk and lk are the interferogram and
OPD sampled at the lth pixel in kth segment, respectively.
Several experiments are carried out to determine the performance of this stationary micro-FT
spectrometer. One of these involves measuring the output of a light-emitting diode (LED) (Toshiba
TLRH190P) as a light source with a continuous spectrum. The LED has a peak emission wavelength
of 644nm and a spectral linewidth of 18nm. The measured power spectrum is plotted in Figure
12.24, which shows that the LED spectrum is centered at 644nm and has an FWHM of 23.5nm.
This matches well with the respective nominal values of the LED provided by the manufacturer.
This indicates that the developed microspectrometer is able to accurately retrieve the spectrum of
the LED light source.

12.5CONCLUSION
Several designs of miniaturized field-applicable FTIR microspectrometers based on a lamellar grat-
ing interferometer configuration have been discussed here. These designs are implemented with
MEMS technologymore specifically with SOI micromachining. Compared with commercially
available FTIR spectrometers, these microspectrometers demonstrate a more compact device con-
figuration as well as lighter weight and lower device cost due to the mass production capability of
270 MEMS: Fundamental Technology and Applications

current microfabrication technology. The designs are aimed at facilitating spectral sensing applica-
tions, especially for cases requiring tests to be performed in the field and in real time.

REFERENCES
1. Stuart B 1995. Infrared Spectroscopy, 2nd ed. (New York: Wiley).
2. Siesler H W 2002. Near-Infrared Spectroscopy Principles, Instruments, Applications. (Weinheim:
Wiley-VCH).
3. Grifths P R and de Haseth J A 2007. Fourier Transform Infrared Spectrometry, 2nd ed. (Hoboken, New
Jersey: John Wiley & Sons, Inc.).
4. Kong S H, Wijngaards D D L, and Wolffenbuttel R F 2001. Infrared micro-spectrometer based on a diffrac-
tion grating. Sens. Actuators A 92 8895.
5. Sinclair M B, Butler M A, Ricco A J, and Senturia S D 1997. Synthetic spectra: A tool for optical correlation
spectroscopy. Appl. Opt. 36 33423348.
6. Senturia S D 2002. Diffractive MEMS: The polychromator and related devices. Proc. IEEE/LEOS Conf.
Optical MEMS (Lugano, Switz.), 56.
7. Sagberg H, Lacolle M, Johansen I R, Lvhaugen O, Belikov R, Solgaard O, and Sudb A S 2004.
Micromechanical gratings for visible and near-infrared spectroscopy. IEEE J. Sel. Top. Quantum Electron.
10 604613.
8. Tran A T T D, Lo Y H, Zhu Z H, Haronian D, and Mozdy E 1996. Surface-micromachined Fabry-Perot
tunable filter. IEEE Photon. Technol. Lett. 8 393395.
9. Correia J H, Bartek M, and Wolffenbuttel R F 1999. Bulk-micromachined tunable Fabry-Perot micro
interferometer for the visible spectral range. Sens. Actuators A 76 191196.
10. Manzardo O, Herzig H P, Marxer C, and de Rooij N F 1999. Miniaturized time-scanning Fourier trans-
form spectrometer based on silicon technology. Opt. Lett. 24 17051707.
11. Wallrabe U, Solf C, Mohr J, and Korvink J G 2005. Miniaturized Fourier transform spectrometer for
the near infrared wavelength regime incorporating an electromagnetic linear actuator. Sens. Actuators A
123124 459467.
12. Yu K, Lee D, Krishnamoorthy U etal. 2006. Micromechined Fourier transform spectrometer on silicon
optical bench platform. Sens. Actuators A 130131 523530.
13. Manzardo O, Michaely R, Schadelin F, Noell W, Overstoltz T, de Rooij N F, and Herzig H P 2004.
Miniature lamellar grating interferometer based on silicon technology. Opt. Lett. 29 14371439.
14. Manzardo O, Michaely R, Schadelin F, and Herzig H P 2003. Micro-sized spectrometer based on a lamellar
grating interferometer. Proc. IEEE/LEOS Conf. Optical MEMS (Hawaii, USA), pp. 175176.
15. Ataman C, Urey H, and Wolter A 2006. A Fourier transform spectrometer using resonant vertical comb
actuators. J. Micromech. Microeng. 16 25172523.
16. Saadany B, Omran H, Medhat M, Khalil D, and Bourouina T 2009. MEMS tunable Michelson interfer-
ometer with robust beam splitting architecture. Proc. IEEE/LEOS Conf. Optical MEMS.
17. Khalil D, Omran H, Medhat M, and Saadany B 2010. Miniaturized tunable integrated Mach-Zehnder
MEMS interferometer for spectrometer applications. Invited talk at SPIE Photonics West in San Francisco
California, pp. 2328.
18. Bell R J 1972. Introductory Fourier Transform Spectroscopy (New York: Academic Press).
19. Kenda A, Drabe C, Schenk H, Frank A, Lenzhofer M, and Scherf W 2006. Application of a microma-
chined translatory actuator to an optical FTIR spectrometer. Proc. SPIE 6186 7888.
20. Das A N, Popa D O, Sin J, and Stephanou H E 2009. Precision alignment and assembly of a Fourier
transform microspectrometer. J. Micro-Nano Mech. 5 528.
21. Yu K, Lee D, Krishnamoorthy U, Park N, and Solgaard O 2006. Micromachined Fourier transform spec-
trometer on silicon optical bench platform. Sens. Actuators A 130131 523530.
22. Kraft Sandner T, Drabe Ch, Schenk H, Kenda A, and Scherf W 2008. Translatory MEMS actuators
for optical path length modulation in miniaturized Fourier-transform infrared spectrometers. J. Micro/
Nanolithogr. MEMS MOEMS 7 021006.
23. Kraft M, Kenda A, Sandner T, and Schenk H 2008. MEMS-based compact FT-spectrometersA plat-
form for spectroscopic mid-infrared sensors. IEEE SENSORS Conf., pp. 130133.
24. Ataman C and Urey H 2009. Compact Fourier transform spectrometers using FR4 platform. Sens.
Actuators A 151 916.
25. Sakai K, Masumoto H, Ichimura K, and Kojima H 1978. High-resolution lamellar-grating Fourier-
transform spectrometer for the submillimeter region. Appl. Opt. 17 17091715.
MEMS-Based Lamellar Grating Fourier Transform Spectrometers 271

26. Manzardo O, Michaely R, Schadelin F, Noell W, Overstoltz T, de Rooij N F, and Herzig H P 2004.
Miniature lamellar grating interferometer based on silicon technology. Opt. Lett. 29 14371439.
27. Manzardo O, Michaely R, Schadelin F, and Herzig H P 2003. Micro-sized spectrometer based on a lamellar
grating interferometer. Proc. IEEE/LEOS Conf. Optical MEMS (Hawaii, USA), pp. 175176.
28. Scharfa T, Briandb D, Bhlerc S, Manzardoa O, Herziga H P, and de Rooijb N F 2010. Miniaturized
Fourier transform spectrometer for gas detection in the MIR region. Sens. Actuators B 147 116121.
29. Al-Saeed T A and Khalil D 2010. Diffraction effects in optical MEMS Michelson interferometer. J. Appl.
Opt. 49 39603966.
30. Ataman C, Urey H, and Wolter A 2006. A Fourier transform spectrometer using resonant vertical comb
actuators. J. Micromech. Microeng. 16 25172523.
31. Yu H, Zhou G, Chau F S, Lee F, Wang SH, and Zhang M 2008. An electromagnetically driven lamellar
grating based Fourier transform microspectrometer. J. Micromech. Microeng. 18 055016.
32. Lee F, Zhou G, Yu H, and Chau F S 2009. A MEMS-based resonant-scanning lamellar grating Fourier
transform micro-spectrometer with laser reference system. Sens. Actuators A 149 221228.
33. Wang S H, Yu H, and Chau F S 2010. A miniaturized Lamellar grating based Fourier transform spectrom-
eter with electrostatic actuation. IEEE Sens. J. 10 18691874.
34. Strong J and Vanasse G A 1960. Lamellar grating far-infrared interferomer. J. Opt. Soc. Am. 50 113118.
35. Manzardo O 2002. Micro-sized Fourier spectrometers, Neuchatel, University, Thesis (doctoral), pp.105107.
36. Zhou G and Dowd P 2003. Tilted folded-beam suspension for extending the stable travel range of comb-
drive actuators. J. Micromech. Microeng. 13 178183.
37. Hou M T K, Huang G K W, Huang J Y etal. 2006. Extending displacements of comb drive actuators by
adding secondary comb electrodes. J. Micromech. Microeng. 16 684691.
38. Madou M 2001. The MEMS Handbook (New York: CRC Press).
39. Keith M, Allen C, Greg H, and Busbee H 2004. SOIMUMPs Design Handbook (MEMScAP at www.
memscap.com).
40. Moser H O and Mller K D 1994. Grating structure and its use, European patent EP0765488B1.
41. Heussler S P, Moser H O, Quan C G etal. 2007. Deep X-ray lithography in the fabrication process of a
3D diffractive optical element. AIP Conf. Proc. 879 15031506.
42. Chau F S, Du Y, and Zhou G 2008. A micromachined stationary lamellar grating interferometer for
Fourier transform spectroscopy. J. Micromech. Microeng. 18 025023.
13 Microelectromechanical
Resonators for RF Applications
Frederic Nabki, Tomas A. Dusatko, and Mourad N. El-Gamal

CONTENTS
13.1 Introduction........................................................................................................................... 273
13.2 MEM ResonatorsBasics.................................................................................................... 274
13.2.1 Principle of Operation............................................................................................... 274
13.2.2 Definition of Quality Factor...................................................................................... 275
13.2.3 Capacitive Transduction and Sensing........................................................................ 275
13.2.4 Modeling of MEM Resonators.................................................................................. 277
13.2.5 Nonlinear Effects of MEM Resonators.....................................................................280
13.2.5.1 Frequency Pulling.......................................................................................280
13.2.5.2 Pull-In Voltage............................................................................................ 281
13.2.5.3 Power Handling.......................................................................................... 281
13.2.6 Energy Loss Mechanisms of MEM Resonators........................................................ 282
13.2.6.1 Gas Damping.............................................................................................. 282
13.2.6.2 Anchor Loss................................................................................................ 282
13.2.6.3 Thermoelastic Damping............................................................................. 282
13.2.6.4 Q-Loading by External Circuitry............................................................... 283
13.3 Applications of MEM Resonators......................................................................................... 283
13.3.1 MEM Resonator-Based Filters.................................................................................. 283
13.3.2 MEM Resonator-Based Oscillators...........................................................................284
13.3.3 Other Applications..................................................................................................... 285
13.4 Evolution of MEM Resonators.............................................................................................. 285
13.5 MEMS-Based Transceivers................................................................................................... 290
13.6 Mechanical Circuits with MEM Resonators......................................................................... 292
13.6.1 MEM Resonator-Based Filters.................................................................................. 292
13.6.2 MEM Resonator-Based Arrays.................................................................................. 297
13.7 Case Studies: Fabricated MEM Resonators.......................................................................... 299
13.7.1 CMOS-Compatible Tunable ClampedClamped Resonators................................... 299
13.7.2 FreeFree Beam Resonators...................................................................................... 301
13.7.3 Radial Mode Disk Resonators...................................................................................302
13.8 Case Studies: Resonator-Based Systems...............................................................................304
13.8.1 MEM Resonator Array-Based Oscillators................................................................ 305
13.8.2 Programmable MEM Resonator-Based Frequency Shift Keying Transmitters........307
References.......................................................................................................................................309

13.1INTRODUCTION
The introduction of integrated circuits (ICs) in the twentieth century changed the way engineers
design electronic systems. In telecommunications, radio frequency (RF) ICs have performance
requirements that are not readily or cheaply attainable using commercial IC technologies. These

273
274 MEMS: Fundamental Technology and Applications

technologies are usually tailored to digital or more traditional analog designs and, consequently,
are limited by the low quality (Q) factors of passive devices such as integrated inductors, capaci-
tors, and filters. This forces engineers to design around performance-limited IC devices or to resort
to cumbersome off-chip elements. Today, the trend toward systems-on-chip (SoC) solutions ren-
ders off-chip components undesirable because of their impact on production quality, cost, and size.
Microelectromechanical systems (MEMS) offer the opportunity to integrate many RF subcompo-
nents on-chip, which have traditionally been implemented off-chip.
Specifically, microelectromechanical (MEM) devices provide the microelectronics designer
with a new toolset of devices and functionalities. These allow for a higher level of integration,
which translates into more functionality in the same form factor, and enables lighter, lower-cost,
and more portable wireless systems. Compared to conventional integration-friendly devices such
as integrated inductors, MEM components have the potential to offer better performance through
enhanced quality (Q) factors and lower activation power. MEMS can also allow for improved func-
tionality. For example, MEM resonators can provide flexible on-chip reconfigurability and high
filtering performance, while having the potential for integration with electronics.
In this chapter, some conventional transceiver architectures are reviewed, along with their poten-
tial for MEMS implementations. MEM resonators are described in detail from their evolution and
applications, to their operation and use in systems such as MEM resonator-based filters and arrays.
Finally, case studies of published works involving MEM resonators are reviewed.

13.2 MEM RESONATORSBASICS


13.2.1 Principle of Operation
Micromechanical resonators are structures that can be used for filtering or signal generation appli-
cations in many subcomponents of RF transceivers, due to their high level of frequency selectivity.
Every mechanical structure, such as a disk or a beam, has several natural modes of resonance.
At the macroscale, these occur at very low frequenciestypically lower than a few kilohertz.
By shrinking the dimensions into the microscale, higher resonance frequencies can be attained.
Mechanical structures are forced into resonance by applying on them mechanical forces at specific
frequencies, known as resonant frequencies. Figure 13.1 shows a beam with movement constrained
at both ends. This beam configuration is commonly known as clampedclamped. Also shown
are the deformed shapes for the first flexural mode, which has the lowest resonant frequency, and
is labeled as flexural because the movement is in a direction parallel to the beam thickness. As an
example, a clampedclamped beam that is 40-m long would have a typical resonant frequency in
excess of 8MHz [1], depending on the structural material used. For smaller beams, the resonant
frequency can reach values as high as ~100MHz [2].
To provide the mechanical forces necessary to drive the beam into resonance, transducers that
convert electrical signals into the mechanical domain are used. Different types of transducers exist.
As an example, Figure 13.2 shows the block diagram of an MEM resonator actuated using an
Oscillation

FIGURE 13.1 Clampedclamped beam with deformed shapes for its first flexural mode of resonance.
Microelectromechanical Resonators for RF Applications 275

Input Output
Resonant
Transducer structure Transducer

Velocity (u)
Voltage (v)

Current (i)
Force (F)
f0 f f0 f f0 f f0 f

FIGURE 13.2 Electrostatically actuated MEM resonator block diagram.

electrostatic transducer. A voltage signal is applied to the input of the resonator, which converts it
into the mechanical domain as a force. This force then stimulates a resonant structure by inducing
displacement: this filters out the force by attenuating its components that are not at the structures
resonant frequency, f0. Subsequently, the velocity of the structure, which is the time derivative of the
displacement, is sensed by the transducer and is then converted back into the electrical domain as an
output current. MEM resonators using other types of transduction mechanisms, such as piezoelec-
tric crystals, operate on different physical quantities, such as mechanical stresses, but their general
block diagrams remain the same.

13.2.2Definition of Quality Factor


The quality (Q) factor of a filter is a metric that characterizes its level of selectivity in the frequency
domain, defined as

wo
Q= , (13.1)
w 3dB

where 3dB is the 3dB bandwidth, and o is the center frequency of resonance. In terms of physi-
cal quantities, the Q-factor is also equal to the ratio of the amount of energy stored in the system to
the amount of energy that is lost per cycle:

Estored
Q= . (13.2)
Elost

Thus, to maximize the Q-factor, all sources of energy losses must be minimized. In general,
mechanical resonance has a high level of selectivity, as it exhibits very small energy losses. It is
therefore ideal for narrow-band filtering applications. In the case of an MEM resonator, maximizing
the Q-factor of a device is related to increasing its stiffness (thus the stored energy) and minimiz-
ing damping mechanisms (thus the energy lost). When an MEM resonator is used as the frequency
selective tank in an oscillator, its Q-factor has a large impact on the phase noise performance of the
system and therefore should be as high as possible [3,4].

13.2.3Capacitive Transduction and Sensing


MEM resonators exploit the high-Q resonance of mechanical structures to perform highly selective
filtering for applications in RF analog electronics. The resonance principle is similar to that used
in quartz crystals: the electrical signal is first transformed into a mechanical force that causes the
structure to vibrate at specific frequencies. With careful design, the vibrating structure can be used
to output an electric current that is a filtered version of the input signal. Thus, the filtering process
is composed of three main steps: electromechanical transduction to convert the input electrical
276 MEMS: Fundamental Technology and Applications

Output

W
L

go

LE
x

z y
Input

FIGURE 13.3 Simplified beam resonator.

signal into a mechanical force, high-Q filtering using mechanical resonance, and lastly mechanical-
to-electrical conversion to create an output current. In quartz crystals, these actions are performed
using the piezoelectric properties of the material; however, most MEM resonators are suited to
electrostatic (capacitive) excitation. Consider the simple beam resonator shown in Figure 13.3. It is
composed of a single mechanical beam with a length L and a width W. It acts as both the top elec-
trode of a capacitor and a resonant structure. The bottom capacitor electrode is located under the
beam at a distance go and is connected to the input signal.
When a small-signal voltage vi(t) is superimposed on a DC voltage VP on the input electrode, the
driving electrostatic force is expressed as

1 C 2 C 1 C
FE (t ) = V +
2 g p
V v (t ) +
g P i
[ v (t )]2 ,
2 g i
(13.3)

where C is the overlap capacitance and g the gap between the beam and the electrode. Since the
input signal amplitude is small, the last term of Equation 13.3 can be neglected, yielding an AC
force component of

C
FE (t ) = V v (t ) = he vi (t ), (13.4)
g P i

where e is known as the electromechanical coupling coefficient. This AC force causes the mechani-
cal structure to vibrate, which will in turn modulate the size of the gap between the two electrodes.
Note that VP may be used to effectively turn on and turn off the resonator and that the structure will
only vibrate with a significant amplitude if the input signal frequency matches its resonant frequency.
When a DC voltage is placed across the gap, the time varying capacitance will generate an output
current, io (t). This current is proportional to the velocity of vibration and is expressed as

C
io (t ) = VP u(t ) = heu(t ), (13.5)
g

where u(t) is the resonators velocity. By convention, a positive current is defined as flowing into
the resonators positive terminal. The exact expression for the capacitance derivative depends on
the resonance mode shape [5], but can be approximated to the following expression by assuming a
uniform displacement that is much smaller than the initial gap, g0:
Microelectromechanical Resonators for RF Applications 277

C eA
0 2E , (13.6)
g g0

where 0 is the permittivity in vacuum and AE is the electrode overlap area. Equation 13.5 indicates
that the magnitude of the output current is directly related to the amplitude of vibration. Thus, the
latter must be made as large as possible to increase the power of the output signal. Furthermore,
Equation 13.6 shows that the resonator initial gap has a big impact on the output current and should
be made small for strong current drive. This small gap, which can be in the order of 100nm [6], pres-
ents one of the biggest fabrication challenges of MEM resonators. The electrode overlap area can be
maximized, but higher resonant frequency resonators have small dimensions, and hence have limited
area overlap. High current drive is essential when MEM resonators are used in reference oscillators,
since the phase noise of the system is inversely related to the output power [3,7]. High current drive
is also important in resonator-based filters and arrays, where it is directly related to insertion loss [8].

13.2.4 Modeling of MEM Resonators


Since MEM resonators convert signals from the electrical to the mechanical domain, a small-signal
model is required that can capture the mechanical resonance of the device along with various electri-
cal effects, such as capacitive feed-through and resistive loading by external circuitry. This section
describes how the mechanical resonator can be modeled using a combination of passive electrical
components. The resulting models can then be incorporated into circuit simulation packages.
Many analogies can be drawn between mechanical and electrical systems [9]. Since both can
be modeled using linear system theory, all concepts and design techniques that are used for circuit
design can be used for mechanical design and vice versa. Like circuits, the response of mechanical
systems can be described in terms of the poles and zeros of the systems transfer function. In fact,
the linear differential equations that govern the motion of lumped parameter massspringdamper
systems take the same general form as that of resonant RLC (resistor, inductor, capacitor) circuits.
To see more clearly the similarity between the two systems, consider the series RLC circuit and
the lumped massspringdamper mechanical system shown in Figure 13.4. The differential equa-
tion governing the RLC is

d 2 i (t ) di(t ) 1 dv(t )
L +R + i (t ) = , (13.7)
dt 2 dt C dt

where i(t) is the current, L the inductance, R the resistance, C the capacitance, and v(t) the voltage.
Similarly, the differential equation governing the massspringdamper mechanical system is

d 2 u( t ) du(t ) dF ( t )
m +d + ku(t ) = , (13.8)
dt 2 dt dt

u(t)

v(t) k
+
Rx Cx Lx
m
F(t)
i(t)
d

FIGURE 13.4 Lumped electrical RLC circuit and massspringdamper system.


278 MEMS: Fundamental Technology and Applications

Current Velocity

C 1/k

Voltage L Force m

R d

FIGURE 13.5 Series RLC mechanical mapping analogy.

where u(t) is the velocity, m the mass, d the damping coefficient, k the spring constant, and F(t) the
force. By comparing Equations 13.7 and 13.8, there is a clear duality between the voltagecurrent
(vi) response of a series electrical RLC circuit and the forcevelocity (Fu) response of a mass
springdamper system. An RLC circuit is shown in Figure 13.5, along with its dual mechanical
equivalent circuit.
A summary of the correspondence between the electrical and mechanical domains is provided in
Table 13.1. Using this analogy, the mobility Ym of the device can be defined as [9]

u
Ym = . (13.9)
F

Mobility, the inverse of mechanical impedance, is the ratio of the velocity of the structure to the
driving force. For distributed structures such as MEM resonators, the mobility will change depend-
ing on the location at which it is calculated. For example, the mobility of a free end is infinite, while
the mobility of a clamped end is zero. Using this analogy, it is easy to derive the response of several
coupled mechanical systems by simply combining their mobilities [9]. The mobility of a second-
order mechanical system such as the one shown in Figure 13.5 can be described as

U (w ) 1/m
Ym (w ) = = jw 2 , (13.10)

F (w ) (w n w + j(w w n /Q))
2

where F() is the input force, U() is the velocity of the mass, Q is the Q-factor of the mechanical
resonance, and n is the resonant frequency in radians per second (rad/s). The resonant frequency

TABLE 13.1
Summary of ElectricalMechanical Analogy
Electrical Variable Mechanical Variable
Voltage (v) Force (F)
Current (i) Velocity (u)
Inductance (L) Mass (m)
Capacitance (C) Compliance (1/k)
Resistance (R) Damping (d)
Microelectromechanical Resonators for RF Applications 279

is defined as

k
wn = . (13.11)
m

Equations to determine the resonant frequencies of different structures are derived in Refs. [912].
The static mass of a structure cannot be used in these models, however, since most resonant struc-
tures have complex mode shapes. The resonant structure must be treated as a distributed system,
with an effective mass, mN, and an effective spring constant, kN. This effective mass is usually some
fraction of the actual mass of the system and is dependent on the mode shape [10]. A technique to
extract the effective mass based on the mode shapes is described in Ref. [9]. Once the effective mass
is determined, the effective stiffness is found using Equation 13.11.
With the effective mass and spring constant determined, the effective damping factor, dN, can be
shown to be

mN kN
dN = . (13.12)
Q
To map the resonator device to an RLC circuit, the electrostatic transducer needs to be taken
into account by using the electromechanical coupling coefficient [5]. The expressions for the RLC
components are given as
vi (t ) d N
Rx = = , (13.13)
io (t ) h 2e

h e2
Cx = , (13.14)
kN

mN
Lx = , (13.15)

h e2

where R x, Cx, and L x are the extracted series RLC circuit parameters and are not physical quantities.
R x is the motional resistance of the resonator and is an important design parameter, as it determines
the inputoutput behavior of the resonator at resonance. As for Cx and L x, they set the resonant fre-
quency of the RLC circuit, and together with R x, they set the Q-factor such that

1
wn = , (13.16)
LxC x

1 Lx
Q= . (13.17)
Rx Cx

With these three equivalent circuit parameters, an equivalent electrical model can be constructed,
as shown in Figure 13.6 [13].
The resonator is represented by its equivalent RLC circuit, while the physical overlap capaci-
tance and parasitic feed-through capacitance are modeled with Co and Cp, respectively. The static
electrical overlap capacitance of the resonator input and output port is given by

e0 Ae
Co = . (13.18)
g0
280 MEMS: Fundamental Technology and Applications

Rx Cx Lx

C0

CP

io(t)
+
vi(t)

FIGURE 13.6 Resonator small-signal electrical model.

The parasitic feed-through capacitance from the input to the output port is represented by CP
and depends on the level of coupling between the two ports of the device. It is primarily a result of
feed-through across the substrate and of coupling through the resonators packaging and fixturing.
The feed-through capacitance will cause a parallel resonance and, if too large, it can mask the small
motional current of the resonator and make the true Q-factor difficult to extract. This can be miti-
gated if the motional resistance of the resonator is low, and if care is taken in resonator packaging
and interconnecting.

13.2.5Nonlinear Effects of MEM Resonators


So far, small-input signals have been assumed, and nonlinearities could be ignored. However, as the
amplitude of the input DC or AC voltages increase, the nonlinear gap capacitances create a number
of nonlinear effects. If the resonator electrodes have negligible static bending, and the fringing
fields are neglected, the nonlinear capacitance can be modeled using the simple parallel plate for-
mula, which is given by

e0 AE eA
C = = 0 E , (13.19)
g g0 + x

where x is the resonator displacement. As shown previously, the input force and the output current
of a resonator are directly related to the derivative of this gap capacitance, given by

dC eA 1
= 0 2E . (13.20)
dx g0 (1 + ( x /go ))2

The derivative of the capacitance exhibits an inverse-square nonlinearity, which not only will dis-
tort the output signal, but will also lead to resonant frequency pulling [6] and Duffing behavior [10,14].

13.2.5.1 Frequency Pulling


The frequency of an MEM resonator can be tuned to some degree by adjusting the DC bias voltage
across the gap capacitance [6]. A positive increase in the bias voltage is accompanied by a decrease
in the resonant frequency. This effect, commonly referred to as spring softening, allows for the
output frequency to be tuned. A drawback is that the amplitude noise on the bias-voltage line will
directly modulate the output frequency, creating close-to-carrier noise through AM to FM conver-
sion. Similarly, the resonant frequency will also change as the input signal amplitude grows beyond
Microelectromechanical Resonators for RF Applications 281

the small-signal regime, which can have a significant effect on the short-term frequency stability of
the resonator.
Frequency pulling is a direct result of the nonlinear gap capacitance. The overall resonant
frequency can be described as

kN ke k
fr = = fr 1 e , (13.21)
mN kN


where fr is the resonant frequency with no voltage applied, fr the shifted frequency, and ke is the
electrostatic effective spring constant that can be approximated as [13]

e0 AEVP 2
ke . (13.22)
g03

The sensitivity of the resonator frequency to changes in the bias voltage can be increased by
maximizing the electrode area, decreasing the gap spacing, and reducing the mechanical spring
constant. High-frequency resonators have large spring constants and therefore have a smaller tuning
range. For example, a typical 193-MHz disk resonator can have a tuning range as small as 0.01%,
and a typical 8-MHz beam resonator can have a tuning range as big as 9.4% [15,16]. Note that
increasing the electrical spring constant through gap reduction will worsen the overall linearity of
the resonator, a trade-off that should be carefully considered.

13.2.5.2 Pull-In Voltage


The resonator device must be biased with a DC voltage to generate an output current. As such, it is
advantageous to increase the bias voltage to increase the current drive. Unfortunately, because of
static instability, there is a limit to how much the bias voltage can be increased before the electro-
static force catastrophically pulls the device into the electrode. The pull-in voltage is highly unpre-
dictable and, in most cases, it is lower than that predicted by analytical equations because of the
effects of surface roughness and geometry [17]. The pull-in voltage limits the reduction in motional
resistance that can be obtained through biasing and also bounds the tuning range. Balanced resona-
tors such as disks using two symmetric electrodes for input and output have higher pull-in voltages
than beams because the two electrostatic forces oppose each other and cancel. In this case, the bias
voltage will be limited instead by the breakdown of the air gap across the electrodes.

13.2.5.3 Power Handling


Nonlinearity in MEM resonators limits how much power these devices can handle. As the input
amplitude is increased, if the vibration amplitude of the resonator reaches a significant fraction of
the electrostatic gap size, the resonator starts to show erratic behavior, or can fail. This limits the
power-handling capabilities of the resonator and can constrain performance in systems such as
oscillators, where performance not only depends on the quality factor, but also on the signal power
[6]. To reflect the worst-case scenario, one refers to a point where the displacement is maximal. The
maximum power flowing through a resonator can then be defined as

n
Po max = ( RX io2 ) kN (Wmax ) ,
2
= (13.23)

worst case Q

where Wmax is the maximum allowed displacement. Assuming that the maximum allowed displace-
ment can be expressed as [18]

Wmax = p g0 , (13.24)

282 MEMS: Fundamental Technology and Applications

where p is a constant defining how big the displacement of the resonator can be with respect to
the gap before device operation is compromised. For example, if pull-in is assumed to be the main
limit for the displacement, p=0.56 for a beam resonator [18]. Equations 13.23 and 13.24 show that
the power handling of an MEM resonator is increased for stiffer resonators and for bigger gaps.
For similar Q-factors and gaps, high-frequency devices such as disk resonators have more power-
handling capabilities than beam resonators. For example, a typical 9-MHz clampedclamped beam
resonator has a power-handling capability of 40dBm, while a 60-MHz disk resonator has an
improved power-handling capability of 20dBm [5]. MEM resonator arraying techniques can
be used to further improve power handling [19]. Interestingly, a higher quality factor reduces the
power-handling capability of the resonator, which can be intuitively understood by the increased
displacement-to-input voltage efficiency of a higher-Q resonator.

13.2.6Energy Loss Mechanisms of MEM Resonators


The main sources of energy losses in MEM resonators are through viscous gas damping, ther-
moelastic damping, and the radiation of acoustic waves through the support of the resonant struc-
ture. All these sources can be independently considered and combine to reduce the Q-factor of the
resonator.

13.2.6.1 Gas Damping


Gas damping is energy loss caused by the displacement of air molecules as the resonator vibrates.
Because of the small dimensions of the gaps in typical MEM resonators, squeeze-film effects
accentuate the effect [20]. Gas damping can be reduced by operating the resonator in a vacuum.
For high-performance devices, this is becoming the norm, as it is the only way to obtain relatively
high-Q values (>10,000) [21]. For reasonable performance, flexural mode devices should be oper-
ated at pressure less than ~100mTorr [22]. High-frequency resonators such as disks generally
exhibit less movement as their spring constants are higher and hence are less affected by gas
damping [16,23].

13.2.6.2 Anchor Loss


Significant energy loss also occurs through the anchors of the resonators. Since the MEM resona-
tor must be affixed to the substrate in some way, this type of loss is unavoidable. As the structure
vibrates, it generates a periodic force on the supports that are attached to the substrate. This force, in
turn, generates acoustic waves that radiate energy into the substrate. Techniques exist to minimize
this loss, such as locating the supports at stationary nodal points [2], or using different materials for
the structure and the anchors to create an acoustic mismatch [24]. Unfortunately, alignment errors
and fabrication tolerances ultimately limit the effectiveness of these methods. Anchor loss can also
be resonator geometry-dependent. For example, in the case of clampedclamped beam resonators,
the geometries of the anchors do not change as the beams get shorter. These shorter beams oper-
ate at higher frequencies while exerting bigger moments and forces on the anchors. The anchors
undergo more deformation and hence cause added energy loss [2].

13.2.6.3 Thermoelastic Damping


The last major source of energy loss in MEM resonators is due to thermoelastic damping, which is
a characteristic of the resonator material and cannot be avoided. As a result, it sets the upper ther-
modynamic limit on the Q-factor [25]. It is essentially due to the conversion of mechanical strain
into heat, which then leads to entropic dissipation. Local adiabatic changes in the stress state result
in temperature increases and therefore the conduction of heat through the material. As shown in
Refs. [25,26], there are three components to this damping in polycrystalline materials: Zener damp-
ing, intercrystalline damping, and intracrystalline damping. Each type of damping contributes to
the overall Q-factor of the system and is maximized at certain frequencies of vibration. Thus, this
Microelectromechanical Resonators for RF Applications 283

Ro
Resonator
Ri

FIGURE 13.7 Resistive loading on resonator by external circuitry.

should be a major consideration for design, since resonators that vibrate near these frequencies will
be less efficient.

13.2.6.4 Q-Loading by External Circuitry


Energy loss mechanisms are responsible for determining the unloaded Q-factor of the resonator;
however, when it is used in a system with external circuitry, the effective Q-factor of the resonator
will in fact be much lower because of loading from external components such as resistors. Consider
the situation shown in Figure 13.7, where the terminals of a resonator are connected to the external
circuitry with output and input resistances equal to Ro and Ri, respectively.
In this case, the loaded Q-factor, Ql, can be expressed as

Qul
Ql = , (13.25)
1 + ( Ri + Ro ) /Rx

where Qul is the unloaded Q-factor. Equation 13.25 shows that the effective Q-factor of the overall
system will be reduced when loaded by the external circuitrys output and input resistances. To
reduce this Q-loading effect, these resistances should be made much smaller than the motional
resistance of the resonator, R x.

13.3 APPLICATIONS OF MEM RESONATORS


MEM resonators can be viewed as bandpass filters with small bandwidths that can be designed to
resonate at specific frequencies, by appropriate choices of the geometric dimensions and material.
With such functionality, many applications are possible through the use of the resonator directly as
a filter, or indirectly as the core of an oscillator for frequency generation.

13.3.1 MEM Resonator-Based Filters


MEM resonators are naturally suitable for bandpass filtering of electrical signals. The magnitude
and phase responses of a typical resonant MEM structure are shown in Figure 13.8. At resonance,
the MEM resonator essentially acts as a purely resistive element whose value depends on the
Q-factor of resonance and also on the actuation transducer efficiency.
MEM resonators can also be combined to create higher-order bandpass filters that can poten-
tially be integrated into transceiver front-ends by replacing costly, off-chip, narrow-bandwidth fil-
ters [15]. Furthermore, with the advent of new process technologies, MEM resonator devices can
potentially be monolithically fabricated alongside the underlying electronics [1,27].
284 MEMS: Fundamental Technology and Applications

|H| H

Max 90

90

f f
f0 f0

FIGURE 13.8 Typical MEM resonator transfer function.

13.3.2 MEM Resonator-Based Oscillators


Oscillators are commonly used in RF systems for high-frequency signal generation in RF voltage-
controlled oscillators (VCOs), or as low-frequency references for phase-locked loops (PLLs).
The loop topology shown in Figure 13.9 describes the operation of a typical MEM resonator-
based oscillator. A wideband amplifier has its frequency response filtered by an MEM resonator.
Provided that the amplifier has enough gain to offset the resonators loss at resonance and that its
bandwidth is wide enough to contribute negligible phase shift to the loop, the circuit will oscillate
at the resonant frequency of the MEM resonator.
Because of the bandpass nature of the resonator and the noise shaping caused by the feedback
loop, the spectral density of the output is a single tone bounded by an unwanted skirt [28]. This is
commonly referred to as phase noise, as it causes jitter in the phase of the output signal. Its magni-
tude is inversely proportional to the square of the filters loaded quality factor and to the power of the
oscillation [6]. Hence, to reduce this unwanted noise, it is important to use a bandpass filter with a
high Q-factor and to have a high amplitude of oscillation. The limited power-handling capabilities of
MEM resonators restrict phase noise performance improvement through the increase in the oscilla-
tion amplitude, but the high Q they provide allows for reasonably good phase noise performance [19].

Amplifier

Noise

|H|

f0 f

MEM resonator

FIGURE 13.9 Typical MEM resonator-based oscillator loop.


Microelectromechanical Resonators for RF Applications 285

In RF VCOs, where full system integration is favored, filters with high center frequencies are
used. However, standard LC-based integrated filters can only achieve low Q-factors, in the orders of
625 [29]. This limits the phase noise performance of integrated VCOs. On the other hand, MEM
resonators exhibit Q-factors in the order of thousands [2] and have the potential of being integrated
with the oscillator electronics.
At low frequencies, an integrated LC tank has prohibitively large component values. As a result,
low-frequency reference oscillators typically use off-chip tanks such as crystals to achieve the good
phase noise performance needed. MEM resonators are still small enough at low frequencies to be
integrated and hence provide a distinct advantage.

13.3.3Other Applications
MEM resonators have a resonant frequency that depends on their operating conditions such as
temperature, pressure, or ambient chemical content. By capitalizing on these variations, design-
ers can use MEM resonators to measure different physical parameters with high accuracy. MEM
resonators have already been considered for use in sensing applications of gas [30,31], vibration
[32], ultrasound [33,34], and chemical and biological sensing [35,36]. In other filtering applications,
MEM resonators have been investigated for use in biomedical domains such as artificial cochlear
implants [37,38].

13.4 EVOLUTION OF MEM RESONATORS


MEM resonators have evolved significantly over the last several years. Development has proceeded
in two different directions: (1) electrostatically actuated resonators and (2) piezoelectric film, bulk-
acoustic-based resonators. Piezoelectric films can be used to generate bulk-acoustic waves (BAW)
and, in recent years, have been successfully implemented in high-frequency reference oscillators
and filters [3943]. However, one of the major drawbacks of this technology is that the resonant
frequency of the resonators is highly dependent on the thickness of the filma parameter known
to be difficult to control in ICs and systems. Also, it is difficult to create resonators with differ-
ent frequencies on the same chip, since film thicknesses on a given layer are usually fixed by the
process.
This chapter focuses on electrostatically actuated resonators. These allow for more design flex-
ibility, since the resonant frequency is set by flexible geometry parameters, which can be easily
modified for different applications. Initial electrostatic resonator designs were mostly based on a
comb-drive, as shown in Figure 13.10, connected to a large shuttle mass that vibrated laterally on
the substrate [6].

Comb transducers
Anchor

68 m

Folded-beam
suspension

FIGURE 13.10 Comb resonator. (Adapted from C. T. C. Nguyen and R. T. Howe, IEEE Journal of Solid-
State Circuits, 34(4), 440455, April 1999.)
286 MEMS: Fundamental Technology and Applications

Polysilicon Metallization
resonator Polysilicon
Anchor beam interconnect

Bias/sense electrode Drive electrode

FIGURE 13.11 Clampedclamped beam resonator. (Adapted from K. Wang, A.-C. Wong, and C. T. C.
Nguyen, Journal of Micro-Electromechanical Systems, 9(3), 347360, September 2000.)

Although effective as a proof-of-concept, these designs had very little practical values for RF
systems, as their resonant frequencies were well below 500kHzthe main reasons for this being
the large masses of the structures and the relatively low spring constants. The next generation of
designs concentrated on increasing the resonant frequencies of the devices, while preserving rea-
sonable Q-factors (Q>1000), and were based on the simple clampedclamped polysilicon canti-
lever beam, as shown in Figure 13.11 [15]. Although this structure has the potential of generating
high-frequency signals, energy loss through the structural anchors makes these designs limited to
applications requiring Q-factors lower than 10,000. Also, to achieve resonant frequencies above
100MHz, the length of the cantilever beam becomes very small and subject to variations in pro-
cessing and mass loading. Another dominant form of energy loss for this structure is squeeze-film
air damping. To obtain a reasonable Q-factor, these devices must be operated in vacuum at a pres-
sure below ~100 mTorr. This raises issues about packaging and integration.
The second generation of beam resonators was based on a freefree design, as shown in
Figure13.12 [2], where the vibrating structure was a beam that was suspended above the substrate
at nodal points.
By anchoring the beams at nodal points, where there is ideally no displacement, and using quarter-
wavelength torsional support beams, anchor energy loss was minimized. This significantly increased
the Q-factor of the device, as it greatly reduced acoustic energy losses to the substrate; however, vis-
cous gas damping was still an issue. Designs of this type were fabricated and successfully operated
at frequencies from 30 to 90MHz with Q-factors of ~8000 [2]. Based on this structure, other similar
designs using higher-order modes were built with resonant frequencies up to 102MHz [21,44]. Also,
because of the complex flexural mode shapes, differential signals could be generated.
In the last few years, because of the need for resonators that could operate in the UHF range and
beyond, a new generation of resonators has been developed. These resonators utilize bulk-acoustic

Drive Quarter-wavelength Anchor


electrode torsional beam
1 m

13.3 m
14.3 m
Flexural-mode Ground plane and
Anchor beam sense electrode

FIGURE 13.12 Freefree beam resonator. (Adapted from K. Wang, A.-C. Wong, and C. T. C. Nguyen,
Journal of Micro-Electromechanical Systems, 9(3), 347360, September 2000.)
Microelectromechanical Resonators for RF Applications 287

Output
electrode
Bias
electrode
do = 100 nm

R = 6.3 m

Input Support
electrode ridding

FIGURE 13.13 Radialcontour mode resonator. (Adapted from J. R. Clark, et al., Journal of Micro-
Electromechanical Systems, 14(6), 12981310, December 2005.)

waves instead of flexural movements. A bulk-acoustic resonance mode has a very high effective
stiffness and thus can be used to generate high frequencies with very high Q-factors. Since the
amount of energy stored in the device is related to the stiffness as discussed earlier, these resonators
store a much larger amount of kinetic energy [16,45]. For example, the spring constant of a typical
1-GHz BAW resonator is in the order of 100MN/m, while that of a flexural mode beam is in the
order of 1500N/m. Thus, the losses due to gas damping for the BAW resonators are a much smaller
percentage of the total energy, which yields a much higher Q-factor. For a given frequency, the char-
acteristic dimensions of the devices tend to be much larger than their flexural beam counterparts.
This makes fabrication easier and more reliable.
The most commonly used shape for recent BAW resonators has been the disk structure (Figure
13.13) because of its simplicity and the number of available resonant modes that can be exploited.
The first-order contour mode of a disk is illustrated in Figure 13.14.
In this case, the entire diameter of the disk increases and contracts in a way similar to breath-
ing. Recent developments of this design have focused on the support structure, process improve-
ment, and the exploration of new materials. Originally made in polysilicon, the first successful disk
resonator was fabricated with a diameter of 34m and had a resonant frequency of 160MHz with
a Q-factor of more than 9000 in vacuum [45].

Nodal point

Deformed
shape

FIGURE 13.14 First radially symmetric resonant mode of a disk.


288 MEMS: Fundamental Technology and Applications

The main problem with this design was that, if the single central support was not placed at
the exact center of the device, due to inevitable alignment errors between masking steps, then the
Q-factor was greatly reduced because of anchor loss. This raised problems regarding the reliability
of the design, if it were to be ever implemented in an industrial application. To solve this problem,
Nguyen and his research group pioneered a new process where the single-support stem was self-
aligned to the resonator disk [23]. In this process, a stem hole was first etched through the resonator
disk and subsequently filled with polysilicon. This ensured that there would be no alignment errors,
since the disk and the stem were essentially patterned using the same lithographic mask. With this
technique, polysilicon disk resonators were again successfully fabricated with resonant frequencies
as high as 1.14GHz, with a Q-factor of >1000 in air. Because of the high stiffness and low energy
loss to the substrate, high-Q could still be maintained at atmospheric pressure. Another disk design,
the wineglass mode resonator shown in Figure 13.15, has also been explored. It uses the lower-fre-
quency wineglass mode of operation and has lateral support structures at nodal points. This design
operated at a frequency of 73.4MHz, with an amazing Q-factor of 98,000 in vacuum, the largest
reported to date for a disk resonator [46].
In 2004, Nguyens team also unveiled a diamond-disk resonator that was successfully fabri-
cated and resonated at 1.51GHz with a Q-factor of >11,000 in vacuum, the highest frequency of a
mechanical resonator to date [24].
One of the main issues with the disk resonator is its large motional resistance, which makes
future use with electronics challenging. The motional resistance of the device is very large because
of its high stiffness and small overlap electrode area. If the resonator is used in a filter, the large
motional resistance necessitates the use of large terminating resistors to reduce the passband ripples
[13]. It also introduces a significant noise component, since the Brownian noise generated by the
device is directly related to the size of the motional resistance. If the resonator is to be used in an
oscillator, this large resistance necessitates the use of a transimpedance amplifier with an enormous
gain. Also, the high motional resistance may limit the highest attainable frequency for a given
circuit technology [13]. Although research is still ongoing, there have been several potential solu-
tions to this problem. One solution is to create banks of identical filters that resonate at the same
frequency. In this case, the motional resistances of the resonators combine in parallel to reduce the
overall resistance. The main difficulty with this solution is that, for small variations in the resonant
frequencies of the different devices, the combined frequency response will create significant ripples
in the passband [47]. However, different mechanical coupling schemes of the resonating elements
of such arrays can reduce this problem. More recent approaches increase the efficiency of the trans-

Anchor Input

Wineglass
disk resonator
Output Output
R = 32 m

Support Input
Anchor
beams

FIGURE 13.15 Stemless wineglass resonator. (Adapted from Y.-W. Lin, etal., IEEE Journal of Solid-State
Circuits, 39(12), 24772491, December 2004.)
Microelectromechanical Resonators for RF Applications 289

Refill stem Support beam


vi

Input electrode
vo vo

VP
Output electrode

FIGURE 13.16 Hollow-disk ring resonator. (Adapted from S.-S. Li, etal., Micromechanical hollow-disk ring
resonators, IEEE International Conference on Micro-Electromechanical Systems, pp. 821824, January 2004.)

ducers through the use of a solid dielectric instead of a hollow gap [48]. This technique is limited to
BAW-type resonators as they are not overly affected by the added damping of the dielectric.
Recently, a new circular BAW design has been explored. This new design consists of an annulus,
instead of a disk, as shown in Figure 13.16 [49,50]. Its main advantage is that the high-frequency
resonant modes are almost completely independent of the average radius of the ring; the resonant
frequency of this structure is in fact determined by the width of the ring. Thus, the width of the ring
can be used to set the resonant frequency of the device, while the average radius can be increased
to reduce the effective motional resistance to the desired level by increasing the electrode overlap
area. Using this structure, a fabricated prototype has demonstrated a Q-factor of 14,600 at a reso-
nant frequency of 1.2GHz, but with a series resistance that is 12 times smaller than its disk BAW
counterpart [50]. The main design challenge for this device is supporting the structure in such a way
that energy loss to the substrate is minimized.
An important metric for resonators is the Q-factorfrequency (Qf) product. For high-
quality AT-cut quartz crystal oscillators, this value is constant and has a value of approximately
1.61013Hz [24]. Whether this empirical relation exists for MEM resonators still remains to be
seen. Some general trends can, however, be observed in the literature. Summarized in Table 13.2
are the highest Q-frequency products that have been published to date for polysilicon resonators.
Note that the highest Qf product was obtained using a BAW ring resonator that has high isolation
and an extremely high spring constant. Furthermore, this value is on par with those for high-quality
quartz crystals, which is promising. As can be seen from this table, and as expected, the beam
designs clearly do not perform as well as the BAW resonators. Although the potential limit for the
Q-frequency product for polysilicon seems to be in the order of 1013Hz, the development of new
materials, such as diamond, will continue to increase this limit.

TABLE 13.2
QFrequency Product for Several Published Polysilicon Resonators
Type Frequency (MHz) Q QFrequency Product (1012Hz)
Annulus BAW [50] 1200 14,600 17.50
Stemless disk BAW [46] 73 98,000 7.15
Self-aligned disk BAW [23] 732 7330 5.34
Disk BAW [45] 160 9400 1.50
Higher-mode free-free beam [21] 102 11,500 1.17
Free-free beam [2] 92 7450 0.70
Clamped-clamped beam [15] 8.51 8000 0.07
290 MEMS: Fundamental Technology and Applications

13.5 MEMS-BASED TRANSCEIVERS


A complete MEMS-based transceiver architecture was first proposed by Nguyen [1]. With the suc-
cessful fabrication of micromechanical resonators with Qs in excess of >10,000, new super-het-
erodyne architectures become possible, with all large off-chip passive components replaced by RF
MEM devices. Also, many developments of other RF MEM devices such as switches, inductors,
and capacitors are enabling transceiver enhancements [5154]. A simplified receive path of a super-
heterodyne architecture is shown in Figure 13.17.
In this figure, all components that are traditionally implemented off-chip are shaded in gray.
Specifically, the preselect filter, image reject filter, and the IF filter are typically implemented
using large ceramic or surface acoustic wave (SAW) filters, since on-chip LC filters are not able to
provide the necessary high Q-factor. A similar situation exists for the generation of the reference
tone that is used in a channel selection synthesizer. To ensure both long- and short-term stability,
it is typically locked to an off-chip quartz crystal, which acts as the oscillators reference. If the
Q-factor of the crystal is greater than 1000, then the reference frequency will not be significantly
affected by the temperature dependence of the active electronics, which is typically very large;
the reference frequency will depend mainly on the properties of the crystal. Typical uncompen-
sated AT-cut quartz crystals have a frequency drift of around 50ppm over the temperature range
from 20C to 70C [55], which is orders of magnitude better than that achievable with active
electronics.
Off-chip components require much real estate and are costly. MEM devices are easily integrated
and hence can be used in greater numbers than off-chip components such as crystals and filters. As
has been discussed earlier, MEM devices can offer high performances, which, combined with their
integration potential, allow for different approaches to transceiver architectures that were previ-
ously not possible for cost, technical, or power consumption reasons.
Replacing external components with their micromachined on-chip equivalents may allow for
comparable, if not better, performance. For example, an RF MEM switch can be used as the receive/
transmit relay [56]. The low losses of these switches and their excellent linearity make them excel-
lent candidates for power amplifier (PA) switching or in phased-antenna arrays applications [56].
They can also be utilized for higher-quality switching of low-noise amplifiers (LNAs) or filters for
multiband transceivers. MEM wide-tuning-range capacitors and inductors can be used for better
matching networks for PAs, tunable band-select filters, or flexible resonating tanks for VCOs [56].
MEM high-Q resonators, unlike their discrete counterparts, can be used in large numbers, without

I
90

Pre-select LNA Image reject Narrow-band IF amp


filter filter IF filter
Q

Channel select IQ oscillator


synthesizer

Crystal Crystal

FIGURE 13.17 Simplified super-heterodyne receiver architecture.


Microelectromechanical Resonators for RF Applications 291

significantly increasing the overall cost of the system. They can, for example, be arrayed into large
filter banks for band, or even channel, selection at RF.
Using these ideas, two transceiver architectures were proposed [1]. The first and most straightfor-
ward approach is to simply replace all off-chip components with on-chip MEMS. Although this does
not necessarily exploit the full potential of MEM devices, it still allows for monolithic integration,
significantly reducing the overall assembly cost. This is illustrated in Figure 13.18, where all the off-
chip components in gray are replaced with their micromachined equivalent devices. Recently, high-
Q MEM resonators at frequencies beyond 1GHz have been demonstrated [50], which indicates that
high-quality frequency selectivity can be performed at RF. Similarly, by combining several resona-
tors into arrays, low-insertion loss bandpass filters have also been demonstrated from HF to UHF
frequencies [15,47,5759]. By combining these MEM resonators with a transimpedance amplifier
in a feedback loop, low phase noise reference oscillators can also be created to replace the quartz
reference crystal. Recently, an array of disk resonators used as a reference oscillator was shown to
meet the stringent GSM phase noise requirements [19].
Another variant of the super-heterodyne architecture which makes better use of the large-scale
integration afforded by MEM resonators as shown in Figure 13.19 [60]. In this system, the image
reject and preselect filters are replaced by a bank of switchable high-Q MEM resonators that select
the desired channel directly at RF frequencies.
Since MEM resonators can be integrated in large numbers, this bank could contain hundreds
of high-Q filters and could be used to implement a truly multiband reconfigurable handset. One
very interesting characteristic of MEM resonators is that they can be switched on and off by simply
removing their bias voltages. This eliminates the need for lossy series switches in the receive path,
which often degrade the overall noise figure of systems [61]. Similarly, the down-conversion to IF
can be performed with a programmable bank of micromechanical oscillators. Instead of using a
frequency synthesizer for fine channel selection, which consumes a significant amount of power,
each channel may use a separate micromechanical oscillator that can be switched on or off. Lastly,
the mixer can be implemented using the inherent nonlinearity of an MEM resonator. The MEM
resonator simultaneously mixes the RF signal down to IF and filters out unwanted channels [62].
Note that these modifications can also be easily implemented to a homodyne topology.
Besides the inherent area and cost savings, the architecture in Figure 13.19 can be used to trade
off high-Q for power consumption [1]. Channel selection directly done at RF yields a substantial
advantage: the dynamic range and linearity requirements of the LNA and mixer in the receive path

I
90

Wide-band LNA Mech image Mech IF amp


Mech filter rejection filter IF filter
Q

Channel select IQ oscillator


synthesizer
MEM
MEM
resonator
resonator

FIGURE 13.18 Super-heterodyne architecture with off-chip components replaced by MEM devices.
292 MEMS: Fundamental Technology and Applications

Mech mixer filter

90
LNA IF amp
Q

Switchable Mech
oscillator array

Vp

Switchable bank of Mech


RFchannel-select bandpass IQ oscillator
filters
MEM
resonator

FIGURE 13.19 An MEMS-based receiver architecture.

can be reduced. This is because high power out-of-band interfering signals are significantly attenu-
ated. For example, in CDMA cellular systems, the IIP3 of the LNA is selected to avoid desensitiza-
tion by a single tone 900kHz away from the CDMA signal center frequency and must be greater
than +7.6dBm [63]. As shown in Ref. [1], however, if the MEM channel select filter can reject the
single tone by 40dB, then the linearity requirement of the LNA relaxes to less than 29dBm.
Another advantage of the reduced level of interfering signals is that the local oscillator phase noise
requirements can also be relaxed, further reducing the power consumption of the system.
Although some MEM devices have become comparable to their macroscopic counterparts in
terms of performance, several issues still need to be addressed before they become commercially
viable. A significant issue is the stability of these devices with changes in the ambient temperature.
One of the main advantages of using quartz crystals is that the resonant frequency is relatively stable
with temperature and typically varies less than 50ppm over the commercial temperature range.
MEM devices, however, are not stable and therefore require some type of temperature compensa-
tion. A recent attempt to mitigate this problem using electronic compensation showed a dramatic
improvement in temperature stability [64]; however, the performance is still not comparable to that
achievable with compensated quartz crystals.

13.6 MECHANICAL CIRCUITS WITH MEM RESONATORS


As MEM resonators are easily integrated, several can be combined to broaden their functionality.
For example, resonators may be coupled in arrays to reduce their motional resistance [3,47,59], or
they can be interconnected to design higher-order series resonant-based filters [8,15,44]. This sec-
tion gives an overview of MEM resonator-based filters and arrays.

13.6.1 MEM Resonator-Based Filters


As was previously discussed, MEM resonators can be modeled using an RLC series resonant cir-
cuit. Using such resonant elements, it is possible to create different types of filters [9]. Figure 13.20
Microelectromechanical Resonators for RF Applications 293

RTi Rx1 Cx1 Lx1 Rx2 Cx2 Lx2 Rx3 Cx3 Lx3

vi(t) Coupler Coupler


RTo
1 2

FIGURE 13.20 A three-resonator filter structure.

shows a typical model of a three-resonator filter connected together with two coupling networks,
along with terminating resistors. Note that feed-through capacitances are omitted here for clarity.
The couplers can be electrical in nature through the use of passive elements such as capacitors or
inductors, for example; however, to maintain high quality by minimizing energy loss and improving
device fabrication robustness, mechanical coupling using beams acting as springs is often a better
choice. An illustration of a triple clampedclamped resonator filter with flexural couplers is shown
in Figure 13.21.
The input voltage is first converted to the mechanical domain through the input transducer.
Itthen gets filtered by the first resonator and subsequently gets mechanically coupled to the second
and third resonators, before being finally converted back to the electrical domain through the output
transducer. To enable the process of electrostatic transduction, a separate port is required to bias
all the resonant beams to a DC voltage different from that of the input and output, which are both
biased to ground.
Figure 13.22 shows the simulated transfer functions of different Chebyshev filters composed of N
identical resonators with individual Q-factors of 2800. As can be seen, the rejection roll-off is much
steeper for filters made of a higher number of resonators. Filters made with more resonators exhibit
higher insertion losses and thus require higher-Q devices to be usable. The insertion loss can be as
high as 20dB for a three-resonator filter with resonator Q-factors of 1000, and smaller than 1dB for
Qs of 10,000 [8]. Consider a Chebyshev filter composed of four identical resonators. Figure 13.23
shows the simulated transfer functions for different resonator Q-factor values. It is clear that filters
employing resonators with low Q-factors exhibit much higher losses, compared to those employing
higher-Q resonators.
The coupling beam can ideally be viewed as a spring with stiffness ksi and modeled electri-
cally by a capacitor. Because the mass of the coupling beam, msi, cannot be neglected, inductors

Input
Couplers

Biasing Output

FIGURE 13.21 Three clampedclamped resonator filter with flexural couplers.


294 MEMS: Fundamental Technology and Applications

N=1

20
N=2
S21 (dB)

N=3
40

N=4

60
N=5

80
4.08 4.085 4.090 4.095 4.100 4.105
Frequency (MHz)

FIGURE 13.22 Transfer functions of different Chebyshev filters made with N 2800-Q resonators.

are added to the capacitor in a T-model formation as shown in Figure 13.24. Figure 13.25 shows a
three-resonator filter structure with couplers assumed to be attached at identical locations on each
resonator. A coupler alters the resonators effective mass and spring constant, which in turn changes
the resonators resonant frequencies. Resonators at the filters termination ports are loaded by one
coupler, whereas other resonators are loaded by two. If all the resonators are identical, the filter
structure will be unbalanced because of the unequal effective resonant frequencies of each mesh
[65]. Resonators unbalancing through coupling element loading is illustrated in the transfer func-
tions of Figure 13.26, where a three-resonator filter structure exhibits a center mesh mass variation
due to couplers masses.

10,000
0
3000
1500
1100
20 900
800

40
S21 (dB)

60

80

4.07 4.08 4.09 4.10 4.11


Frequency (MHz)

FIGURE 13.23 Transfer functions of four-resonator Chebyshev filters employing different Q resonators.
Microelectromechanical Resonators for RF Applications 295

Lci Lci

Cci

1 1
Lci = msi ; Cci =
2 ksi

FIGURE 13.24 A lumped coupler T-model.

Rx1 Cx1 Lx1 L'c1 L'c1 Rx2 Cx2 Lx2 L'c2 L'c2 Rx3 Cx3 Lx3

C'c1 C'c2
Mesh1 Mesh2 Mesh3

FIGURE 13.25 A three-resonator filter structure with springs and associated masses.

0 Ideal
0. 5%
0. 75%

1%
20
S21 (dB)

40

60

80
4.06 4.08 4.10 4.12
Frequency (MHz)

FIGURE 13.26 A three-resonator Chebyshev filter for different center mesh mass mismatch percentages.

To mitigate mass loading and any unbalancing effects, quarter-wavelength supports can be used
[9]. If the coupler length is made one-quarter of the acoustic wavelength, it behaves as a free point at
its coupling location. It will have no loading effect on the filter structure, while allowing for coupling
between resonators. The coupler mass elements effectively become negative capacitors that negate
the capacitive spring elements and therefore do not affect the resonators resonant frequencies [15].
The filter structure shown in Figure 13.27 is well known as a mesh-coupled ladder with capaci-
tive coupling. To achieve certain filter types, such as Butteworth or Chebyshev filters, the capaci-
tances Cci are determined through coupling coefficients (ki and qi), which can be found in numerous
filter design handbooks, for example, Refs. [6567]. These coefficients are shown in Tables 13.3 and
13.4 for a Butterworth filter and a 0.1-dB-ripple Chebyshev filter.
296 MEMS: Fundamental Technology and Applications

Rx1 Cx1 Lx1 C'c1 C'c1 Rx2 Cx2 Lx2 C'c2 C'c2 Rx3 Cx3 Lx3

C'c1 C'c2
Mesh1 Mesh2 Mesh3

FIGURE 13.27 A three-resonator filter structure with quarter-wavelength supports.

TABLE 13.3
Coupling Factors for a Capacitively Coupled Butterworth Filter
Number of Resonators qi qo k12 k23 k34 k45
2 1.414 1.414 0.707
3 1.000 1.000 0.707 0.707
4 0.765 0.765 0.841 0.541 0.841
5 0.618 0.618 1.000 0.556 0.556 1.000

Source: A. Williams and Taylor. F., Electronic Filter Design Handbook, McGraw-Hill, 1995.

TABLE 13.4
Coupling Factors for a Capacitively Coupled 0.1dB-Ripple Chebyshev Filter
Number of Resonators qi qo k12 k23 k34 k45
2 1.638 1.638 0.771
3 1.433 1.433 0.662 0.662
4 1.345 1.345 0.685 0.542 0.685
5 1.301 1.301 0.703 0.536 0.536 0.703

Source: A. Williams and Taylor. F., Electronic Filter Design Handbook, McGraw-Hill, 1995.

A design methodology for an N-resonator filter with center frequency 0 and Q-factor Q bp can
be outlined using these coupling coefficients. First, one determines the termination resistors RTi
and RTo required to achieve the required filter quality factor Q bp. Assuming that the couplers are
not shifting the resonant frequencies of the resonators, which is the case for quarter-wave supports,
these can be determined by [66]

Qr
RTi ,To = Rx 1 , (13.26)
Q q
bp i ,o

where Qr is the resonators unloaded Q-factor. The coupling capacitances may in turn be determined
through the following relationship [66]:

QbpC x
CCi = , (13.27)
ki ,i +1

where ki,i+1 is the i-th coupling coefficient. This coupling capacitance value can be used to determine
the required spring constant of the support [15].
Microelectromechanical Resonators for RF Applications 297

13.6.2 MEM Resonator-Based Arrays


It is also possible to use the coupling of resonators to reduce the effective motional resistance of
a single resonator, which is an important design metric [3,47,59]. If the input signal is distributed
across many resonators electrically connected in parallel, the output current is higher, thus making
the power handling of the overall array larger than that of a single resonator.
A typical triple clampedclamped resonator array is shown in Figure 13.28. The structure in
Figure 13.28a is similar to that of a filter composed of a resonator cascade (Figure 13.21), but with
all electrodes connected together to stimulate a specific mode of resonance. Figure 13.28b adds flex-
ural mechanical couplers that are necessary to better match the resonators resonant frequencies.
To illustrate a major difference between the two structures shown in Figure 13.28a and b, the
transfer functions of three arrayed resonators with and without mechanical coupling are plotted in
Figure 13.29. A 1% resonator-to-resonator mismatch in resonant frequency is assumed to be caused
by process variation.
The uncoupled approach does not improve the motional resistance, as the mismatch between the
three resonators causes distinct transmission peaks to appear for each resonator. The mechanically
coupled approach unifies the resonant frequencies of the resonators. It hence exhibits an overall
increase in transmission at a single frequency, while suppressing the effect of frequency mismatch.
This translates into a lower motional resistance. Mechanical coupling is hence paramount in arrays
for mitigating mismatches between resonators and ultimately achieving a lower motional resistance.
Figure 13.30 shows the different modes of resonance of a three-resonator filter. Three different
flexural modes are possible.
Modes 1 and 3 are similar as they involve the movement of all resonators, whereas mode 2 has
one resonator in static equilibrium due to the adjacent resonators complementary displacements.
Small termination resistances are needed in this case to minimize the damping of the resonant
modes, which is different than in filter design, where passband ripples need to be minimized. One
of the modes of operation must be isolated so that the array has a unique resonant frequency and,
as such, behaves as a single lower-resistance resonating structure. This mode isolation is achieved
by electrically stimulating the resonators in such a way as to favor a particular resonant mode of
the array. For example, mode 1 of the structure shown in Figure 13.30 may be stimulated by having
the electrodes connected to an input signal with uniform phase across all resonators, similar to the
structure shown in Figure 13.28b. The fact that three electrodes stimulate the same mode effectively
increases the surface area of the overlap capacitance threefold, and hence increases transmission
and decreases the motional resistance. Figure 13.31 illustrates the difference in response between
three coupled resonators in a filter and the same structure having all resonators connected electri-
cally in parallel to emphasize mode 1.

(a) (b)
Input Input Couplers Output
Output

FIGURE 13.28 Three clampedclamped resonator array (a) without mechanical couplers and (b) with
flexural couplers.
298 MEMS: Fundamental Technology and Applications

10

With mechanical coupling


20
Without mechanical coupling

30

40
S21 (dB)

50

60

Frequency
70 mismatch effect

80
3.6 3.8 4.0 4.2
Frequency (MHz)

FIGURE 13.29 Transfer functions of three mismatched resonators for different arraying strategies.

Mode 1 Mode 2 Mode 3


30

50
S21 (dB)

70

90

110
3.25 3.50 3.75 4.0 4.25 4.50 4.75 5.0
Frequency (MHz)

FIGURE 13.30 Three coupled clampedclamped resonators cascade resonant modes.


Microelectromechanical Resonators for RF Applications 299

Filter
Array Spurious mode

20

40
S21 (dB)

60

80

100

120
3.25 3.5 3.75 4.0 4.25 4.50 4.75 5.0
Frequency (MHz)

FIGURE 13.31 Transfer functions of a three-resonator array and of a three-resonator filter.

Owing to proper electrode placement and stimulation, transmission at the favored mode of opera-
tion is enhanced, while suppressed at other modes. It is preferable in an array of resonators to space
out the modes away from each other in the frequency domain so that spurious modes lie well out
of the band of interest. This can be done through the use of high stiffness supports and coupling
at high-velocity points [47]. This is the opposite of what is required when designing filters where a
tight bandwidth is sought, and resonant modes need to be closely spaced. Half-wavelength supports,
which have low mobility at the coupling point, can also be used to enhance the effective coupling
stiffness of the supports [19].
Finally, Figure 13.32 shows the transfer functions of arrays with different numbers of resona-
tors. Transmission of the favored mode increases as more resonators are used in the array, while
morespurious modes are present. Spurious modes lower the efficiency with which the dominant
mode motional resistance is reduced. Energy loss in the supports can lower the overall quality factor
of the array and hence also reduces the improvement in motional resistance. Eventually, arraying
more resonators yields no improvement.

13.7 CASE STUDIES: FABRICATED MEM RESONATORS


This section reviews a wide-tuning-range resonator device fabricated in a novel complementary
metal oxide semiconductor (CMOS)-compatible process at McGill University, and two different
resonator designs: a freefree beam resonator [2] and a radial mode disk resonator [68].

13.7.1CMOS-Compatible Tunable Clamped Clamped Resonators


McGill University has completed the development of a novel process that enables the fabrication
of CMOS-compatible MEM resonators with a relatively wide tuning range [69,70]. As shown in
Figure 13.33, these resonators are based on a clampedclamped topology. A wire-bonded fabricated
300 MEMS: Fundamental Technology and Applications

N=8
20 N=3
N=2
N=1
Spurious modes
40
S21 (dB)

60

80

100

120
3.5 3.75 4.0 4.25 4.5
Frequency (MHz)

FIGURE 13.32 Transfer functions for different arrays composed of different numbers of resonators.

structure with a 200-nm gap size is shown in Figure 13.34. The response of an 8.3-MHz clamped
clamped resonator is shown in Figure 13.35 with a measured Q-factor of 1000. This Q-factor perfor-
mance is inline with comparable work recently done elsewhere. In that work, a similar resonator built
on top of a standard BiCMOS IC process exhibited a Q-factor of 641 at 16MHz [27].
The resonators utilize a patent-pending tuning scheme that is not based on spring softening bias
voltage tuning. The tuning scheme is used to achieve a wider resonant frequency tuning range with
less peak transmission variation. Figure 13.36 shows the transfer functions of a 9-MHz clamped
clamped tunable resonator with an 8.8% tuning range. The peak transmission variation is smaller
with the novel tuning method than with the bias voltage tuning method. Wide frequency range
tuning, such as demonstrated by this device, is a great asset for offsetting process variation and for
offering more flexibility in system design.
The novel process used to fabricate these resonators allows for post-CMOS integration by involv-
ing temperatures and processing steps compatible with standard IC CMOS technologies. It rep-
resents a step toward full monolithic integration of MEM resonators with CMOS electronics and
toward a fully integrated MEMS/CMOS system.

FIGURE 13.33 A clampedclamped beam resonator.


Microelectromechanical Resonators for RF Applications 301

200 nm

Input
McGill 2.0 kV 13.7 mm 20.0 k 200 m

C-C beam

Output

McGill 2.0 kV 10.1 mm 350 100 m

FIGURE 13.34 SEM picture of a 200-nm gap clampedclamped resonator fabricated at McGill University.

40

45

50
Transmission (dB)

55

60

65

70

75
8.25 8.26 8.27 8.28 8.29 8.3 8.31 8.32 8.33 8.34
Frequency (MHz)

FIGURE 13.35 An 8.3-MHz resonator with a measured Q-factor of 1000 fabricated at McGill University.

13.7.2FreeFree Beam Resonators


In 2000, Wang etal. published work on a polysilicon resonator based on a resonant freefree beam
structure with a length Lr and a width Wr. This structure has supports located at the nodal points of
a freefree beam resonant mode as shown in Figure 13.37 [2].
Energy loss is reduced by using two strategies. First, the supports are located at nodal points.
Second, energy loss due to the finite cross-section of the supports is lowered by having the support
length Ls tuned so that its length is one-quarter that of the torsional acoustic wavelength. Dimples
provide added reinforcement to the structure and suppress spurious resonant modes caused by the sup-
ports. An activation DC voltage, VP, is applied to the beam through an RF choke, and a signal voltage
is applied to the drive electrode of width WE. A capacitor is also added at the output to DC decouple
the load. Figure 13.38 summarizes the transfer function and characteristics of the fabricated device.
302 MEMS: Fundamental Technology and Applications

Peak transmission variation Bias voltage tuning method


26
Peak transmission variation
28

30

32
S21 (dB)

34

36

38

40
Novel tuning method
42
8.3 8.4 8.5 8.6 8.7 8.8 8.9 9 9.1 9.2 9.3
Frequency (MHz)

FIGURE 13.36 Transfer functions of a clampedclamped resonator with extended tuning range (8.8%).

Freefree
Quarter-wavelength
Dimples A' resonator beam Anchor
torsional beam z y
Drive h x
electrode B B'
izo
vo
C
LP
vi RL
dini
VP
Flexural-mode A Shadow of the structure Ground plane and
node point sense electrode

FIGURE 13.37 A freefree beam resonator. (Adapted from K. Wang, A.-C. Wong, and C. T. C. Nguyen,
Journal of Micro-Electromechanical Systems, 9(3), 347360, September 2000.)

The quality factor of the resonator is high, but so is its motional resistance. This is due to the
small beam dimensions required to achieve the frequency of operation, which limit the electrode
overlap area. Table 13.5 summarizes the performance of different devices published by the authors.
The Q-factors of the freefree beam resonators are much higher than similar clampedclamped
beam variants. This is because of anchor energy loss reduction. Also, as anchor loss is resonator
length-dependent [2,71], reducing it makes the Q-factor constant for different resonant frequency
freefree resonators.

13.7.3 Radial Mode Disk Resonators


In 2004, Wang etal. presented a polysilicon resonator based on a disks radial mode of resonance,
as shown in Figure 13.39 [68]. The main advantage of this resonator is its higher stiffness. This
translates into higher resonant frequencies for comparable dimensions and a reduced effect of air
damping on the resonators Q-factor. A disadvantage of this high stiffness is that the resonator will
Microelectromechanical Resonators for RF Applications 303

56

60 14.9
Lr (m)
Wr (m) 6.0
64
Ls (m) 13.1
Transmission (dB)

h (m) 2.0
68
d (nm) 123
72 We (m) 4.0
VP (V) 126
76 fo (MHz) 71.49
Q 8250
80 Rx (k) 34.8

84
71.46 71.48 71.58 71.52 71.54
Frequency (MHz)

FIGURE 13.38 Summarized fabricated results of a 71.49-MHz freefree beam resonator. (Adapted from
K. Wang, A.-C. Wong, and C. T. C. Nguyen, Journal of Micro-electromechanical Systems, 9(3), 347360,
September 2000.)

TABLE 13.5
Summary of Different Fabricated Beam Resonator Devices
Resonator Type Frequency (MHz) Q Rx (k)
FF 31.51 8110 31.1
FF 50.35 8430 10.7
FF 71.49 8250 34.9
FF 92.25 7450 167
CC 54.2 840 8.67
CC 71.8 300 35.2

Source: K. Wang, A.-C. Wong, and C. T. C. Nguyen, Journal of Micro-Electromechanical Systems, 9(3),
347360, September 2000.

exhibit a high motional resistance that cannot be greatly reduced electrostatically because of the
limited lateral electrode overlap area.
The higher frequency attainable with a disk resonator makes the electrical interconnects to it more
sensitive to parasitic capacitances and requires more elaborate measurement methods to extract the
actual Q-factor [62,68]. As shown in Figure 13.40, feed-through from input to output through the
isolating oxide and substrate can be reduced by the addition of a ground connection.
The biasing of this resonator is similar to a beam resonator, with the exception that the input
and output ports are not directly capacitively coupled, which mitigates the parallel resonance and
reduces feed-through from input to output. The bias voltage, VP, is applied to the disk structure
through an additional electrode. An interesting advantage of this structure is the symmetry of the
DC electrostatic forces, which allows for a much higher bias voltage to be applied before pull-
in occurs. A disadvantage is that the slightest stem misalignment reduces the Q-factor dramati-
cally [45,68]. Therefore, this resonator is fabricated such that the stem is self-aligned by having
the disk patterned with a center opening, which is then filled to complete the stem. As only one
304 MEMS: Fundamental Technology and Applications

In Out

Bias-T
Bias-T

Bias-T

VP

FIGURE 13.39 Radial mode disk resonator. (Adapted from J. Wang, Z. Ren, and C. T. C. Nguyen, IEEE
Transactions on Ultrasonics, Ferroelectrics and Frequency Control, 51(12), 16071628, December 2004.)

Refilled
drive Stem top Refilled stem post Refilled
electrode misaligned perfectly centered sense
electrode

Shunt away
R
feedthrough
current ip

do Le

CDB Rsub = small CSB


n+
ip High impedance Low impedance

FIGURE 13.40 Disk resonator inputoutput feed-through. (From J. Wang, Z. Ren, and C. T. C. Nguyen, IEEE
Transactions on Ultrasonics, Ferroelectrics and Frequency Control, 51(12), 16071628, December 2004.)

lithographical mask is effectively used to pattern the disk and stem, no misalignments can occur,
even if subsequent patterning of the stem filling is misaligned, as shown in Figure 13.40.
Higher radial modes of resonance can be excited in disk resonators because they do not require a
different electrode configuration. By exciting higher modes of resonance, it is possible to push the fre-
quency of operation even further and reach the gigahertz range. Table 13.6 summarizes measurements
taken for a 10-m radius and 2.1-m-thick radial-mode disk resonator excited at three different modes.
As expected, the frequencies of operation achieved are much higher than that of beam reso-
nators, and the quality factors of the devices are not greatly affected by the vacuum level. The
larger effective stiffness of the higher modes increases the motional resistance dramatically and
hence makes these devices problematic for use with electronic circuits; however, using arrays, more
aggressive voltage biasing, thicker disks, and a smaller gap may help in bringing the impedance
levels as low as 300 [19,68].

13.8 CASE STUDIES: RESONATOR-BASED SYSTEMS


As resonator devices are reaching a certain maturity, they are starting to be integrated with elec-
tronic systems such as oscillators [6,19,40] and more complex systems [64]. This section reviews
Microelectromechanical Resonators for RF Applications 305

TABLE 13.6
Radial-Mode Resonator Characteristics for the First Three Resonant Modes
Radial Mode 1 Radial Mode 2 Radial Mode 3
F0 (MHz) 273 735 1156
Qvacuum 8950 7890 2683
Qair 7500 5160 2655
VP (V) 30.5 10.5 10.5
Rx (k) 17 521 2442
Cx (F) 3.771018 5.271020 2.101020
Lx (H) 0.896 0.891 0.902

Source: Adapted from J. Wang, Z. Ren, and C. T. C. Nguyen, IEEE Transactions on Ultrasonics, Ferroelectrics and
Frequency Control, 51(12), 16071628, December 2004.
Note: h=2.1m, R=10m, Rstem=1.6m, d0=68nm, We=30.5m (extracted Rx, Lx, and Cx are not physical quantities,
but are used for the RLC model).

an MEM disk resonator array-based oscillator circuit [19] and a programmable MEM FSK
transmitter [64].

13.8.1 MEM Resonator Array-Based Oscillators


In 2005, Lin et al. used the highest-Q resonator structure known to date, the disk resonator, to
create an oscillator circuit. Stimulating the high-Q compound wineglass mode through specific
electrodes placement, an array of identical 60-MHz resonators is used to reduce the high motional
resistance of the resonators. This lower motional resistance relaxes the gain requirements of the sus-
taining amplifier. It thus enabled the design of a transimpedance amplifier (TIA) in TSMCs* CMOS
0.35m process capable of achieving a gain-bandwidth product that ensures negligible phase shift
at the resonant frequency. A three-disk resonator cascade is shown in Figure 13.41.
Three modes of resonance are possible (Figure 13.42). Using specific electrodes stimulation,
similar to what is done for the beam array of Section 13.6.2, the first mode can be favored, and
the other modes suppressed, to reduce the overall motional resistance. To spread the modes away
from each other in frequency, half-wavelength supports located at high-velocity points are used,
which maximizes the effective stiffness of the supports. Figure 13.43 shows the transmission char-
acteristics for different numbers of arrayed resonators. The quality factor decreases slightly because of
energy losses due to the supports. Also, transmission does not scale linearly with the number of resona-
tors added because the electrode overlap area is reduced to accommodate mechanical coupling. The
motional resistance of a nine-resonator array is 1.25k. Along with the array, the TIA circuit used
is shown in Figure 13.44. It provides a gain of 8k and has a bandwidth of 200MHz, sufficient to
sustain oscillation at 60MHz. The TIA consists of an actively loaded differential pair composed
of M1, M2, M3, and M4. MRf provides shuntshunt feedback, which reduces the Q-factor loading by
the amplifier. Finally, M11, M12, M13, and M14 provide common-mode feedback to ensure proper DC
biasing of the drain nodes at the outputs of the differential pair. The output is taken at the positive
terminal of the differential pair so that the phase shift is 0.
Figure 13.45 shows the output spectrum of the nine-resonator array oscillator and its phase noise
plots, with a measured phase noise of 123dBc at a 1kHz offset and 136dBc far from the carrier. As
can be seen, the higher power handling of the resonator array makes the oscillator phase noise much
smaller than that of the single resonator. Furthermore, thanks to arraying, the 1/f3 noise due to the

* Taiwan Semiconductor Manufacturing Company Ltd.


306 MEMS: Fundamental Technology and Applications

Support Coupling Output


Anchor
beam beam electrode

io
Vo
WGdisk WGdisk WGdisk

RL

Vi VP
Input
electrode

FIGURE 13.41 Wineglass mode resonator array. (Adapted from Y.-W. Lin, etal., Low phase noise array-
composite micromechanical wine-glass disk oscillator, IEEE International Electron Devices Meeting,
287290, December 2005.)

1st mode

2nd mode

3rd mode

FIGURE 13.42 Resonant modes of wineglass mode resonator array. (Adapted from Y.-W. Lin, etal., Low
phase noise array-composite micromechanical wine-glass disk oscillator, IEEE International Electron
Devices Meeting, 287290, December 2005.)

9 Res. 5 Res. 3 Res.


Q = 118,900 Q = 119,500 Q = 122,500
30

40
1 Res.
Q = 161,000
50
Transmission (dB)

60

70

80 Data
R = 32 m
90 h = 3 m
do = 80 nm
100
VP = 7 V
110
61.73 61.78 61.83 61.88 61.93
Frequency (MHz)

FIGURE 13.43 Transfer characteristics for different disk resonator arrays. (Adapted from Y.-W. Lin, etal.,
Low phase noise array-composite micromechanical wine-glass disk oscillator, IEEE International Electron
Devices Meeting, 287290, December 2005.)
Microelectromechanical Resonators for RF Applications 307

Shuntshunt feedback Common mode


transresistance amplifier feedback bias circuit

VDD = 1.65 V
Vbias2
M4
M3 M15 M16
Output

MRf

M1 M2 M11 M12 M13 M14


Input
Vcm
Vbias1 M18
M5 M17

Vss = 1.65 V
IC chip
Vi
io
MEMS
Wine-glass disk
resonator array
VP

FIGURE 13.44 Circuit schematic of an MEM resonator-based oscillator. (Adapted from Y.-W. Lin, etal.,
Low phase noise array-composite micromechanical wine-glass disk oscillator, IEEE International Electron
Devices Meeting, 287290, December 2005.)

(a) 0 (b) 20
10 Single
40 resonator
20
Phase noise (dBc/Hz)

30 60 9-Resonator
Power (dB)

array
40 80 1/f 3 Noise
50 100 Frequency
60 divided down
120 to 10 MHz
70
80 140
1/f 2 Noise
90 160
61.5 61.7 61.9 1.E+01 1.E+02 1.E+03 1.E+04 1.E+05
Frequency (MHz) Offset frequency (Hz)

FIGURE 13.45 (a) Output spectrum and (b) phase noise plots of the MEM resonator array-based oscillator.
(Adapted from Y.-W. Lin, etal., Low phase noise array-composite micromechanical wine-glass disk oscilla-
tor, IEEE International Electron Devices Meeting, 287290, December 2005.)

resonator nonlinearity is mitigated to further improve the close-to-carrier phase noise performance.
Divided down to 10MHz, the oscillator meets GSM specifications, which warrants the use of an array
structure to improve the resonator performance and bring the technology closer to marketability.

13.8.2 Programmable MEM Resonator-Based Frequency Shift Keying Transmitters


A system-level integration of MEM resonators was presented in 2006 by Hsu etal. in the form of
a fully integrated frequency shift keying (FSK) transmitter, shown in Figure 13.46 [64]. The entire
308 MEMS: Fundamental Technology and Applications

Digital input VDD EN

ASIC
MEMS
resonator

MEMS
resonator

ASIC
FSK output
CLK & i/o
to antenna

FIGURE 13.46 FSK transmitter photograph of the final product BGA and PCB prototype. (Adapted from
W.-T. Hsu, A. R. Brown, and K. R. Cioffi, A programmable MEMS FSK transmitter, IEEE International
Conference of Solid-State Circuits, pp. 11111120, February 2006.)

system is implemented on a printed circuit board (PCB) in prototype form, and on a ball-grid array
(BGA) in a more compact final form.
A wide freefree beam resonator is used in an oscillator similar to the work presented in Ref.
[19] and is packaged in vacuum through a cap wafer as shown in Figure 13.47. The resonator fre-
quency tuning property through the control of its bias voltage is used to make the oscillator fre-
quency hop between two values. This is controlled by a square pulse that is superimposed to the
biasing voltage, VP. As shown in Figure 13.48, the output of the resonator-based oscillator is fed into
a fractional-N sigma-delta PLL, to allow for frequency multiplication of the oscillator signal and
electronic temperature compensation of the resonator.

Resonator wafer

Cap wafer

Support beams Input electrode


Center

am
r be
nato
Bond pads Reso
Anchors
48.2x 2.00 kV 100

FIGURE 13.47 MEM resonator used in an FSK transmitter with packaging cap wafer. (Adapted from W.-T.
Hsu, A. R. Brown, and K. R. Cioffi, A programmable MEMS FSK transmitter, IEEE International Conference
of Solid-State Circuits, pp. 11111120, February 2006.)
Microelectromechanical Resonators for RF Applications 309

VDD ASIC chip

Charge pump
VCO
Loop
MEM
Reference filter
resonator
oscillator PFD
RES1
/R

Post
Programmable scaler
divider (M)
RES2

Modulator

Digital
input Temperature Control FSK
compensation logic RAM/ROM Output output
VP1 & VP2 driver

GND EN & Data i/o

FIGURE 13.48 FSK transmitter system block diagram. (Adapted from W.-T. Hsu, A. R. Brown, and K. R.
Cioffi, A programmable MEMS FSK transmitter, IEEE International Conference of Solid-State Circuits,
pp.11111120, February 2006.)

The output center frequency can be programmed from 2 to 437MHz and is tunable with a 1-ppm
accuracy. A frequency variation of 8ppm from 40C to 85C is observed. This compensated tem-
perature performance is better than quartz- or SAW-based transmitters [64]. For a resonator biasing
of 2.1V, a 150-kHz frequency deviation is achieved with a 0.9-V square pulse. This application
of MEM resonators in a complex system illustrates their potential for higher levels of integration,
while providing competitive performances.

REFERENCES
1. C. T. C. Nguyen, Transceiver front-end architectures using vibrating micromechanical signal processors,
Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, pp. 2332, September 2001,
Ann Arbor, Michigan.
2. K. Wang, A.-C. Wong, and C. T. C. Nguyen, VHF freefree beam high-Q micromechanical resonators,
Journal of Micro-Electromechanical Systems, 9(3), 347360, September 2000.
3. S. Lee and C. T. C. Nguyen, Mechanically-coupled micromechanical resonator arrays for improved
phase noise, IEEE International Frequency Control Symposium and Exposition, pp. 144150, August
2004.
4. V. Kaajakari etal., Square-extensional mode single-crystal silicon micromechanical resonator for low-
phase-noise oscillator applications, IEEE Electron Device Letters, 25(4), 173175, April 2004.
5. Y.-W. Lin etal., Series-resonant VHF micromechanical resonator reference oscillators, IEEE Journal of
Solid-State Circuits, 39(12), 24772491, December 2004.
6. C. T. C. Nguyen and R. T. Howe, An integrated CMOS micromechanical resonator high-Q oscillator,
IEEE Journal of Solid-State Circuits, 34(4), 440455, April 1999.
7. D. B. Leeson, A simple model of feedback oscillator noise spectrum, Proceedings of the IEEE, 54(2),
329330, February 1966.
8. K. Wang and C. T. C. Nguyen, High-order medium frequency micromechanical electronic filters, Journal
of Micro-electromechanical Systems, 8(4), 534556, December 1999.
310 MEMS: Fundamental Technology and Applications

9. R. A. Johnson, Mechanical Filters in Electronics, New York, John Wiley & Sons, 1983.
10. S. P. Timoshenko, Vibration Problems in Engineering, 5th Ed., New York, Wiley-Interscience, 1990.
11. S. S. H. Chen and T. M. Liu, Extensional vibration of thin plates of various shapes, Journal of the
Acoustical Society of America, 58(4), 828831, October 1975.
12. M. Onoe, Contour vibrations of isotropic circular plates, Journal of the Acoustical Society of America,
28(6), 11581162, November 1956.
13. C. T.-C. Nguyen, Micromechanical Signal Processors. PhD Thesis, Berkeley, 1994.
14. L. D. Landau and E. M. Lifshitz, Mechanics, Vol. 1, Oxford, Butterworth-Heinemann, 1976.
15. F. D. Bannon, J. R. Clark, and C. T. C. Nguyen, High-Q HF Micro-electromechanical filters, IEEE
Journal of Solid-State Circuits, 35(4), 512526, October 2000.
16. J. R. Clark etal., High-Q UHF micromechanical radial-contour mode disk resonators, Journal of Micro-
Electromechanical Systems, 14(6), 12981310, December 2005.
17. S. Young etal., A novel low-temperature method to fabricate MEMS resonators using PMGI as a sacrifi-
cial layer, Journal of Micromechanics and Microengineering, 15, 18241830, October 2005.
18. S. Lee and C. T. C. Nguyen, Influence of automatic level control on micromechanical resonator oscillator
phase noise, IEEE International Frequency Control Symposium and Exposition, 341349, Tampa, FL,
May 2003.
19. Y.-W. Lin et al., Low phase noise array-composite micromechanical wine-glass disk oscillator, IEEE
International Electron Devices Meeting, 287290, Washington, DC (252), December 2005.
20. C. Zhang, G. Xu, and Q. Jiang, Characterization of the squeeze film damping effect on the quality factor
of a microbeam resonator, Journal of Micromechanics and Microengineering, 14, 13021306, 2004.
21. M. U. Demirci and C. T. C. Nguyen, Higher-mode freefree beam micromechanical resonators, IEEE
International Frequency Control Symposium, pp. 810818, Tampa, FL, 2003.
22. Y. T. Cheng et al., Vacuum packaging technology using localized aluminum/silicon-to-glass bonding,
Journal of Micro-Electromechanical Systems, 11(5), 556565, October 2002.
23. J. Wang, Z. Ren, and C. T. C. Nguyen, 1.14-GHz self-aligned vibrating micromechanical disk resonator,
IEEE Radio Frequency Integrated Circuits Symposium, pp. 335338, Philadelphia, PA, June 2003.
24. J. Wang et al., 1.51-GHz nanocrystalline diamond micromechanical disk resonator with material-
mismatched isolating support, IEEE International Conference on Micro-electromechanical Systems,
pp.641644, Maastricht, the Netherlands, 2004.
25. V. T. Srikar and S. D. Senturia, Thermoelastic damping in fine-grained polysilicon flexural beam resona-
tors, Journal of Micro-Electromechanical Systems, 11(5), 499504, October 2002.
26. B. H. Houston etal., Loss due to transverse thermoelastic currents in microscale resonators, Materials
Science and Engineering: A, 370(12), 407411, April 2003.
27. N. Abele etal., Suspended-gate MOSFET: Bringing new MEMS functionality into solid-state MOS tran-
sistor, IEEE International Electron Devices Meeting, pp. 479481, Washington, DC, December 2005.
28. J. Rogers and C.Plett, Radio Frequency Intergraded Circuit Design, Boston, Artech House, 2003.
29. Y. Koutsoyannopoulos et al., Performance limits of planar and multi-layer integrated inductors,
International Symposium on Circuits and Systems, 2, pp. 160163, Geneva, Switzerland, 2000.
30. J. Zhou etal., A novel MEMS gas sensor with effective combination of high sensitivity and high selec-
tivity, IEEE International Symposium on Applications of Ferroelectrics, pp. 471474, Nara, Japan, June
2002.
31. A. Voiculescu, M. Zaghloul, and R. A. McGill, Design, fabrication and modeling of microbeam struc-
tures for gas sensor applications in CMOS technology, International Symposium on Circuits and Systems,
vol.3, pp. III-922III-925, Bangkok, Thailand, May 2003.
32. D. Scheibner etal., A spectral vibration detection system based on tunable micromechanical resonators,
Sensors and Actuators A: Physical, A123A124, 6372, 2005.
33. Q. Huang and C. Kuratli, An ultrasound source based on a micro-machined electromechanical resonator,
IEEE International Symposium on Circuits and Systems, vol. 4, pp. 348351, Atlanta, May 1996.
34. M. Hornung etal., Micromachined acoustic Fabry-Perot system for distance measurement, IEEE Micro-
electromechanical Systems Annual International Workshop, pp. 643648, Heidelberg, Germany, January
1998.
35. N. V. Lavrik, M. J. Sepaniak, and P. G. Datskos, Cantilever transducers as a platform for chemical and
biological sensors, Review of Scientific Instruments, 75(7), 22292253, July 2004.
36. J. H. Seo and O. Brand, Novel high Q-factor resonant microsensor platform for chemical and biological
applications, International Conference on Transducers, Solid-State Sensors, Actuators and Microsystems,
vol. 1, pp. 593596, June 2005.
Microelectromechanical Resonators for RF Applications 311

37. S. Ando, K. Tanaka, and M. Abe, Fishbone architecture: An equivalent mechanical model of cochlea and
its application to sensors and actuators, International Conference on Transducers, Solid-State Sensors,
Actuators and Microsystems, vol. 2, pp. 10271030, Chicago, Illinois, June 1997.
38. M. Bachman etal., Micromechanical resonator array for an implantable bionic ear, Journal of Audiology
and Neurotology, 11(2), 95103, 2006.
39. B. Antkowiak et al., Design of a high-Q, low-impedance, GHz-range piezoelectric MEMS resonator,
International Conference on Transducers, Solid-State Sensors, Actuators and Microsystems, vol. 1,
pp.841846, Boston, MA, June 2003.
40. B. P. Otis and J. M. Rabaey, A 300-microwatt 1.9-GHz CMOS oscillator utilizing micromachined resona-
tors, IEEE Journal of Solid-State Circuits, 38(7), 12711274, July 2003.
41. A. P. S. Khanna, E. Gane, and T. Chong, A 2GHz voltage tunable FBAR oscillator, IEEE MTT-S
International Microwave Symposium Digest, vol. 2, pp. 717720, Philadelphia, PA, June 2003.
42. M. A. Dubois et al., Integration of high-Q BAW resonators and filters above IC, IEEE International
Solid-State Circuits Conference, vol. 1, pp. 392606, San Francisco, CA, February 2005.
43. J. F. Carpentier etal., A SiGe:C BiCMOS WCDMA zero-IF RF front-end using an above-IC BAW filter,
IEEE International Solid-State Circuits Conference, vol. 1, pp. 394395, San Francisco, CA, 2005.
44. K. Wang and C. T. C. Nguyen, High-order micromechanical electronic filters, IEEE Micro-
electromechanical Systems Annual International Workshop, pp. 2530, Nagoya, Japan, January 1997.
45. J. R. Clark, H. Wan-Thai, and C. T. C. Nguyen, High-Q VHF micromechanical contour-mode disk reso-
nators, IEEE International Electron Devices Meeting, pp. 493496, San Francisco, CA, 2000.
46. M. A. Abdelmoneum, M. U. Demirci, and C. T. C. Nguyen, Stemless wine-glass-mode disk microme-
chanical resonators, IEEE International Conference on Micro-electromechanical Systems, pp. 698701,
Kyoto, Japan, January 2003.
47. M. U. Demirci, M. A. Abdelmoneum, and C. T. C. Nguyen, Mechanically corner-coupled square micro-
resonator array for reduced series motional resistance, International Conference on Transducers, Solid-
State Sensors, Actuators and Microsystems, vol. 2, pp. 955958, Boston, MA, June 2003.
48. Y.-W. Lin etal., Vibrating micromechanical resonators with solid dielectric capacitive transducer gaps,
IEEE International Frequency Control Symposium and Exposition, pp. 128134, Vancouver, BC, Canada,
2005.
49. B. Bircumshaw etal., The radial bulk annular resonator: Towards a 50-Ohm RF MEMS filter, International
Conference on Transducers, Solid-State Sensors, Actuators and Microsystems, vol. 1, pp. 875878, Boston,
MA, June 2003.
50. S.-S. Li etal., Micromechanical hollow-disk ring resonators, IEEE International Conference on Micro-
Electromechanical Systems, pp. 821824, Maastricht, the Netherlands, January 2004.
51. A. Dec and K. Suyama, Micromachined electro-mechanically tunable capacitors and their applications to
RF ICs, IEEE Transactions on Microwave Theory and Techniques, 46(12), 25872596, December 1998.
52. T. K. K. Tsang and M. N. El-Gamal, Very wide tuning range micro-electromechanical capacitors in the
MUMPs process for RF applications, Symposium on VLSI Circuits, pp. 3336, Kyoto, Japan, June 2003.
53. G. M. Rebeiz and J. B. Muldavin, RF MEMS switches and switch circuits, IEEE Microwave Magazine,
2(4), 5971, December 2001.
54. J.-B. Yoon etal., High-performance three-dimensional on-chip inductors fabricated by novel microma-
chining technology for RF MMIC, IEEE MTT-S International Microwave Symposium Digest, vol. 4,
pp.15231526, 1999.
55. International Crystal Manufacturing Co. Inc. Catalog.
56. C. T. C. Nguyen, RF MEMS in wireless architectures, Proceedings of the Design Automation Conference,
pp. 416420, Anaheim, CA, June 2005.
57. S.-S. Li etal., Bridged micromechanical filters, IEEE International Frequency Control Symposium and
Exposition, pp. 280286, Montreal, QC, Canada, August 2004.
58. S.-S. Li etal., Small percent bandwidth design of a 423-MHz notch-coupled micromechanical mixler,
IEEE Ultrasonics Symposium, vol. 2, pp. 12951298, Rotterdam, the Netherlands, September 2005.
59. M. U. Demirci and C. T. C. Nguyen, A low impedance VHF micromechanical filter using coupled-
array composite resonators, International Conference on Transducers, Solid-State Sensors, Actuators
and Microsystems, vol. 2, pp. 21312134, Seoul, South Korea, June 2005.
60. C. T. Nguyen, Vibrating RF MEMS overview: Applications to wireless communications, Proceedings of
the International Society for Optical Engineering, vol. 5715, pp. 1125, January 2005.
61. S.-S. Li et al., Self-switching vibrating micromechanical filter bank, IEEE International Frequency
Control Symposium and Exposition, pp. 135141, Vancouver, BC, August 2005.
312 MEMS: Fundamental Technology and Applications

62. A.-C. Wong and C. T. C. Nguyen, Micromechanical mixer-filters (mixlers), Journal of Micro-
Electromechanical Systems, 13(1), pp. 100112, February 2004.
63. W. Y. Ali-Achmad, RF system issues related to CDMA receiver specifications, RF Design Magazine,
22(9), pp. 2233, September 1999.
64. W.-T. Hsu, A. R. Brown, and K. R. Cioffi, A programmable MEMS FSK transmitter, IEEE International
Conference of Solid-State Circuits, pp. 11111120, San Francisco, CA, February 2006.
65. A. I. Zverev, Handbook of Filter Synthesis, Hoboken, New Jersey, Wiley, 1967.
66. D. S. Humpherys, The Analysis, Design and Synthesis of Electrical Filters, Upper Saddle River, New
Jersey, Prentice-Hall, 1970.
67. A. Williams and Taylor. F., Electronic Filter Design Handbook, New York, USA, McGraw-Hill, 1995.
68. J. Wang, Z. Ren, and C. T. C. Nguyen, 1.156-GHz self-aligned vibrating micromechanical disk reso-
nator, IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Control, 51(12), 16071628,
December 2004.
69. F. Nabki, T. A. Dusatko, S. Vengallatore, and M. N. El-Gamal, Low-stress, CMOS-compatible sili-
con carbide surface micromachining technology part-I: Process development and characterization, J.
Microelectromech. Syst., 20(3), 720729, June 2011.
70. F. Nabki, T. A. Dusatko, P.-V. Cicek, and M. N. El-Gamal, Low-stress, CMOS-compatible silicon carbide
surface micromachining technology part-II: Beam resonators for MEMS above-IC, J. Microelectromech.
Syst., 20(3), 730744, June 2011.
71. Y. Tomikawa, S. Oyama, and M. Konno, A quartz crystal tuning fork with modified basewidth for a high
quality factor: Finite element analysis and experiments, IEEE Transactions on Sonics and Ultrasonics,
29(4), 217223, July 1982.
14 Rigid Body Motion Capturing
by Means of a Wearable Inertial
and Magnetic MEMS Sensor
AssemblyFrom Reconstitution
of the Posture toward Dead
Reckoning
An Application in Bio-Logging
Hassen Fourati, Noureddine Manamanni,
Lissan Afilal, andYves Handrich

CONTENTS
14.1 Introduction........................................................................................................................... 314
14.2 Motivations and Problem Formulation.................................................................................. 315
14.3 Materials and Methods.......................................................................................................... 316
14.3.1 Rigid Body Attitude and Coordinate Systems........................................................... 316
14.3.2 Mathematical Model of Attitude Representation...................................................... 316
14.3.3 Three-Axis Inertial/Magnetic Sensor Package Measurement Models..................... 317
14.3.3.1 Three-Axis Accelerometer......................................................................... 317
14.3.3.2 Three-Axis Magnetometer.......................................................................... 317
14.3.3.3 Three-Axis Gyroscope................................................................................ 317
14.4 Design Approach for Attitude Estimation: Complementary Filter....................................... 318
14.4.1 Rigid Body Kinematic Motion Equation................................................................... 318
14.4.2 Design State Model.................................................................................................... 318
14.4.3 Attitude Complementary Filter.................................................................................. 319
14.5 Experimental Validation........................................................................................................ 320
14.5.1 Experimental Tools for Attitude Estimation:
Inertial Measurement Unit(MTi-G).......................................................................... 320
14.5.2 Evaluation Test and Analysis in Free Movement of the Animal............................... 321
14.6 Toward 3D Position Estimation in Pedestrian Locomotion.................................................. 324
14.6.1 3D Position Estimation Approach Using a Dead Reckoning Technique................... 324
14.6.2 Experimental Results in the Case of Human Pedestrian Locomotion...................... 326
14.7 Conclusion............................................................................................................................. 327
Acknowledgment............................................................................................................................ 329
References....................................................................................................................................... 329

313
314 MEMS: Fundamental Technology and Applications

14.1INTRODUCTION
Rigid body attitude and orientation estimation problems are highly motivated from various applica-
tions. For example, in rehabilitation and biomedical engineering [1], the attitude is used in stroke
rehabilitation exercises to record the patients movements to provide adequate feedback for the ther-
apist. In human motion tracking and biomechanics [2], the attitude serves as a tool for physicians
to perform long-term monitoring of the patients and to study human movements during everyday
activities. Moreover, attitude estimation is extensively used in tracking a handheld microsurgical
instrument [3]. In aerial and marine vehicles [4], the attitude is used to achieve a stable controller.
Recently, the problem of attitude and orientation tracking has been treated in another scientific
field: bio-logging. The latter stands at the intersection of animal behavior and bioengineering and
aims at obtaining new information from the natural world and providing new insights into the hid-
den lives of animal species [5,6]. Bio-logging generally involves a free-ranging animal-attached
electronic device (also called bio-logger) that records aspects of the animals biology (behavior,
movement, physiology) [7,8] and its environment. Thirty years ago, several tagging technologies
such as satellite tracking (the Argos system) [9] and timedepth recorders (TDRs) [10] were used
to provide basic knowledge of the function of free-ranging organisms. The recent advances in elec-
tronic miniaturization, sensors, and digital information processing allowed researchers studying
animal biology to achieve a high level of detail across the full range of ecological scales.
Many marine and terrestrial animals are studied during their daily activities. The posture and
orientation tracking of these free-ranging animals represent one of the recent biological aspects
studied in bio-logging. Indeed, some scientific research began to focus on this topic using low-cost
sensors based on microelectromechanical system (MEMS) technology such as a three-axis accel-
erometer and a three-axis magnetometer. The obvious advantage of this new approach is to gain
access to the three-dimensional space, which is the key to a good understanding of the diving strate-
gies observed in these predators [11]. The main question to answer is how is it possible to extract the
gravity components of the body of an animal [1214]? This information is exploited later to deduce
the corresponding posture (attitude) and consequently the dynamic body acceleration (DBA).
In this chapter, we propose the addition of three-axis gyroscope measurements to the sensors
already used (a three-axis accelerometer and a three-axis magnetometer) in bio-logging. The use
of a gyroscope with an accelerometer and a magnetometer, mounted in triad configuration, in bio-
logging has, to our knowledge, never been done before. In our opinion, it can improve the estimation
precision of the attitude, especially during a dynamic situation of animal motion [4,15,16]. The main
idea of the algorithm is to use a complementary filter coupled with a LevenbergMarquardt algo-
rithm (LMA) to process the measurements from a three-axis gyroscope, a three-axis magnetometer,
and a three-axis accelerometer. The proposed approach combines a strap-down system, based on
the time integral of the angular velocity, with the LMA that uses the Earths magnetic field and a
gravity vector to compensate for the attitude predicted by the gyroscope. It is important to note that
the resulting structure is complementary: high-bandwidth-rate gyro measurements are combined
with low-bandwidth vector observations (gravity and Earths magnetic field) to provide an accurate
attitude estimate. Thanks to the knowledge of the estimated attitude, it is now possible to reconsti-
tute the DBA of an animal to evaluate its daily diary [14] (sleeping, walking/flying, running, and
hunting) and to provide important insights into some of the stresses faced by free-ranging animals
especially the king penguin and badger.
In the second part of the chapter, the problem of three-dimensional (3D) position estimation in
the case of pedestrian locomotion is addressed. The final goal would be to apply this work in bio-
logging to reconstruct the trajectory of the animal. Previous works in this domain have focused
only on marine animals using a three-axis accelerometer and a speed sensor to estimate the 3D
position [14]. In this chapter, we are interested in the case of a terrestrial animal. On the basis of
measurements provided by a three-axis accelerometer, a three-axis magnetometer, and a three-axis
gyroscope (inertial measurement unit (IMU)), a position estimation approach is proposed. A dead
Rigid Body Motion Capturing 315

reckoning approach is used where the DBA is integrated to estimate at first the linear velocity and
then the position. A complementary filter approach is used to estimate the body attitude that is nec-
essary to reconstruct the DBA. An experimental evaluation of the proposed approach is carried out
in the case of human locomotion where an IMU is attached to the foot of the subject.
This chapter is organized as follows: Section 14.2 describes the problem statement and our
motivations for studying motion estimation in the case of the attitude and position in bio-logging.
Section 14.3 details the attitude parameterization and the sensor measurement models used in this
chapter. Section 14.4 details the structure of the proposed complementary filter for attitude estima-
tion. Section 14.5 is devoted to experimental results to illustrate the effectiveness of the proposed
algorithm. Section 14.6 introduces some concepts about a 3D position estimation approach in the
case of pedestrian locomotion with our first experimental results. Finally, Section 14.7 summarizes
the main conclusions of the chapter.

14.2 MOTIVATIONS AND PROBLEM FORMULATION


Recent technological advances have revolutionized the approach of animals behavior study in their
environment and have enabled researchers in biology and ecophysiology to leave their laboratories
to study these adaptations on animal models living freely in their natural environment. Bio-logging
has been introduced as the science that studies the behavior, physiology, ecology, and environmental
properties of free-living animals (bioclimatic, global change, etc.) that are often beyond the border of
our visibility or experience. Bio-logging has found its origin in the marine environment [10] and has
diversified into the study of flying and terrestrial species. This scientific area often refers to the study
of free-ranging animals in their natural environment through miniaturized electronic devices (also
called bio-loggers [17]) usually attached to their bodies. These systems measure and record biological
parameters or physicochemical properties related to the individual and/or its environment using vari-
ous types of sensors (luminosity, pressure, velocity, etc.). The loggers provide time tracking of physical
and biological parameters over periods ranging from several hours to several months or sometimes a
year and at sampling rates ranging from few seconds to several minutes. The king penguin and badger
are the major biological models studied at Strasbourg University, thanks to bio-logging technology.
Biologists have recently become interested in reconstructing the motions of these animals (3D attitude
and position) under several acceleration profiles, to be able to study their behavior during long periods.
In this chapter, we are interested in

First proposing a robust alternative approach to estimate the movement patterns (attitude or
orientation) of the rigid body, which represents the animal body, to be applied in the case of
the penguin (see Figure 14.1). To achieve this goal, we use a wearable inertial and magnetic
MEMS sensor assembly based on a three-axis accelerometer, a three-axis magnetometer,
and a three-axis gyroscope (IMU). Furthermore, the estimated attitude is used to calculate
the three components of the DBA of the animal, which provides biologists with important
information about the energy budgets of free-living animals.

Sensor frame Aligned Sensor frame Aligned

Rigid-body

Body frame
Body frame

FIGURE 14.1 Schematic diagram of how an inertial measurement unit is attached to a penguin.
316 MEMS: Fundamental Technology and Applications

Second, we address the problem of 3D position estimation in the case of human pedes-
trian locomotion, based on attitude and DBA estimation. The goal is to obtain results that
remain promising to reconstruct the animal position for an application in bio-logging.

14.3 MATERIALS AND METHODS


14.3.1 Rigid Body Attitude and Coordinate Systems
A rigid body is considered as a solid formed from a finite set of material points with deformable vol-
ume [18]. Generally, the rigid body attitude represents the direction of its principal axes relative to a
reference coordinate system and its dynamics express the change of object orientation. In the naviga-
tion field, an attitude estimation problem requires the transformation of measured and computed quan-
tities between various frames. The rigid body attitude is based on measurements gained from sensors
attached to the latter. Indeed, inertial sensors (accelerometer, gyroscope, etc.) are attached to the body
platform and provide inertial measurements expressed relative to the instrument axes. In most sys-
tems, the instrument axes are nominally aligned with the body-platform axes. Since the measurements
are performed in the body frame, we describe in Figure 14.2 the orientation of the body-fixed frame
B(XB, YB, ZB) with respect to the Earth-fixed frame N(XN, YN, ZN), which is tangent to the Earths sur-
face (local tangent plane, LTP). This local coordinate is particularly useful in expressing the attitude
of a moving rigid body on the surface of the Earth [19]. The XN -axis points true north. The ZN -axis
points toward the interior of the Earth, perpendicular to the reference ellipsoid. The YN -axis completes
the right-handed coordinate system, pointing east (NED: north, east, down).

14.3.2 Mathematical Model of Attitude Representation


In this chapter, we use quaternion algebra to describe the rigid body attitude. The unit quaternion,
denoted by q, is expressed as

q=q0+qvect=q0 1+q1i+q2j+q3kH (14.1)

where qvect=q1i+q2j+q3k represents the imaginary vector, q0 is the scalar element, and H can be
written as


{
H = q /qT q = 1, q = q0 T
qvect , q0 , qvect = [q1
T
q2 q3 ] 31
T
} (14.2)

YN
ZB
YB
(N)
(B)
XB

60 XN
g
m

ZN

FIGURE 14.2 The coordinate system (B) of a rigid body represented in the Earth-fixed frame (N).
Rigid Body Motion Capturing 317

The rotation matrix in terms of a quaternion can be written as

2 (q02 + q12 ) 1 2 (q1q2 + q0 q3 ) 2 (q1q3 q0 q2 )



M NB (q ) = 2 (q1q2 q0 q3 ) 2 (q02 + q22 ) 1 2 (q0 q1 + q2 q3 ) (14.3)

2 (q0 q2 + q1q3 ) 2 (q2 q3 q0 q1 ) 2 (q0 + q3 ) 1
2 2

We invite the reader to refer to Ref. [20] for more details about quaternion algebra.

14.3.3Three-Axis Inertial/Magnetic Sensor Package Measurement Models


The sensors configuration consists of a three-axis accelerometer, a three-axis magnetometer, and
a three-axis gyroscope containing MEMS technologies. A detailed study of these sensors is given
in Ref. [21].

14.3.3.1 Three-Axis Accelerometer


An accelerometer measures the acceleration of the object that it supports. If three accelerometers
are mounted in an orthogonal triad in a rigid body, their sensitive axes coincide with the principal
axes of inertia of the moving body. The output of a three-axis accelerometer in the body-fixed frame
(B) is given by the following measurement vector [22]:

f = M NB (q ) ( a G ) + d f (14.4)

where G=[0 0 g]T and a=[ax ay az]T represent, respectively, the gravity vector and the DBA of the
rigid body, given in the Earth-fixed frame (N). d f 3 is a noise vector assumed to be independent,
white, and Gaussian. M NB (q ) is the rotation matrix defined in Equation 14.3 reflecting the transition
between frames (N) and (B).

14.3.3.2 Three-Axis Magnetometer


A magnetometer, sometimes called a magnetic compass, is a device for measuring the direction and
intensity of a magnetic field and especially the Earths magnetic field. The output of a three-axis
magnetometer in the body-fixed frame (B) is given by the following measurement vector [22]:

h = M NB (q ) m + dh (14.5)

where m is the magnetic field expressed in the Earth-fixed frame (N) by

0 mz = m cos ( I ) 0 m sin ( I )
T T
m = m x (14.6)

h is a white Gaussian noise, and M NB (q ) is the rotation matrix expressed in Equation 14.3. Currently,
the parameters of the theoretical model of the geomagnetic field m closest to reality can be deduced
from Ref. [23].

14.3.3.3 Three-Axis Gyroscope


A gyroscope is an inertial sensor that measures the angular velocity of reference attached to the
sensor compared with an absolute reference frame along one or more axes [24]. The output of a
three-axis gyroscope in the body-fixed frame (B) is given by the measurement vector [22]

G=+b+G (14.7)
318 MEMS: Fundamental Technology and Applications

where w 3 is the real angular velocity, b 3 is a slow time-varying function [21], also called
bias, and G is a white Gaussian noise.

14.4DESIGN APPROACH FOR ATTITUDE ESTIMATION: COMPLEMENTARY


FILTER
In this chapter, the objective is to design an attitude estimation algorithm based on inertial and mag-
netic MEMS sensors. The application in mind is related to a free-ranging animal case in bio-logging
[25]. By considering the rigid body kinematic model, a complementary filter is proposed to take
advantage of the good short-term precision given by rate gyros integration and the reliable long-
term accuracy provided by accelerometer and magnetometer measurements. This leads to better
attitude estimates [4]. It is important to note that the resulting approach structure is complementary:
high-bandwidth-rate gyro measurements are combined with low-bandwidth vector observations to
provide an accurate attitude estimate [26].

14.4.1 Rigid Body Kinematic Motion Equation


Rigid body motion can be described by the attitude kinematic differential equation [27], which rep-
resents the time rate of attitude variation, expressed in a quaternion term q, as a result of rigid body
angular rates measured by the gyroscope

1 qvect
T
q = w (14.8)
2 I 3 3 q0 + qvect G


T
where q = q0 qvect T
is the unit quaternion that denotes the mathematical representation of the
rigid body attitude between two frames: body-fixed frame (B) and Earth-fixed frame (N). Note that
qvect=[q1 q2 q3]T represents the vector part of q. It is customary to use quaternions instead of Euler
angles since they provide a global parameterization of the body orientation and are well suited for
calculations and computer simulations. Grepresents the angular velocity vector expressed in (B)
and I33 is the identity matrix of dimension 3. qvect

represents the standard vector cross-product (the
skew-symmetric matrix), which is defined as


q1 0 q3 q2
qvect

= q2 = q3 0 q1 (14.9)
q3 q2 q1 0

14.4.2Design State Model


Let us consider the following system model (S1) composed of Equation 14.8 with the output y that
represents the linear measurement model. The output y 6 of this system is built by stacking the
accelerometer and magnetometer measurements:

q0 q1w Gx q2w Gy q3w Gz



q1 = 1 qvect 1 q0w Gx q3w Gy + q2w Gz
T

w =
(S1 ) : q2 2 I33q0 + qvect 2 q3w Gx + q0w Gy q1w Gz
G

(14.10)
q3 q1w Gy q2w Gx + q0w Gz

y = f x f y fz hx hy hz T

Rigid Body Motion Capturing 319

By considering the rigid body kinematic equation and the linear measurement model y, the pro-
posed system (S1) can take advantage of the good short-term precision given by the rate gyros
integration and the reliable long-term accuracy provided by accelerometer and magnetometer mea-
surement fusion [26,28], which leads to improved quaternion estimation.

14.4.3Attitude Complementary Filter


The aim of this approach is to ensure a compromise between the accuracy provided by short-term
integration of the gyroscope data and the long-term measurement precision obtained by the accel-
erometer and the magnetometer. To compensate for the drifts on the estimated quaternion that are
observed during the integration of the differential equation 14.8, a correction term T is introduced
in this equation based on a quaternion product . We propose the following complementary filter:

q0 q1w x q 2w y q3w z




q1 1 q 0w x q3w y + q 2w z
(F ) : = T (14.11)
 2 q3w x + q 0w y q1w z
q 2
 q1w x q 2w y + q 0w z
q3

where q = [q 0 q1 q 2 q3 ] 4 represents the estimated quaternion. The correction term T is


T

calculated from a fusion approach of accelerometer and magnetometer data. The quaternion product
introduced in Equation 14.11 allows merging of the magnetic and inertial measurements.
We now present the method for calculating the correction term T. We consider the modeling error
d (q ) = ( y y ). The estimated output is given by y:

T
y = fx fy fz hx hy hz (14.12)

Measurements of the estimated accelerations f x, fy , and fz can be calculated by assuming that the
( )
DBA a is low a 2  G 2 [29]. Thus, we obtain

T
f = 0 f x fy fz = q 1 Gq q (14.13)

where Gq=
[0009.8]T : a quaternion representation of the gravity vector G= [009.81]T.
Measurements of the Earths estimated magnetic field hx, hy , and hz can be calculated as

T
h = 0 h x hy hz = q 1 mq q (14.14)

where mq=[0 mx0mz]T: a quaternion representation of the Earths magnetic field m=[mx0mz]T.
The minimization of the modeling error d (q ) is performed from a regression method that mini-
mizes the scalar squared error criterion function x(q ) related to d (q ):

x(q ) = d (q ) d (q )
T
(14.15)

In this chapter, the LMA is used to minimize the nonlinear function x(q ). This choice reflects the
robustness demonstrated by this algorithm compared with other methods such as GaussNewton
or gradient [30].
320 MEMS: Fundamental Technology and Applications

The unique solution to this problem can be written in the following form [31]:

h (q ) = Kd (q ) (14.16)

where K=k[XT X+I33]1 XT is the gain of the filter used to minimize the error d (q ). X 6 3 is
the Jacobian matrix defined by
T
0 fz fy 0 hz hy

T
X = 2 f h = 2 fz

0 fx hz 0 hx (14.17)
fy fx 0 hy hx 0

The constant is chosen to ensure the nonsingularity of the minimization problem. The constant
k determines the crossover frequency of the latter. It is used to tune the balance between mea-
surement noises suppression and response time of the filter. Generally, it combines low-bandwidth
accelerometer/magnetometer readings with high-bandwidth gyroscope measurements. Note that the
complementary filter has a better convergence when k is chosen somewhere between 0.1 and 1 [4].
h (q ) represents a part of the correction term T. To achieve the quaternion product in Equation 14.11,
the term T must be of dimension 4. Hence, T is constructed as follows:

1 0 0 0 0 0 0
0 1
T = (14.18)
0 K d (q )

0

The scalar part of the quaternion error is chosen to be 1 to force the quaternion error to represent
small angles of rotation [32]. Finally, the complementary filter can be written as

q0

(
q1w x + q 2w y + q3w z) 1 0 0 0 0 0 0

(
q1 1 q 0w x q3w y + q 2w z
 ) 0 1

(F ) : = (14.19)

q 2 (
2 q w + q w q w
3 x 0 y 1 z ) 0 K

d(q)



q
3 (
q1w y q2w x + q0w z
) 0

14.5 EXPERIMENTAL VALIDATION


14.5.1Experimental Tools for Attitude Estimation: Inertial Measurement
Unit(MTi-G)
To evaluate the efficiency of the proposed complementary filter in real-world applications, an exper-
imental setup was developed resorting to an inertial and magnetic sensor assembly. The goal is to
obtain an estimation of the quaternion that represents the orientation of a rigid body and to investi-
gate its accuracy under various conditions. For the experiments, an IMU was employed. The MTi-G
from Xsens Motion Technologies [33] is used. This MEMS device is a miniature, lightweight, 3D
calibrated digital output sensor (3D acceleration from the accelerometer, 3D angular rate from the
gyroscope, and 3D magnetic field data from the magnetometer), a Global Positioning System (GPS)
enhanced attitude and heading reference system with built-in bias, sensitivity, and temperature com-
pensation. The MTi-G outputs data at a rate of 100Hz and records them on a computer (see Figure
Rigid Body Motion Capturing 321

USB
converter
MTi-G

Acceleration Angular velocity Earths magnetic field

FIGURE 14.3 Inertial measurement unit MTi-G.

14.3). In addition, this device is designed to track the bodys attitude in quaternion representation
using an embedded Kalman filter algorithm. The calibration procedure to obtain the gain, offsets,
and nonorthogonality of the sensors was performed by the manufacturer of the sensor module.
It is important to note that the MTi-G device serves as a tool for the evaluation of the comple-
mentary filter efficiency and cannot be suitable for use in the bio-logging field due to its dependence
on an energy source as well as its heavy weight. In the following set of experiments, the calibrated
data from the MTi-G are used as input for the complementary filter.

14.5.2Evaluation Test and Analysis in Free Movement of the Animal


In this set of experiments, the accuracy of the complementary filter is evaluated during the
free motion of a domestic animal (a dog). The MTi-G is attached to the back of the animal
with its xyz-axes aligned with those of the dog. The path followed by the animal was realized
in a football stadium as shown in Figure 14.4. Inertial/magnetic measurements and attitude

Computer

MTi-G

(Yaw)

(Roll)

USB port (Pitch)

Motion end
Motion beginning

FIGURE 14.4 The MTi-G attached to the back of the dog. Description of the dog motion.
322 MEMS: Fundamental Technology and Applications

(in quaternion representation) are recorded using the MTi-G during the motion of the dog (see
Figure 14.5) and are transmitted to a computer via a Universal Serial Bus (USB) port. We note
that, based upon measurements recorded by the accelerometer, the animal motion consists of
two acceleration profiles, one corresponding to the low frequencies of motion (during walk) and
the other corresponding to the high frequencies (during trot and canter). The acceleration pro-
file varies between 15 and 15m/s2 for f x and f y and 5 and +25m/s2 for fz. The increase in the
acceleration level between natural gaits is due to the DBA a of the dog that is more important
during the trot and the canter.
The recorded inertial and magnetic measurements from the MTi-G are used to estimate the atti-
tude using the proposed complementary filter. The calculated attitude from the MTi-G is considered
as the reference of the dogs motion. Figure 14.6 plots the evolution of the difference between the
calculated quaternion using the MTi-G and the one estimated by the proposed approach. Although
some parts of the motion are with high dynamics, we can remark that the errors on the quaternions
components do not exceed 0.03 on q0, q1, and q2 and 0.05 on q3. For clarity, we also represent
the attitude estimation results of the same movement using the Euler angles (roll, pitch, and yaw).
Figure 14.7 shows the evolution of the difference between the Euler angles estimated by the comple-
mentary filter and the MTi-G.
It is clear that this mismatch between the estimated attitude by our approach and the MTi-G is
small. Then, one can conclude about the performance of the complementary filter in attitude esti-
mation of the animal body even in dynamic situations. Although our approach did not exploit GPS
data as done in the MTi-G, it is able to reconstruct the orientation of the dog given by the MTi-G
with a small error.

Specific forces
20 20 30
10 10 20
fx(m/s2)

fy (m/s2)

0
fz(m/s2)

0 10
10
10 20 0
20 30 10
0 50 100 0 50 100 0 50 100
Time (s) Time (s) Time (s)
Angular rates
4 4 4
2 2 2
gx (rad/s)

gy (rad/s)

gz(rad/s)

0 0 0
2 2 2
4 4 4
0 50 100 0 50 100 0 50 100
Time (s) Time (s) Time (s)
Earths magnetic fields

0.5 0.6
0.6
hx(Gauss)

0.4
hy (Gauss)

hz(Gauss)

0.7
0 0.2
0.8
0
0.5 0.9
0.2
1
0 50 100 0 50 100 0 50 100
Time (s) Time (s) Time (s)

FIGURE 14.5 Inertial and magnetic measurements recorded from the MTi-G.
Rigid Body Motion Capturing 323

0.1
Difference on q0
0.05

0
0 20 40 60 80 100 120 140
0.1
Difference on q1
0.05

0
0 20 40 60 80 100 120 140
0.1
Difference on q2
0.05

0
0 20 40 60 80 100 120 140
0.1
Difference on q3
0.05

0
0 20 40 60 80 100 120 140
Time (s)

FIGURE 14.6 Differences between quaternions component estimates produced by the complementary filter
and the MTi-G during the motion of the dog.

4 Difference on roll ()
2
0
2
0 20 40 60 80 100 120 140

5 Difference on pitch ()

5
0 20 40 60 80 100 120 140
10
Difference on yaw ()
5
0
5
10
0 20 40 60 80 100 120 140
Time (s)

FIGURE 14.7 Differences between Euler angle estimates produced by the complementary filter and the
MTi-G during the motion of the dog.
324 MEMS: Fundamental Technology and Applications

14.6 TOWARD 3D POSITION ESTIMATION IN PEDESTRIAN LOCOMOTION


In this chapter, we focus on the problem of 3D position estimation of a mobile with pedestrian
locomotion (human walking) using a dead reckoning approach. Our objective is to go toward the
estimation of the animals 3D position in bio-logging. In this context, a position estimation approach
is proposed based only on proprioceptive measurements provided by a three-axis accelerometer, a
three-axis magnetometer, and a three-axis gyroscope, where the DBA is integrated to estimate at
first the linear velocity and then the position. The complementary filter approach, presented in the
previous section, is used to estimate the body attitude that is necessary to reconstruct the DBA.
The obtained results are satisfactory and remain promising for the terrestrial animal in bio-logging
applications.

14.6.1 3D Position Estimation Approach Using a Dead Reckoning Technique


The proposed approach is based on the dead reckoning technique [34]. It consists of deducing the
position of a mobile from its last known position. Therefore, we used the physical relationship exist-
ing between the estimated DBA of the mobile a and its estimated position p. It can be written using
the following two equations:

T2

v (t ) = a (t ) dt (14.20)
T1

T2

p (t ) = v (t ) dt (14.21)
T1

where v represents the estimated linear velocity of the mobile and [T1,T2] denotes the sampling period.
The DBA of mobile a can be deduced from Equation 14.4 as


( )
a = inv M NB (q ) f G

(14.22)

where M NB (q ) is the rotation matrix defined in Equation 14.3. It is expressed in terms of the esti-
mated quaternion from the complementary filter. G 3 is the gravity vector and f 3 is the
accelerometer measurement.
On the basis of Equations 14.20 and 14.21, a significant fast drift appears at the position estimation.
This drift was predictable; it is mainly due to measurement noise in the accelerometer signal f and
its numerical integration in Equations 14.20 and 14.21. To overcome this problem, one introduces a
correction step usually proposed for pedestrian navigation [35,36] in the case of human and terrestrial
animal locomotions (see Figure 14.8). The idea is to use an IMU attached to the human foot. The
accelerometer measurements f allow us to detect the moments when the acceleration norm f 2 equals
gravity. At these moments, theoretically, the DBA a and the linear velocity v vanish since the foot
reaches the ground (see Figure 14.9). However, in reality, they stay slightly different from zero at these
moments. Therefore, a correction step is applied to reset the linear velocity to zero at these moments.
2
First, we calculate the squared norm of the measured acceleration f 2 by using the following
formula:

2
= f = f x2 + f y2 + fz2 (14.23)
2
Rigid Body Motion Capturing 325

FIGURE 14.8 Terrestrial animal with the inertial measurement unit attached to the paw.

T = 0.12 s T = 0.24 s T = 0.36 s T = 0.48 s T = 0.60 s T = 0.72 s


V

Vitesse du
point A

T1 T T2 T

FIGURE 14.9 Key phases in a stride. During T, all velocity components of point A in the sole of the boot
are zero.

One then calculates the means mo of over a range of samples e:

c
mo = (14.24)
e

Then, the variance of this squared norm is computed on a sliding window by using the following
equation [37]:

i= j

( )
1
Ve ( j ) =
2
mo j (14.25)
e 1 i = j e +1 i

where i is the squared norm of the measured acceleration f and moj is the means of i over a cho-
sen range of samples e. The variance of the squared norm is used to detect significant moments of
movement of the subject, such as where the foot touches the ground (DBA theoretically equal to
zero). The sampling interval e must be carefully chosen so that the test of the variance is sufficiently
sensitive to slow and rapid changes of the accelerometer signal.
To detect the moments when the estimated DBA a vanishes, the following condition is imposed:

Ve<L (14.26)
326 MEMS: Fundamental Technology and Applications

When condition 14.26 is performed, it is necessary to reset the components of the velocity vector
v to zero before using Equations 14.20 and 14.21. Otherwise, the integration procedure using these
two equations is normally conducted without resetting the velocity.

14.6.2Experimental Results in the Case of Human Pedestrian Locomotion


To examine the accuracy of the position estimation by the approach proposed above we perform
experimental trials in the case of human walking. The IMU MTi [33] was used to collect magnetic
and inertial measurements that are used first to estimate the attitude by the complementary filter.
This device has the same characteristics as the MTi-G. The MTi is attached to the end of the human
foot as shown in Figure 14.10 and is used to record data during an episode of walking for a few min-
utes. In this case, it is possible to obtain the norm of DBA a close to zero each time the foot touches
the ground. To get an accurate reference of the 3D walking trajectory, we chose to perform a known
one along the corridors of our laboratory CReSTIC in Reims as shown in Figure 14.11. This trajec-
tory takes the form of a rectangle with a size of 2(22m19m).
To estimate the attitude of the human foot during the 3D walking trajectory, we used the com-
plementary filter approach proposed in the previous section. The estimated attitude q is then used
to calculate the DBA from Equation 14.22. Then, we calculated the values of the squared norm

FIGURE 14.10 Inertial measurement unit MTi attached to the foot of a subject during displacement.

FIGURE 14.11 3D trajectory of walking.


Rigid Body Motion Capturing 327

3000

X (m/s2)2
2000
1000
0
0 10 20 30 40 50 60 70 80 90
1000
mo (m/s2)2

500

0
0 10 20 30 40 50 60 70 80 90
x 105
6
V (m/s2)4

4
2
0
0 10 20 30 40 50 60 70 80 90
1.5
1
0.5
d

0
0.5
0 10 20 30 40 50 60 70 80 90
Time (s)

FIGURE 14.12 The squared norm of the measured acceleration i, the means moj, the variance Ve(j), and the
moments of step detection (detector d).

of the measured acceleration i by using Equation 14.23. The means moj for this acceleration are
then calculated through Equation 14.24 with the range of samples e=8. Finally, the values of
variance Ve are derived using Equation 14.25.
Figure 14.12 includes the squared norm of the measured acceleration i, the means moj, the vari-
ance Ve(j), and the moments where the foot touches the ground. Finally, we have applied Equations
14.20 and 14.21 to extract the estimated position of the person along his trajectory. At each inte-
gration step, condition 14.26 is verified and when it is checked, the velocity vector v is initialized
to zero. The limit L in this condition is experimentally set (depending on the nature of the human
walking) to adequately detect and differentiate the phases of walking and rest (the foot touches the
ground). Figure 14.12 shows the validity of the proposed approach to locate the phase where the
foot reaches the ground. Indeed, the detector shown in this figure is set to 1 when the foot touches
the ground and changes to 0 during walk. The linear velocity estimation with and without the cor-
rection step is plotted in Figure 14.13. The improvement provided by this step is obvious in all of
the three axes (solid line versus dashed line). If this reset is not done, the observed differences are
due to the integration of noise in the accelerometer measurements. We calculated in the first step
the 3D position of the person using the usual integration procedure from Equations 14.20 and 14.21
without the correction step. Note that we have chosen to begin the estimation of position from the
initial point (0,0,0). We show in Figure 14.14 the estimated 3D trajectory. A rapid and total diver-
gence in the estimation of position is observed in this figure. The error is about 300 m on the X-,
Y-, and Z-axes. Figure 14.15 illustrates the improvement in the estimation of the 3D position after
adding the correction step of the velocity using condition 14.26. This procedure allows us to obtain
an estimation of position with an absolute error ranging between 5 and 10m on the X- and Y-axes
and 30cm on the Z-axis.

14.7CONCLUSION
This chapter focused principally on the 3D motion estimation of a rigid body. The application in
mind concerns bio-logging and aims to track the posture and orientation of free-ranging animals
during their natural lives.
328 MEMS: Fundamental Technology and Applications

20
With correction step
10 Without correction step

vx (m/s) 0

10
0 10 20 30 40 50 60 70 80 90

15

10 With correction step


Without correction step
vy (m/s)

5
0 10 20 30 40 50 60 70 80 90

5 With correction step


Without correction step
vz (m/s)

-5

10
0 10 20 30 40 50 60 70 80 90
Time (s)

FIGURE 14.13 Estimation of the linear velocity v with and without correction step.

0
50
100
150
Z (m)

200
250
300
350
250 350
200 300
150 250
100 200
50 150
0 100
Y (m) 50 X (m)
50 0

FIGURE 14.14 Experimental result of 3D walking trajectory estimation without correction step.

First, a quaternion-based complementary filter for the rigid body attitude estimation was
designed. The proposed state estimation algorithm adds to the data from a three-axis accelerometer
and a three-axis magnetometer, the one provided by a three-axis gyroscope. The main idea is to
combine a strap-down system, based on the time integral of angular velocity, with the LMA. The
efficiency of the proposed approach is highlighted with a set of experiments on a domestic animal
through sensor measurements provided by an IMU (MTi-G).
Second, the problem of 3D position estimation in the case of human pedestrian locomotion
was addressed. The DBA is integrated to estimate at first the linear velocity and then the position.
The complementary filter approach, presented in the previous section, is used to estimate the body
attitude that is necessary to reconstruct the DBA. The obtained results are satisfactory and remain
promising for terrestrial animals in bio-logging applications.
Rigid Body Motion Capturing 329

5
Z (m)

5
5 10
0
5
5
0
10
15 5
Y (m) X (m)
20 10

FIGURE 14.15 Experimental result of 3D walking trajectory estimation with correction step.

ACKNOWLEDGMENT
The authors would like to thank both the Alsace and ChampagneArdenne regions within the
framework of the project (NaviMeles) for their financial support.

REFERENCES
1. H. Zhou, H. Hu, N. D. Harris, and J. Hammerton, Applications of wearable inertial sensors in estimation
of upper limb movements, Biomedical Signal Processing and Control, 1(1), 2232, 2006.
2. K. J. ODonovan, R. Kamnik, D. T. OKeeffe, and G. M. Lyons, A inertial and magnetic sensor based
technique for joint angle measurement, Journal of Biomechanics, 40(12), 26042611, 2007.
3. W. T. Ang, P. K. Khosla, and C. N. Riviere, Kalman filtering for real-time orientation tracking of hand-
held microsurgical instrument, IEEE/RSJ International Conference on Intelligent Robots and Systems,
Sendai, Japan, 2004, pp. 25742580.
4. R. Mahony, T. Hamel, and J. M. Pflimlin, Nonlinear complementary filters on the special orthogonal
group, IEEE Transactions on Automatic Control, 53( 5), 12031218, 2008.
5. C. Rutz and G. C. Hays, New frontiers in biologging science, Biology Letters, 5(3), 289291, 2009.
6. Y. Ropert-Coudert, M. Beaulieu, N. Hanuise, and A. Kato, Diving into the world of biologging,
Endangered Species Research, 10, 2127, 2009.
7. L. G. Halsey, Y. Handrich, A. Fahlman, A. Schmidt, C. A. Bost, R. L. Holder, A. J. Woakes, and P. J.
Butler, Fine-scale analyses of diving energetics in king penguins Aptenodytes patagonicus: How behav-
iour affect costs of a foraging dive, Marine Ecology Progress Series, 344, 299309, 2007.
8. C. A. Bost, Y. Handrich, P. J. Butler, A. Fahlman, L. G. Halsey, A. J. Woakes, and Y. Ropert-Coudert,
Change in dive profiles as an indicator of feeding success in king and Adlie penguins, Deep-Sea
Research II, 54(34), 248255, 2007.
9. B. J. Le Boeuf, D. E. Crocker, D. P. Costa, S. P. Blackwell, P. M. Webb, and D. S. Houser, Foraging ecol-
ogy of northern elephant seals, Ecological Monographs, 70(3), 353382, 2000.
10. G. L. Kooyman, Genesis and evolution of bio-logging devices: 19632002, Memoirs of the National
Institute of Polar Research, 58, 148154, 2004.
11. G. H. Elkaim, E. B. Decker, G. Oliver, and B. Wright, Marine mammal marker (MAMMARK) dead
reckoning sensor for in situ environmental monitoring, Proceedings of IEEE Position, Location and
Navigation Symposium, Monterey, April 2006, pp. 976987.
12. M. P. Johnson, and P. L. Tyack, A digital acoustic recording tag for measuring the response of wild
marine mammals to sound, IEEE Journal of Oceanic Engineering, 28(1), 312, 2003.
330 MEMS: Fundamental Technology and Applications

13. S. Watanabe, M. Isawa, A. Kato, Y. Coudert, and Y. Naito, A new technique for monitoring the behav-
iour of terrestrial animals: A case study with the domestic cat, Applied Animal Behavior Science, 94,
117131, 2005.
14. R. Wilson, E. L. C. Shepard, and N. Liebsch, Prying into the intimate details of animal lives: Use of a
daily diary on animals, Endangered Species Research, 4, 123137, 2008.
15. H. Fourati, N. Manamanni, L. Afilal, and Y. Handrich, A rigid body attitude estimation for bio-logging
application: A quaternion-based nonlinear filter approach, IEEE/RSJ International Conference on
Intelligent Robots and Systems IROS09, St. Louis, USA, October 2009, pp. 558563.
16. H. Fourati, N. Manamanni, L. Afilal, and Y. Handrich, A nonlinear filtering approach for the attitude and
dynamic body acceleration estimation based on inertial and magnetic sensors: Bio-logging application,
IEEE Sensors Journal, 11(1), 233244, 2011.
17. Y. Naito, New steps in bio-logging science, Memoirs of National Institute of Polar Research, Special
Issue, 58, 5057, 2004.
18. H. Goldstein, Classical Mechanics. 2nd ed. Reading, MA: Addison-Wesley, 1980.
19. M. S. Grewal, L. R. Weill, and A. P. Andrews, Global Positioning Systems, Inertial Navigation, and
Integration. New York: John Wiley & Sons, Inc., 2001.
20. J. B. Kuipers, Quaternion and Rotation Sequences. Princeton, NJ: Princeton University Press, 1999.
21. S. Beeby, G. Ensell, M. Kraft, and N. White, MEMS Mechanical Sensors. Norwood, Massachusetts:
Artech House Publishers, 2004.
22. J. F. Guerrero-Castellanos, Estimation and control of a rigid body attitude: Application to a helicopter
with four rotors, PhD Dissertation, Joshep Fourrier University, Grenoble, France, 2008.
23. Astrosurf, September 2009. Available: http://www.astrosurf.com
24. D. H. Titterton, and J. L. Weston, Strapdown Inertial Navigation Technology. 2nd ed. UK: The Institution
of Electrical Engineers, 2004.
25. H. Fourati, N. Manamanni, L. Afilal, and Y. Handrich, Posture and body acceleration tracking by inertial
and magnetic sensing: Application in behavioural analysis of free-ranging animals, Biomedical Signal
Processing and Control (BSPC), 6(1), 94104, 2011.
26. R. G. Brown and P. Y. C. Hwang, Introduction to Random Signal and Applied Kalman Filtering. 3rd ed.
New York: John Wiley, 1997.
27. M. D. Shuster, A survey of attitude representations, Journal of the Astronautical Science, 41(4), 493517,
1993.
28. H. Fourati, N. Manamanni, A. Benjemaa, L. Afilal, and Y. Handrich, A quaternion-based complementary
sliding mode observer for attitude estimation: Application in free-ranging animal motions, 49th IEEE
Conference on Decision and Control (CDC), Atlanta, USA, pp. 50565061, 2010.
29. H. Fourati, N. Manamanni, L. Afilal, P. Van Hove, and Y. Handrich, A complementary observer-based
approach for the estimation of motion in rigid bodies using inertial and magnetic sensors, IEEE Multi-
Conference on Systems and Control (Conference on Control Applications) (IEEE MSC-CCA), Yokohama,
Japan, pp. 422427, 2010.
30. J. E. Dennis, Jr. and R. B. Schnabel, Numerical Methods for Unconstrained Optimization and Nonlinear
Equations. Englewood, NJ: Prentice Hall, 1983.
31. D. W. Marquardt, An algorithm for the least-squares estimation of nonlinear parameters, SIAM Journal
of Applied Mathematics, 11(2), 431441, 1963.
32. J. Deutschmann, I. Bar-Itzhack, and K. Galal, Quaternion normalization in spacecraft attitude determina-
tion, AIAA Astrodynamics Conference, Washington, USA, pp. 2737, 1992.
33. Xsens Technologies, June 2011. Available: http://www.xsens.com
34. U. Steinhoff, and B. Schiele, Dead reckoning from the pocket: An experimental study, IEEE International
Conference on Pervasive Computing and Communications (PerCom), Mannheim, Germany, pp. 162170,
2010.
35. Q. Ladetto, Capteurs et algorithmes pour la localisation autonome en mode pdestre, PhD Dissertation,
Ecole Polytechnique Fdrale de Lausanne (EPFL), 2003.
36. L. Ojeda and J. Borenstein, Non-GPS navigation for security personnel and first responders, Journal of
Navigation, 60(3), 391407, 2007.
37. S. Rajagopal, Personal dead reckoning system with shoe mounted inertial sensors, Masters degree proj-
ect, Stockholm, Sweden, 2008.
15 Radio-Controlled Wireless
MEMS Actuators and
Applications
Mohamed Sultan Mohamed Ali and Kenichi Takahata

CONTENTS
15.1 Introduction........................................................................................................................... 331
15.2 Wireless Actuation of Thermal Microactuators: WorkingPrinciple.................................... 333
15.3 RF Actuation of Hydrogel and Application to Implantable Drug-Delivery Devices............ 335
15.4 Radio-Controlled SMA Microgripper................................................................................... 338
15.5 Wireless Control of Multiple Microactuators........................................................................ 341
15.6 Conclusion.............................................................................................................................346
Acknowledgments...........................................................................................................................346
References.......................................................................................................................................346

15.1INTRODUCTION
Wireless microactuators provide a broad range of application opportunities. One promis-
ing area is biomedical applications, including therapeutic implants and microsurgical tools. In
these medical areas, shape-memory-alloy (SMA) actuators offer attractive features such as very
large actuation forces, high mechanical robustness with simple structures, and biocompatibility.
Stimuli-responsive hydrogels are also promising smart materials that can be used as soft microac-
tuators suitable for many biomedical applications. The ability to control multiple microactuators
selectively in a wireless manner will be a key to achieving novel functionalities while enhancing
their performance. For implantable drug-delivery devices, for example, this ability may be uti-
lized to enable the controlled and selective delivery of different types of drugs at specific mix-
tures for use in various clinical applications including pain control methods [1] as well as diabetic
[2] and glaucoma treatments [3].
Microelectromechanical systems (MEMS) for drug-delivery applications have attracted sig-
nificant interest that has led to extensive investigations [4,5]. Implantable MEMS devices for this
application are aimed at enabling the controlled release of drugs locally at diseased sites through
miniaturized devices, offering more effective therapy compared with conventional methods with
systemic drug administration that can pose a negative impact on the entire body. One common
approach for drug release that has been adopted in these devices is to use membrane caps that are
electrochemically or electrothermally unsealed by triggering signals to release drugs from their
reservoirs through diffusion mechanisms [611]. This technique can be applied to the delivery
of multiple types of drugs; however, this operation is destructive and irreversible, that is, there
is no means by which one might abort the release once it has been triggered, which potentially
raises safety concerns. To achieve finer release control, these devices use arrays of small reservoirs
along with their activation electrodes/interconnects, which require relatively large device areas.
Another approach is to use micromachined actuators as active valves and pumps to enable the

331
332 MEMS: Fundamental Technology and Applications

reversible switching of drug release and dose control in a timely manner [1215]. Different types
of microvalves have been developed as summarized in Ref. [16]. This type of device is promising
for achieving effective, long-term therapy with temporal release patterns designed and adjusted to
suit particular applications and patients.
For many electronic products, the need for a wired interface limits their application range.
In biomedical areas, the elimination of wires is often vital. Intelligent implants with built-
in electronics are an excellent example of such situations; for their practical functions, these
implants have a compelling need for the association of wireless interfaces with the devices. In
terms of drug-delivery MEMS devices, various wireless devices have been reported [9,11,17,18].
Wireless-controlled microrobots have also been reported [1922]. These devices are operated
with active control circuitry as well as batteries in many cases to power the circuitry, making
the devices bulky and, for implantable devices, more invasive. The use of batteries also poses
limited longevity. These constraints deteriorate as the number of actuators increases [22]. The
use of passive actuation mechanisms eliminates the need for active circuitry and batteries and is
thus potentially advantageous in terms of the size/invasiveness, longevity, cost, and robustness
of the devices.
There have been some development efforts for passively operated wireless microactuators for
microrobot applications using electrostatic force [23] and magnetic resonance [24]; however, they
are not well suited for implant applications due to issues related to the use of high voltage and pack-
aging. Magnetically induced voltages employed in the electrostatic method are affected by field
intensity, which is another undesirable aspect of the method toward the reliable operation as inten-
sity can vary widely due to various practical factors (e.g., antenna distance, implant location, and
radiation absorption by tissues). To avoid similar issues, magnetic microvalves operated with exter-
nal fields [2529] are usually packaged with electromagnetic components to provide well-defined/
stable fields that are powered through wired interfaces. For thermal actuators, directed beams such
as lasers (SMA [3032], bimorph [3335]), and electron beams [36] were utilized to heat the actua-
tor components and wirelessly actuate them.
To the best of the authors knowledge, only a few of the techniques that use energy-beam-assisted
heating for thermal actuations reported the control of multiple microactuators [32,35,36]. In these
types of wireless actuation techniques however, the overall systems involving beam sources and
optics for beam conditioning tend to be complicated and large. In cases where the target actuator
makes movements, which is a typical scheme in microrobotic applications, the beam control sys-
tem needs to include an automated function that precisely directs the beam to the moving target to
ensure continuous actuation. These difficulties will be further increased when multiple actuators
are involved. Moreover, they are ineffective when there is any object obstructing the beam path, and
they are evidently inapplicable for actuations inside the body.
Another passive actuation approach that can potentially address the above-mentioned issues
is to use radiofrequency (RF) magnetic fields for powering and controlling thermal actuators.
An SMA-based macroscale device was reported to use RF power transfer for SMA heating and
the operation of the device [37]. A variety of thermal actuators may be operated in a wireless
manner through this type of remote heating mechanism. One promising candidate to which this
approach could be applied is thermoresponsive hydrogels, such as poly(N-isopropylacrylamide),
or PNIPAM [38,39]. These types of hydrogels exhibit the phase transition temperature called the
lower critical solution temperature (LCST) above which they shrink and dispel the fluid. The
LCST value can be modified using different material compositions of the hydrogel [38]. This fea-
ture has been exploited in various drug releasing mechanisms such as bulk squeezing and surface
regulating [40]. One technique related to these mechanisms is to combine the hydrogels with mag-
netic nanoparticles that are heated by external AC magnetic fields [41]. A microvalve formed with
this type of magnetic hydrogel composite was reported [42]. Heating in nanoparticles depends on
the particle size and field frequency, which is an interesting feature that can potentially be applied
to the selective actuation of multiple microvalves loaded with nanoparticles of different diameters.
Radio-Controlled Wireless MEMS Actuators and Applications 333

The selectivity in heat generation to the field frequency, however, is relatively low [43], which may
pose practical challenges in employing the technique for the well-defined selective release of mul-
tiple drugs. There are still significant challenges to realizing the reversible switching of multiple
drug release through wireless means. SMA actuators are another promising candidate for this
approach. Bulk-micromachined SMA actuators offer various desirable features for biomedical and
other applications such as large actuation force, high work density, high mechanical robustness
with simple structures, and biocompatibility [4448]. Nitinol, a biocompatible NiTi SMA, has
been used extensively for a variety of implantable devices and surgical tools [45,4752]. Toward
the application of SMA microactuators in such medical areas, the ability of wireless control is a
key to promoting the practicality of the devices. This may be effectively achieved through passive
RF actuation methods.
This chapter discusses wireless control techniques for micromachined thermal actuators based
on the RF excitation of passive wireless resonant heaters. The actuators working principle is dis-
cussed in Section 15.2. Section 15.3 presents the application of the technique to an implantable
drug-delivery device that uses thermoresponsive hydrogels as wirelessly controlled microvalves.
Section 15.4 describes the SMA microgripper, in which the micromachined SMA structures are
actuated using the developed RF technique. The technique that enables multiple microactuator con-
trol and its demonstration with an SMA microsyringe is discussed in Section 15.5. Section 15.6
concludes the results.

15.2WIRELESS ACTUATION OF THERMAL MICROACTUATORS:


WORKINGPRINCIPLE
The wireless microactuators that have been developed utilize frequency-dependent induction heat-
ing to control the actuation of thermoresponsive materials and structures (Figure 15.1). When an
inductorcapacitor (LC) resonant circuit is exposed to an AC magnetic field (Figure 15.1a and c), an
AC current is generated due to the electromotive force induced by the field. The power consumed in
the circuit, P, can be expressed as [53]

Rv 2
P(w ) = (15.1)
[ R + j (w L 1/w C)]2

where v is the electromotive force, L and C are, respectively, the inductance and capacitance
of the circuit, R is the parasitic resistance of the circuit, and is the angular frequency of

(a) (b) Device (c)


temperature
RF
Active range RF
magnetic Threshold
field temperature of magnetic
thermal actuator, field
Release Tth
hole Released drug
Wireless Heat
heater
circuit
Reasonant
frequency of the
Hydrogel microvalves circuit, fr
LC circuit
Drug reservoir SMA actuator

Field frequency, fM

FIGURE 15.1 (a) Wireless-controlled hydrogel microvalves; (b) the working principle of the actuation con-
trol; and (c) wireless-controlled SMA microgripper.
334 MEMS: Fundamental Technology and Applications

the AC current. The power is maximized when the field frequency, 2f M, is identical to the
resonant frequency of the circuit, w r = 2p fr = 1/ LC , as the reactance becomes zero

under this condition, resulting in P(r)=v 2/R. Therefore, the field energy is effectively con-
verted into Joule heat when the frequency of the field matches the resonant frequency of the LC
circuit (i.e., f M=fr). The steady-state temperature rise in the LC circuit, Tss, can be theoretically
expressed as [54]

RT v 2 /R
Tss = (15.2)
1 + a R RT v 2 /R

where RT is the thermal resistance to the surrounding of the circuit and R the temperature coef-
ficient resistance of the circuit. The circuit essentially functions as a wirelessly controllable heater
that can be switched simply by tuning the field frequency, rather than the field intensity. The wire-
less actuation principle above can be applied to the actuation of different types of temperature-
sensitive elements such as thermoresponsive hydrogels, SMAs, and bimorphs by thermally coupling
them with the wireless heaters. Figure 15.2 shows a demonstration of the wireless actuation of
poly(N-isopropylacrylamide), or PNIPAM, a thermoresponsive hydrogel that was photopatterned
on a planar LC circuit that served as the wireless heater [55], activated using an RF field based on
the above principle.
This RF actuation mechanism that provides frequency selectivity in actuator operations can
potentially be an accurate and reliable control means for micromachined thermal actuators. In
particular, it offers the opportunity to implement the selective operation of multiple microac-
tuators using resonant circuits with different fr values. This functionality may be leveraged in
implantable drug-delivery devices for the controlled delivery of multiple drugs from partitioned
reservoirs. Another important feature provided by the technique is that the field strength neces-
sary to produce a certain amount of heat is much less than that required in nonresonant induction
heating [56]. This feature is favorable since it transmits reduced levels of electromagnetic radia-
tion to the body.

(a) (b)
Polyimide
Through holes Capacitors
Hydrogel
with dye

Cu coil 300 m

fM = 30 MHz, T = 19.5C fM = 33 MHz, T = 20.4C fM = 34.2 MHz, T = 25.8C


Via contacts

5 mm
fM = 34.4 MHz, T = 26.6C fM = 34.6 MHz, T = 31.3C fM = 35 MHz, T = 33.6C

FIGURE 15.2 (a) Planar wireless resonant heaters fabricated using flex-circuit technology and
(b) wireless actuation of a PNIPAM hydrogel photo-patterned on a wireless heater, showing the ejec-
tion of thedyesolution contained in the hydrogel due to its bulk squeezing induced by tuning the field
f requencytothe resonant frequency of the heater (35MHz). (Reprinted with permission from E. H. Sarraf,
G. K. Wong, and K. Takahata, Frequency-selectable wireless actuation of hydrogel using micromachined
resonant heaters toward implantable drug delivery applications, Solid-State Sensors, Actuators and
Microsystems Conference, Transducers09, 2125 June, 2009, Denver, CO, USA, pp. 15251528. 2009
IEEE.)
Radio-Controlled Wireless MEMS Actuators and Applications 335

15.3RF ACTUATION OF HYDROGEL AND APPLICATION TO IMPLANTABLE


DRUG-DELIVERY DEVICES
Hydrogel microstructures can serve as soft valves that are expected to achieve more robust seal-
ing compared with the hard materials utilized in the other options mentioned above. In addition,
the hydrogel may be used for the other drug-releasing mechanisms noted earlier. In light of these
aspects, PNIPAM was selected as the material for forming the thermoresponsive microvalves in
this effort. An implantable device enabled with hydrogel microvalves that wirelessly regulate the
release of drugs stored in the reservoir of the device has been developed [57]. The microvalves
are combined with the LC resonant heater for their actuation to control the drug release through
micromachined holes created in a reservoir wall (Figure 15.1a). The device is constructed so
that a temperature rise above the LCST occurs only when f M is aligned to fr of the heater for
frequency-controlled actuation of the microvalves. In this case, LCST corresponds to Tth, the
threshold temperature in Figure 15.1b. A photosensitive PNIPAM is used to lithographically form
the microvalve structures on the heater circuit, which are designed to plug the release holes in
their inactive mode. To initiate drug release, the temperature is raised above the LCST by acti-
vating the wireless heater through the field-frequency tuning method; this causes the shrinkage
of the hydrogel microvalves, which unplug the release holes through which the drug diffuses out
from the reservoir. The generated heat also contributes toward enhancing the diffusion of the
drug. Shifting f M away from fr deactivates the heater and the microvalve, closing the release holes
and terminating the drug release.
Figure 15.3a shows a sample design of the wireless LC heater circuit that has a 65mm2 coil
with a theoretical fr of 94MHz. The heater circuits are fabricated on polyimide film using a planar
microfabrication process. The drug reservoir is created by bonding a thick polyimide component
that has a reservoir cavity (Figure 15.3b) to the planar heater circuit, forming an enclosed reser-
voir whose bottom surface is occupied by the spiral coil of the heater when bonded. The cavity

(a) 5.2
Wireless
LC heater Via
circuit contact

Hydrogel Capacitor 6.1


patterns
2.6

8.4 Coil line width: 100 m


(b) spacing: 150 m
Reservoir
component 6.5

Release Open hole


holes Cavity 6 for drug 8.3
(0.3 0.3) loading

Top view
9.5
0.2 1
Side view
Unit: mm

FIGURE 15.3 (a) A sample layout of the wireless resonant heater circuit and (b) top and side views of the
design of a drug reservoir component for the circuit. (With kind permission from Springer Science+Business
Media: Springer 2011. Biomed. Microdevices, Implantable drug delivery device using frequency-controlled
wireless hydrogel microvalves, 13, 2011, 267277. S. Rahimi et al.)
336 MEMS: Fundamental Technology and Applications

components are fabricated using conventional mechanical machining in 1-mm-thick Cirlex poly-
imide sheets (Fralock Inc., CA, USA). Prior to the bonding, release holes are created in the thinned
wall of the cavity component. This thin wall with release holes is used as a photomask to implement
the selective polymerization of the PNIPAM solution injected into the reservoir. This process forms
well-defined hydrogel microvalve structures that are self-aligned to the release holes. After all the
fabrication steps are completed, a liquid-phase drug is filled into the reservoir through one of the
holes reserved for this filling, followed by the sealing of this hole. All the outer surfaces of the com-
pleted device are polyimide that is known as a biocompatible material [58,59], potentially achieving
high biocompatibility for in vivo applications. Figure 15.4 shows samples of fabricated components
and completed device.
The synthesis of the photosensitive PNIPAM solution is implemented on the basis of the process
reported in [60] with a 2% cross-linking formula in this device fabrication. For hydrogel micro-
valve formation, first, the reservoir created by the bonding process is filled, with the synthesized
hydrogel solution through one of the release holes using a needle syringe. All the holes except the
one to be used for drug filling are then exposed to ultraviolet (UV) light with 400-nm wavelength
at 8.6mW/cm2. The optical transmission in Kapton is nearly zero below 500-nm wavelength [61].
Since the Cirlex is thick Kapton, the local polymerization occurs only below the release holes,
forming well-defined hydrogel columns or microvalve structures inside the enclosed reservoir
space (Figure 15.4a). Using this in situ photolithography, under the proper conditions, the bottoms
of the columns adhere to the cured liquid-polyimide surfaces of the circuit, while the tops ofthe
columns touch the inner surfaces of the Cirlex cavity around the holes. It was observed that the
hydrogel had good adhesion to the circuit. For the operation of the device, the adhesion between
the hydrogel and the Cirlex surfaces around the release holes is undesirable since the hydrogel
columns can continue to plug the holes due to the adhesion even when the hydrogel is actuated.
Oxygen plasma treatment was observed to be effective in preventing this adhesion, hence allow-
ing drug release upon the hydrogels actuation. Thus, the cavity surfaces of the Cirlex components

(a) (c)
Polyimide Patterned hydrogel -valves Cirlex reservoir component
substrate

Cu coil
Open
Capacitor 1 mm hole for
drug Release holes
(b)
filling
800-m-deep cavity

Top surfaces of
Bonding hydrogel -valves
region Release holes

540 m
1 mm
1 mm Polyimide substrate of wireless heater

FIGURE 15.4 (a) A fabricated sample LC heater circuit with photo-patterned PNIPAM microvalve structures.
(b) A Cirlex reservoir component with a cavity and released holes. The inset image shows a close-up of one of
the release holes created by wet etching. (c) A fabricated wireless drug-delivery device with PNIPAM micro-
valves formed inside the reservoir whose release holes are plugged by the microvalves. (With kind permission
from Springer Science+Business Media: Springer 2011. Biomed. Microdevices, Implantable drug delivery
device using frequency-controlled wireless hydrogel microvalves, 13, 2011, 267277, S. Rahimi et al.)
Radio-Controlled Wireless MEMS Actuators and Applications 337

were processed with oxygen plasma (5min at 300W) prior to the bonding described above. The
remaining hydrogel solution that is not polymerized is removed from the reservoir through the
open hole using a needle syringe; then, the reservoir is thoroughly rinsed by injecting and flowing
deionized (DI) water in a similar manner. Figure 15.4c shows a fabricated device after this step.
Finally, the reservoir is filled with a selected drug through the open hole, which is then sealed
using a biocompatible adhesive.
The wireless tests for the fabricated resonant heaters and the PNIPAM actuators photopatterned
on the heaters were conducted with an experimental setup; an RF signal was amplified and sup-
plied to an external coil (40nH) to generate a magnetic field, which was radiated to the heater com-
ponent above the coil. Figure 15.5 shows the result obtained using a heater with a 10-mm-sized coil
(fr=35MHz), indicating a strong peak of the temperature approximately at the devices fr. Figure
15.5 also shows the frequency dependence of a lateral dimension of a fully swelled PNIPAM
structure patterned on the coil area. It can be seen that the piece was shrunk down to 62% of the
initial swelled size at the resonance of the heater and that the obvious shrinkage occurred when
the heaters temperature exceeded around 30C, which is the LCST of this hydrogel, as mentioned
previously. In the above tests, the heater-hydrogel components showed an active frequency range
for the hydrogel actuation of approximately 1MHz at their resonant frequencies.
Preliminary release tests were performed with a fabricated device by filling the drug reservoir
with a food-color dye. The device used was measured to have fr=72MHz in air. The test was con-
ducted through the following steps. First, a magnetic field (~800mW) at f M=60MHz was turned
on; it did not show any detectable release (Figure 15.6a). The f M value was then increased by a
1-MHz step. The dye release was initiated when f M became 66MHz (Figure 15.6b) and the release
continued to increase until f M reached 70MHz (Figure 15.6c and d). As f M was increased to 72MHz
and above, the flow decreased and eventually stopped (Figure 15.6e and f). This experiment indi-
cates that the hydrogel microvalves properly responded to the frequency tuning for the controlled
release. The actual f M that initiated the release was several megahertz off from the fr in air, which
is likely due to the operation in the liquid environment and needs to be compensated for a more
precise release control.

50 1.4
Temperature
Hydrogel dimension
Dimension of patterned hydrogel (mm)

1.3
45
1.2
40 Hydrogel swelled
Temperature (C)

with DI water 1.1

35 1.0

0.9
30
0.8
25
0.7

20 0.6
24 26 28 30 32 34 36 38 40 42 44
Field frequency fM (MHz)

FIGURE 15.5 The frequency dependence of the heaters temperature and the size of the PNIPAM hydrogel
photo-patterned on the heater. (With kind permission from Springer Science+Business Media: Springer
2011. Biomed. Microdevices, Implantable drug delivery device using frequency-controlled wireless hydrogel
microvalves, 13, 2011, 267277, S. Rahimi et al.)
338 MEMS: Fundamental Technology and Applications

(a) (d)
(g)
320
500 m
Dye stream
Release hole
300

Fluorescence intensity
(b) fM = 60 MHz (e) fM = 70 MHz 280
Stream
Released dye disconnnected 260

fM = 66 MHz
240
(c) (f ) fM = 72 MHz

Dye stream
Disconnection Moving 220
extended direction

0 5 10 15 20
Time (min)
fM = 68 MHz fM = 80 MHz

FIGURE 15.6 Images from the preliminary test for wireless release control through a fabricated device
with color dye immersed in DI water. (af) While increasing f M from 60MHz, the dye release was observed
to be initiated at ~66MHz. The dye stream produced was moving slowly and laterally due to the convection
effect in the DI water. When f M reached ~72MHz, a disconnection of the stream appeared, indicating the
termination of the dye release; and (g) a result of wireless temporal release control using the frequency tuning
technique, showing periodic intensity peaks caused by tuning f M in and out of 65MHz. (With kind permission
from Springer Science+Business Media: Springer 2011. Biomed. Microdevices, Implantable drug delivery
device using frequency-controlled wireless hydrogel microvalves, 13, 2011, 267277, S. Rahimi et al.)

The release performance was further tested using fluorescein, a fluorescent dye (absorption
maximum at 494nm, emission maximum at 521nm in water), for a device with fr=65MHz in
air. Afluorescent microscope (Eclipse TE2000-U, Nikon Inc., NY, USA) was used to characterize
the concentration of fluorescein released from the device. All the measurements were performed
in DI water in a dish without agitation or forced flow. Prior to the release test, leak tests were per-
formed. For this, the device fully filled with fluorescein was immersed in DI water and the fluores-
cence intensity was measured for more than over 12h (without external field), detecting no distinct
increase in the intensity for the periodthis result verifies that good sealing is provided by the
hydrogel microvalves when they are in the inactive state. To demonstrate the ability of the device
for controlled release, temporal release tests were performed by repeatedly tuning the f M in and out
of the devices fr (65MHz) while measuring the intensity in the same manner as the leak test. The
RF output power was kept constant (at ~800mW) during the entire period that the external field
was present. The measured result shown in Figure 15.6g clearly shows periodic peaks that appeared
when f M was tuned to 65MHz. The intensity dropped and returned to the base value when f M was
shifted to 20MHz. This decrease in intensity or dye concentration is because, once the dye release
was terminated, the released dye present at the measurement location quickly diffused away from
the location. Figure 15.6g also shows that the average intensity during the test slightly increased as
the cycle was repeated. This small increase was most likely due to the accumulation of the released
dye in the dish.

15.4 RADIO-CONTROLLED SMA MICROGRIPPER


The RF control method described in Section 15.2 was also applied to the actuation of bulk-micro-
machined SMA. An SMA microgripper has been developed and demonstrated in this scheme
(Figure 15.1c) [53]. The device consists of two beams with identical rectangular cross-section that
Radio-Controlled Wireless MEMS Actuators and Applications 339

are fabricated so that one of the sidewalls of each of the beams is coated with the cold-state reset
layer. When the temperature of the device exceeds the austenite-phase temperature of the SMA,
the gripper is actuated as the material returns to its remembered shape. In this case, the threshold
temperature, Tth, in Figure 15.1b corresponds to the austenite-phase temperature of SMA. The shape
of the gripper is restored to its original cold-state shape when heat is removed due to the force pro-
vided by the reset layer. A lithography-assisted electroplating bonding technique is used to achieve
not only high-precision assembly of the micromachined SMA gripper on the wireless heater with
high mechanical bonding strength, but also high thermal conductance between the two components.
The grippers developed in this effort have a normally closed design. The gripper structures
(Figure 15.7a) are formed by micro-electro-discharge machining (EDM) [62] of 300-m-thick
NiTi sheets with an austenitic-phase temperature of 65C (Alloy M, Memory Metalle GmbH,
Germany). The wireless heater LC circuit (Figure 15.7b) is fabricated in the same manner as that
used for the drug-delivery device discussed in Section 15.3. The outer sidewall of each of the two
gripper beams is coated with a compressive SiO2 layer. The dimensions of the gripper structures
(refer to the caption of Figure 15.7a) were determined through finite element analysis (FEA) using
COMSOL Multiphysics 3.5a to ensure that the beams are close together in the cold state (e.g., the
gap spacing of 570m between the beams for device 1 was determined by a computed displacement
of 582m; the analysis utilized the data reported in Ref. [63] for temperature-dependent material
properties such as the coefficient of temperature expansion (CTE) and Youngs modulus for Nitinol).
The SMA components are configured to have a bonding pad with a cavity and perforations as shown
in Figure 15.7a. The SMA component is bonded on either one of the capacitor electrodes of the LC
circuit (as shown in Figure 15.2a) or a separate copper region located on the backside of the spiral
coil that provides heat to the SMA through the bonded region. Figure 15.8 shows a fabricated device
in which the SMA gripper was bonded to the capacitor electrode using the electroplating bonding
process.
Wireless tests for the fabricated devices were experimentally performed by radiating an RF
magnetic field with an output power of up to 1W to the LC circuit of the device. Figure 15.9 shows
a typical response. The devices LC circuits fr was measured to be 140MHz. The circuit tempera-
ture and gripper displacement (tip opening) were simultaneously measured while scanning f M from

5 mm
(a) 3.8 mm (b)

w+t
Beam
height: h
Bonding pad
l

7.6 mm

Cavity and
through holes
g (bonding area)
2.5 mm

Hole diameter: 440 m Coil line width: 100 m


Spacing between holes: 100 m Line spacing: 150 m

FIGURE 15.7 (a) The SMA gripper design and (b) sample layout of the LC circuit design. A typical device has
the following dimensions: beam length (l)=4mm, beam width (w)=62m, beam height (h)=300m, SiO2
thickness (t)=3m, and spacing between the beams (g)=570m. (Reprinted from Sens. Actuators A Phys.,
163, M. S. Mohamed Ali and K. Takahata, Frequency-controlled wireless shape-memory-alloy microactuators
integrated using an electroplating bonding process, 363372, Copyright 2010, with permission from Elsevier.)
340 MEMS: Fundamental Technology and Applications

(a) SiO2 film


Gripper

EDMED
surface of SMA
1 mm 200 m

(b) (c)
Polyimide LC circuit

Capacitor
Cu electrode
SMA
Bonding pad
1 mm 3 mm
Gripper

FIGURE 15.8 (a) Gripper beams split using EDM with a close-up showing the inner sidewall of the beam;
(b) SMA pad bonded by electroplated copper; and (c) overall shape of a fabricated device. (Reprinted from
Sens. Actuators A Phys., 163, M. S. Mohamed Ali and K. Takahata, Frequency-controlled wireless shape-
memory-alloy microactuators integrated using an electroplating bonding process, 363372, Copyright 2010,
with permission from Elsevier.)

120 to 155MHz at a constant output power of 0.2W in this case. The measurement results show a
strong temperature peak (of 100C) when the field frequency was aligned to the resonant frequency.
The results also indicate that the gripper was activated and deactivated at about 48C and 37C,
respectively, resulting in its active frequency range (the difference between the field frequencies at
these two points) of 13MHz and that the maximum opening of 600m was reached and started to
drop at about 92C and 68C, respectively.

120 700
138 MHz Temperature
Tip opening
600
100

300 m
Gripper tip opening (m)

500
80
Temperature (C)

146 MHz

127 MHz 400


60
300 m 300
300 m
40
200

20
100

0 0
120 124 128 132 136 140 144 148 152 156
Field frequency, fM (MHz)

FIGURE 15.9 Measured circuit temperature and gripper opening versus field frequency (inset images show
tip openings of the gripper at corresponding frequencies). (Reprinted from Sens. Actuators A Phys., 163, M. S.
Mohamed Ali and K. Takahata, Frequency-controlled wireless shape-memory-alloy microactuators integrated
using an electroplating bonding process, 363372, Copyright 2010, with permission from Elsevier.)
Radio-Controlled Wireless MEMS Actuators and Applications 341

(a) (b) (c)

200 m 200 m 200 m


fM = 135 MHz, T = 60C fM = 137 MHz, T = 70C fM = 150 MHz, T = 27C
(d) (e) (f )

200 m 200 m 200 m


fM = 150 MHz, T = 27C fM = 150 MHz, T = 27C fM = 150 MHz, T = 27C

FIGURE 15.10 The manipulation of a CNT forest: (a) approaching the forest; (b) opening the tips by tuning
the f M closer to the devices fr of 140MHz; (c) making contact with the forest, and closing the tips by shifting
the f M to 150MHz; (d) pulling the gripped part of the forest; (e) continuing to pull the forest part; and (f) show-
ing the separated forest part held by the gripper. (Reprinted from Sens. Actuators A Phys., 163, M. S. Mohamed
Ali and K. Takahata, Frequency-controlled wireless shape-memory-alloy microactuators integrated using an
electroplating bonding process, 363372, Copyright 2010, with permission from Elsevier.)

The RF control of the gripper devices was demonstrated using samples of carbon nanotube
(CNT) forests. For this test, a gripper device with an fr of 140MHz was attached to a micropositioner
(Manipulator 750, Signatone Co., CA, USA) that was used to manually position the gripper device
relative to a CNT forest sample. Figure 15.10a shows the gripper approaching the forest sample at
a device temperature of ~60C with an f M of 135MHz. In Figure 15.10b, the device temperature is
increased to ~70C by tuning f M closer to fr of the device, and the gripper continues to approach the
sample. In Figure 15.10c, the gripper makes contact with the CNT forest, and the tips are closed to
seize a part of the forest by shifting the f M to 150MHz, bringing the device temperature down to
27C. In Figure 15.10de, the gripper is moved apart from the forest sample and continues to be
pulled until the part of the forest it is gripping is separated from the rest. Figure 15.10f shows the
gripper holding the CNT part that has been pulled away from the forest.

15.5 WIRELESS CONTROL OF MULTIPLE MICROACTUATORS


As discussed earlier, precision remote control of multiple microactuators will be a key to the real-
ization of practical wireless microdevices. This perspective has led to the development of fully
passive, integrated SMA microactuators that can be selectively controlled through field-frequency
modulation. Toward the application for microfluidic devices including in vivo drug-delivery appli-
cations, a prototype wireless microsyringe/injector device with an ejection-amount controllability
enabled by the multiple-actuator control has been developed and demonstrated [64].
The developed SMA actuators are operated by planar LC resonant circuits that are used as
f requency-sensitive wireless heaters in the manner similar to that of the other devices described
in the previous sections. If multiple heaters are designed to have different frs, one or more heat-
ers, or SMA actuators coupled with them, can be selectively and simultaneously activated by
modulating f M to the corresponding fr values in a time-sharing manner (Figure 15.11a). When
the temperature of the actuator exceeds the austenite-phase temperature of the SMA, the SMA
is actuated as the material returns to its remembered shape (Figure 15.11b). The frequency
342 MEMS: Fundamental Technology and Applications

(a) (b)

FM Actuator
fr2 fr3 fr2 magnetic temperature
field Active range

SMA
austenite
Actuator-1 Heat temperature
generated Actuator-2
Actuator-3
Actuator-2

Actuator-3 Field
Activated
fr1 fr2 fr3 frequency
(fM)
Activated actuators

FIGURE 15.11 The working principle: (a) in this example, Actuators-2 and -3 are selectively activated by
modulating the field frequency (f M) to the resonant frequencies of the two wireless heaters ( fr2 and fr3) coupled
with the actuators as shown in (b). (Reprinted with permission from M. S. Mohamed Ali and K. Takahata,
Wireless microfluidic control with integrated shape-memory-alloy actuators operated by field frequency mod-
ulation, J. Micromech. Microeng., 21, 075005, 2011. 2011 IOP.)

modulation pattern and excitation interval time are adjusted so that the achieved temperatures
of the excited SMA structures exceed the threshold temperature using a fixed output field power
high enough to reach the temperature. The shape of the actuator is restored to its original cold-
state shape when heat is removed due to the force provided by the reset layer deposited on
theSMA.

(a) (b) Tether Bonding cavity and


perforations
Frame
Cu bonding Reservoir
pads photoresist mold

SMA
2 mm cantilevers 2 mm
Cu capacitor electrodes
(c) Bonded
Outlet port Inlet port of
regions parylene
SMA reservoir

ers
tilev
A can
Parylene SM Polyimide
reservoir
Polyimide substrate
substrate 500 m 3 mm
BSE2 WD35.5 mm 20.0kV 40

FIGURE 15.12 Fabricated device components and a completed device: (a) sacrificial photoresist mold pat-
terned for the reservoir, and copper bonding pads for the SMA actuator assembly; (b) an SMA component
fabricated by wet etching and EDM; and (c) a fabricated device with a close-up of the parylene reservoir.
(Reprinted with permission from M. S. Mohamed Ali and K. Takahata, Wireless microfluidic control with
integrated shape-memory-alloy actuators operated by field frequency modulation, J. Micromech. Microeng.,
21, 075005, 2011. 2011 IOP.)
Radio-Controlled Wireless MEMS Actuators and Applications 343

The developed microsyringe is shown in Figure 15.12. In this device, a flexible parylene reservoir
filled with a selected liquid/gel is squeezed by three cantilever-type SMA actuators with identical
dimensions (5-mm long by 4.1-mm wide) arranged in an array to eject the fluid (Figure 15.12b). The
ejection amount is controlled by the number of actuators activated. Each SMA cantilever is microma-
chined to form a perforated bonding cavity, which is used to permanently bond the SMA cantilever
onto the copper pads located on the backside of the coils using the electroplating bonding technique
developed for the SMA structural assembly discussed in Section 15.4. The excited coil provides heat
to the SMA for its actuation through the electroplated bonding region. The Parylene reservoir with
a thickness of 130m is created as well on the backside of the coil (Figure 15.12a), so that an array
of SMA actuators is arranged above the reservoir when the array is bonded to the circuits. The SMA
structures are EDMed in 300-m-thick NiTi sheets with an austenitic-phase temperature of 65C
(the same materials used for the microgrippers fabrication). The bottom side of the SMA is coated
with a compressive reset layer at a temperature greater than 65C so that the layer is deposited on the
flat cantilever in a trained state. After deposition, the SMA cantilever will bend at room temperature
due to the compressive stress applied by the reset layer and will then return to the trained flat shape
when heated to a temperature greater than 65C. The dimensions of the actuator and the thickness of
the reset layer are determined by analyzing the actuators displacements using COMSOL Multiphysics
3.5a. This analysis is to ensure that the cold-state bent SMA cantilever will have a space large enough
to accommodate the reservoir underneath it when bonded to the substrate. The wireless heater compo-
nent is essentially an array of three LC tanks with planar spiral coils that have an identical overall size
of 3.84.4mm2 (theoretical inductance ~95nH). The fr values of the circuits are differentiated with
an approximate separation of 30MHz by varying the size of the capacitors of the tanks. This relatively
large frequency separation was selected to minimize the cross-talk between the tanks.
The wireless activation of the LC resonant heaters fabricated in an array was first evaluated.
An array of four heater circuits (Figure 15.13a) was used for this test. These circuits have spiral
coils with identical dimensions (3.87mm2), and the size of the capacitors were varied to dif-
ferentiate their resonant frequencies with an approximate separation of 30MHz. The resonant
frequencies of the heaters (fr14) were wirelessly measured using the external coil connected to a
spectrum analyzer (Agilent 4396B) by detecting the dips in the S11 parameter whose frequencies
correspond to fr of the heaters. The measurement shows that fr1, fr2, fr3, and fr4 are 136, 163, 188, and
211MHz, respectively. In this test, the selective wireless activation of the heaters was implemented
by modulating f M to fr2 and fr4, that is, activating only Heaters-2 and -4 at a 1-W RF output power.
For the f M modulation, f M was switched alternately between fr2 and fr4 with a time period of 15ms
for each frequency. The heat distribution across the circuit array was measured using an infrared
(IR) camera (Jenoptik VarioCam HiRes 1.2 M, Jena, Germany). Figure 15.13a shows an IR image
of the array captured at the 8th second after the heaters were activated. The temperature distribu-
tion along the line (AA) indicated in Figure 15.13a is shown in Figure 15.13b. The distribution
along the same line before the activation is also plotted for comparison in Figure 15.13b. It can
be seen that the temperatures of the coil regions in Heaters-2 and -4 were increased significantly,
with a maximum temperature of ~75C on their coils, whereas the temperatures of the other heat-
ers (Heaters-1 and -3) remained low. The average temperatures over the coil regions of Heaters-1,
-2, -3, and -4 were measured as being 34.2C, 66.3C, 46.7C, and 66.6C, respectively. This
measurement clearly indicates that only the regions of the activated heaters reached temperatures
greater than the threshold temperature of the SMA (65C); thus, the SMA coupled with them can
be actuated, while the temperatures in the regions of the inactive heaters were low enough to keep
the corresponding SMA inactive. This result verifies the effectiveness of f M modulation principle
for the selective activation of the heater-SMA component.
Preliminary wireless tests for the fabricated microsyringe devices were experimentally per-
formed using an external coil placed at a distance of ~8mm from the device. The wireless activation
of individual actuators through f M tuning was first testedFigure 15.14a and b shows side views
of one of Actuator-3 (Figure 15.11a) in the inactive state without an external field and in the fully
344 MEMS: Fundamental Technology and Applications

(a)
JENOPTIK

Live C
Heater-1 Heater-2 Heater-3 Heater-4 75.0

A A

1 2 3 4

25.0
1: 32.1
Temperature (C) 2: 74.7
4 mm
at the 4 points 3: 39.4
4: 74.2

(b)
Before activation
80
At 8th second after activation

70
Coil Coil
Temperature (C)

60 Heater-2 Heater-4
Heater-1 Heater-3
50

40

30

20
0 3 6 9 12 15 18 21 24 27 30
Distance (mm)

FIGURE 15.13 (a) An IR image showing the selective wireless activation of Heaters-2 and -4; the image
was captured at the 8th second after the activation and (b) a temperature distribution across the array of the
four heaters along the line AA indicated in (a). (Reprinted with permission from M. S. Mohamed Ali and
K. Takahata, Wireless microfluidic control with integrated shape-memory-alloy actuators operated by field
frequency modulation, J. Micromech. Microeng., 21, 075005, 2011. 2011 IOP.)

active state established with a field at f M=fr3 (Figure 15.11b), respectively, showing that the activated
SMA actuator squeezes the parylene reservoir underneath in Figure 15.14b. The maximum force
at the free end of the actuator was measured to be ~840mN using a digital force gauge (DS2-1,
Imada Inc., IL, USA) with a 1-mN resolution. Device tests were further conducted to demonstrate
the wireless control of the pH level of a liquid using the setup illustrated in Figure 15.15a. This
was implemented by ejecting controlled amounts of a pH buffer from the reservoir of the device to
DI water using the three actuators. A device that was measured to have fr1, fr2, fr3 of 231, 264, and
295MHz, respectively, was used for this experiment. The reservoir was fully filled with ~5L of
a pH 2 buffer solution (SB96-500, Fisher Scientific, Ontario, Canada) through the inlet port using
a syringe needle, and then the port was sealed with a silicone adhesive. The device was placed in a
beaker with 10mL of DI water so that the outlet port of the reservoir was immersed in the water,
and its pH value during the test was measured using a pH meter as shown in Figure 15.15a. The
stepwise ejections of the buffer solution from the reservoir were implemented through the following
Radio-Controlled Wireless MEMS Actuators and Applications 345

FIGURE 15.14 Side views of the SMA cantilever actuator in (a) the inactive state and (b) the active state
showing the SMA squeezing the reservoir. (Reprinted with permission from M. S. Mohamed Ali and K.
Takahata, Wireless microfluidic control with integrated shape-memory-alloy actuators operated by field fre-
quency modulation, J. Micromech. Microeng., 21, 075005, 2011. 2011 IOP.)

three steps with a 1-min interval (each step took ~1min): (1) only Actuator-3 was activated by tun-
ing f M to the fr3 value, squeezing a one-third area of the reservoir; (2) Actuators-3 and -2 were simul-
taneously activated by modulating f M to the fr3 and fr2 values, alternately, for an equal time period
of 15ms, squeezing another one-third area of the reservoir; and (3) Actuators-3, -2, and -1 were all
activated by modulating f M to the three fr values in the same manner, squeezing the last one-third
area of the reservoir. In step 1, an RF output power of 0.25W was sufficient for a full squeezing with
Actuator-3. When two or more actuators are involved, as in steps 2 and 3, the duty cycle of actuator
excitation drops from 100% to 50% or less, causing heat loss during each off time in the cycle.
The actuator temperature decreases more as the number of actuators increases, that is, the off time
in each actuator becomes longer. To compensate for this condition, the RF power in steps 2 and 3
was adjusted to higher levels (1.2 and 3.2W, respectively) to ensure a full squeezing.
The measured pH value and the amount of released solution calculated from the measured pH
after each of the three actuation steps are shown in Figure 15.15b. The pH measurement indicates
a consistent reduction from the initial pH value of 6.90 to the final value of 5.43 due to the release
of the acidic buffer solution. A theoretical volume of the released solution (calculated with the

(a) (b) 7 Measured pH


6
6.8 Calculated pH
Infrared Amount releasedcalculated from device dimension 5
PC (IR) camera 6.6 Amount releasedcalculated from measured pH
pH level in DI water

pH 6.4
Liquid released (l)

Device 4
meter 6.2
6 3
5.8
External 2
coil 5.6

DI water 5.4
1
RF signal RF out 5.2
generator RF amplifier RF power: 0.25W 1.2W 3.2W
5 0
Initial value Step-1: Step-2: Step-3:
Actuator-3 Actuators-3 Actuators-3, -2,
activated and -2 activated and -1 activated

Activated devices

FIGURE 15.15 (a) Experimental setup for the wireless testing of the fabricated devices and (b) pH changes
and released amounts of pH buffer (measured and calculated) versus actuators activated. (Reprinted with
permission from M. S. Mohamed Ali and K. Takahata, Wireless microfluidic control with integrated shape-
memory-alloy actuators operated by field frequency modulation, J. Micromech. Microeng., 21, 075005, 2011.
2011 IOP.)
346 MEMS: Fundamental Technology and Applications

reservoir and actuator sizes, that is, a release volume of 4.130.13mm3 per step) and a pH value
calculated from the theoretical released volume after each step are also plotted in Figure 15.15b.
The dissolution of atmospheric CO2 in DI water is known to affect its pH value [65]it was verified
that this effect was negligible for the time period involved in the experiment. As can be seen, both
the measured pH values and the released amounts calculated using the pH values show good agree-
ment with the corresponding theoretical values defined above. Small deviations seen in steps 2 and
3 may be related to the incompleteness in the reservoir squeezing, which can be caused by various
nonideal factors such as variations in the height of the bonded actuators and a slight bending of the
PI substrate. However, the measurement results obtained through this experimental demonstration
represent well the promising ability of the frequency modulation technique for a precise control of
the multiple microactuator control, encouraging further investigations toward a scaling up of the
multiplicity and the development of the applications.

15.6CONCLUSION
This chapter focused on recent research progress in wireless microactuators and their applica-
tions. A novel passive RF control technique for thermal microactuators based on a photo-defined
temperature-sensitive hydrogel, PNIPAM, and bulk-micromachined NiTi SMA has been reported,
along with an extended technique for the selective and simultaneous control of multiple microac-
tuators based on the frequency modulation principle. The use of the frequency-sensitive wireless
resonant heater with which the thermal microactuators were coupled enabled the precise activation
of the actuators by means of field-frequency tuning. These wireless microactuators and control
techniques were demonstrated through various applications, including the implantable drug-deliv-
ery device as well as the SMA microgripper and microsyringe devices as discussed in this chapter.
Using the microsyringe device, the controlled releases of a test solution from the reservoir of the
device for sequential pH modification was successfully demonstrated through the selective activa-
tion of three SMA actuators integrated into the device, showing results that matched well with the
theoretical values. The developed wireless actuation principle is applicable to the control of other
thermal actuators such as bimorphs, shape-memory polymers, and phase-transition actuators, offer-
ing broader application opportunities.

ACKNOWLEDGMENTS
This work was partially supported by the Natural Sciences and Engineering Research Council
of Canada, the Canada Foundation for Innovation, the British Columbia Knowledge Development
Fund, and the CMC Microsystems. K. Takahata was supported by the Canada Research Chairs pro-
gram. M.S. Mohamed Ali acknowledges financial support from the Ministry of Higher Education
Malaysia and Universiti Teknologi Malaysia.

REFERENCES
1. T. J. Smith, P. J. Coyne, W.R. Smith, J. D. Roberts, and V. Smith, Use of an implantable drug delivery
system for refractory chronic sickle cell pain, Am. J. Hematol., 78, 153154, 2005.
2. L. R. Brown, E. R. Edelman, F. F. Ghodsian, and R. Langer, Characterization of glucose-mediated insulin
release from implantable polymers, J. Pharm. Sci., 85, 13411345, 1996.
3. S.G. Smith and J. C. Galanis, One-year results of the intrascleral glaucoma implant, J. Cataract Refract.
Surg., 21, 453456, 1995.
4. A. R. Grayson, R. S. Shawgo, Y. Li, and M. J. Cima, Electronic MEMS for triggered delivery, Adv. Drug
Deliv. Rev., 56, 173184, 2004.
5. N. C. Tsai and C. Y. Sue, Review of MEMS-based drug delivery and dosing systems, Sens. Actuator A
Phys., 134, 555564, 2007.
Radio-Controlled Wireless MEMS Actuators and Applications 347

6. J. T. Santini, M. J. Cima, and R. Langer, A controlled release microchip, Nature, 397, 335338, 1999.
7. J. T. Santini, A. C. Richards, R. Scheidt, M. J. Cima, and R. Langer, Microchips as controlled drug deliv-
ery devices, Angew. Chem. Int., Ed., 39, 23972407, 2000.
8. Y. Li, R. S. Shawgo, B. Tyler, P. T. Henderson, J. S. Vogel, A. Rosenberg, P. B. Storm, R. Langer, H.
Brem, and M. J. Cima, In vivo release from a drug delivery MEMS device, J. Control Rel., 100, 211219,
2004.
9. S. Smith, T. B. Tang, J. G. Terry, J. T. M. Stevenson, B. W. Flynn, H. M. Reekie, A. F. Myrray et al.,
Development of a miniaturized drug delivery system with wireless power transfer and communication,
IET Nanobiotechnol., 1, 8086, 2007.
10. P. Li, T. K. Givrad, D. P. Holschneider, J. M. I. Maarek, and E. Meng, A Parylene MEMS electrothermal
valve, J. Microelectromech. Syst., 18, 11841197, 2009.
11. Y. Yang, Y. Huang, H. Liao, T. Wang, P. Huang, C. Lin, Y. Wang, and S. Lu, A release-on-demand wire-
less CMOS drug delivery SoC based on electrothermal activation technique, Digest Tech. IEEE Int.
Solid-State Circ. Conf., San Francisco, CA, February 812, 2009, pp. 288290.
12. L. Low, S. Seetharaman, K. He, and M. J. Madou, Microactuators toward microvalves for responsive
controlled drug delivery, Sens. Actuator B: Chem., 67, 149160, 2000.
13. D. T. Eddington and D. J. Beebe, A valved responsive hydrogel microdispensing device with integrated
pressure source, J. Microelectromech. Syst., 13, 586593, 2004.
14. R.A. Siegel, Y. Gu, A. Baldi, and B. Ziaie, Novel swelling/shrinking behaviors of glucose-binding hydro-
gels and their potential use in insulin delivery, Macromol. Symp., 207, 249256, 2004.
15. A. T. Evans, J. M. Park, S. Chiravuri, and Y. B. Gianchandani, A low power, microvalve regulated archi-
tecture for drug delivery systems, Biomed. Microdevices, 12, 159168, 2010.
16. K. W. Oh and C. H. Ahn, A review of microvalves, J. Micromech. Microeng., 16, R13, 2006.
17. J. H. Prescott, S. Lipka, S. Baldwin, N. F. Sheppard, J. M. Maloney, J. Copetta, B. Yomotov, M. A.
Staples, and J. T. Santini, Chronic, programmed polypeptide delivery from an implanted multireservoir
multi-chip device, Nat. Biotechnol., 24, 437438, 2006.
18. T. B Tang, S. Smith, B. W. Flynn, J. T. M. Stevenson, A. M. Gundlach, H. M. Reekie, A. F. Murray et al.,
Implementation of wireless power transfer and communications for an implantable ocular drug delivery
system, IET Nanobiotechnol., 2, 7279, 2008.
19. H. Nishikawa, T. Sasaya, T. Shibata, T. Kaneko, N. Mitumoto, S. Kawakita, and N. Kawahara, In-pipe
wireless micro locomotive system, Proc. IEEE Int. Symp. Micromechatron. Human Sci., Nagoya, Japan,
November 2326, 1999, pp. 141147.
20. H. Yaguchi and K. Tsurumoto, Wireless-type magnetic micro-actuator capable of movement in a pipe,
Proc. IEEE Int. Conf. Magnet. Nagoya, Japan, April 48, 2005, pp. 14431444.
21. B. Kim, M. G. Lee, Y. P. Lee, Y. Kim, and G. Lee, An earthworm-like micro robot using shape memory
alloy actuator, Sens. Actuators A Phys., 125, 429437, 2006.
22. Z. Wang, G. Hang, J. Li, Y. Wang, and K. Xiao, A micro-robot fish with embedded SMA wire actuated
flexible biomimetic fin, Sens. Actuators A: Phys., 144, 354360, 2008.
23. P. Basset, A. Kaiser, P. Bigotte, D. Collard, and L. Buchaillot, A large stepwise motion electrostatic
actuator for a wireless microrobot, Proc. IEEE MEMS, Las Vegas, NV, USA, January 2024, 2002, pp.
606609.
24. K. Vollmers, D. R. Frutiger, B. E. Kratochvil, and B. J. Nelson, Wireless resonant magnetic microactuator
for untethered mobile microrobots, Appl. Phys. Lett., 92, 144103, 2008.
25. A. Meckes, J. Behrens, O. Kayser, W. Benecke, T. Becker, and G. Muller, Microfluidic system for the
integration and cyclic operation of gas sensors, Sens. Actuator A: Phys., 76, 478483, 1999.
26. J. W. Choi, K. W. Oh, A. Han, C. A. Wijayawardhana, C. Lannes, S. Bhansali, K. T. Schlueter et al.,
Development and characterization of microfluidic devices and systems for magnetic bead-based bio-
chemical detection, Biomed. Microdevices, 3, 191200, 2001.
27. B. Bae, H. Kee, S. Kim, Y. Lee, T. Sim, Y. Kim, and K. Park, In vitro experiment of the pressure regulat-
ing valve for a glaucoma implant, J. Micromech. Microeng., 13, 613619, 2003.
28. C. Fu, Z. Rummler, and W. Chomburg, Magnetically driven micro ball valves fabricated by multilayer
adhesive film bonding, J. Micromech. Microeng., 13, S96S102, 2003.
29. K. W. Oh, R. Rong, and C. H. Ahn, Miniaturization of pinch-type valves and pumps for practical micro
total analysis system integration, J. Micromech. Microeng., 15, 24492455, 2005.
30. M. Hafez, Y. Bellouard, T. Sidler, R. Clavel, and R. Salathe, Local annealing of shape memory alloys
using laser scanning and computer vision, Proc. SPIE Int. Symp. Laser Precis. Microfabr., Saitama,
Japan, June 1416, 2000, pp. 160163.
348 MEMS: Fundamental Technology and Applications

31. G. K. Knopf, Optically driven shape memory alloy microactuators, Proc. SPIE Int. Soc. Opt. Eng., Intell.
Manuf., October 2930, 2003, Providence, RI, USA, 5263, pp. 2229.
32. S. S. Zaidi, F. Lamarque, J. Favergeon, O. Carton, C. Prelle, M. Lejeune, and A. Zeinert, Wavelength
dependent remote power supply for shape memory alloy, J. Intell. Mater. Syst. Struct., 21, 175184,
2010.
33. C. Liu, D. Zhang, and H. Zhang, Experimental study of novel microactuator based on photothermal
expansion, Optoelectron. Lett., 4, 8991, 2008.
34. L. H. Han and S. Chen, Wireless bimorph micro-actuators by pulsed laser heating, Sens. Actuators A:
Phys., 121, 3543, 2005.
35. O. J. Sul, M. R. Falvo, R. M. Taylor, S. Washburn, and R. Superfine, Thermally actuated untethered
impact-driven locomotive microactuators, Appl. Phys. Lett., 89, 203512, 2006.
36. K. Clements, 2003, Wireless technique for microactivation Patent No. US 6,588,208 B1.
37. M. Tanaka, F. Wang, K. Abe, Y. Arai, H. Nakagawa, and S. Chonan, A closed-loop transcutaneous power
transmission system with thermal control for artificial urethral valve driven by SMA actuator, J. Intell.
Mater. Syst. Struct., 17, 779786, 2006.
38. S. Hirotsu, Y. Hirokawa, and T. Tanaka, Volume-phase transitions of ionized N-isopropylacrylamide gels,
J. Chem. Phys., 87, 13921395, 1987.
39. M. Shibayama, S. Mizutani, and S. Nomura, Structure relaxation of hydrophobically aggregated poly(N-
isopropylacrylamide) in water, Macromolecules, 29, 69666968, 1996.
40. N. A. Peppas, P. Bures, W. Leobandung, and H. Ichikawa, Hydrogels in pharmaceutical formulations,
Eur. J. Pharm. Biopharm., 50, 2746, 2000.
41. T. Liu, S. Hu, D. Liu, S. Chen, and I. Chen, Biomedical nanoparticle carriers with combined thermal and
magnetic responses, Nano Today, 4, 5265, 2009.
42. S. Ghosh, C. Yang, T. Cai, Z. Hu, and A. Neogi, Oscillating magnetic field-actuated microvalves for
micro- and nanofluidics, J. Phys. D: Appl. Phys., 42, 135501, 2009.
43. J. Motoyama, T. Hakata, R. Kato, N. Yamashita, T. Morino, T. Kobayashi, and H. Honda, Size dependent
heat generation of magnetite nanoparticles under AC magnetic field for cancer therapy, BioMag. Res.
Technol., 2008. doi:10.1186/1477-044X-6-4.
44. N. Maluf and K. William, An Introduction to Microelectromechanical Systems Engineering, 2nd ed.,
Arteck House, Boston, USA, 2004.
45. H. Kahn, M. A. Huff, and A. H. Heuer, The TiNi shape-memory alloy and its applications for MEMS,
J.Micromech. Microeng., 8, 213221, 1998.
46. C. M. Pemble and B. C. Towe, A miniature shape memory alloy pinch valve, Sens. Actuators A: Phys.,
77, 145148, 1999.
47. N. B. Morgan, Medical shape memory alloy applicationsThe market and its products, Mater. Sci. Eng.
A, 378, 1623, 2004.
48. D. Reynaerts, J. Peirs, and H. Van Brussel, Shape memory micro-actuation for a gastro-intestinal inter-
vention system Sens. Actuators A: Phys., 77, 157166, 1999.
49. Y. Fu, W. Huang, H. Du, X. Huang, J. Tan, and X. Gao, Characterization of TiNi shape-memory alloy thin
films for MEMS applications, Surf. Coat. Technol., 145, 107112, 2001.
50. Z. Wang, J. Hewit, E. Abel, A. Slade, and B. Steele, Development of a shape memory alloy actuator for
transanal endoscopic microsurgery, Proc. IEEE Eng. Medic. Biol., Shanghai, China, January 1718,
2006, pp. 43414344.
51. D. Reynaerts, J. Peirs, and H. Van Brussel, An implantable drug-delivery system based on shape memory
alloy micro-actuation, Sens. Actuators A: Phys., 61, 455462, 1997.
52. Y. Fu, H. Du, W. Huang, S. Zhang, and M. Hu, TiNi-based thin films in MEMS applications: A review,
Sens. Actuators A: Phys., 112, 395408, 2004.
53. M. S. Mohamed Ali and K. Takahata, Frequency-controlled wireless shape-memory-alloy microac-
tuators integrated using an electroplating bonding process, Sens. Actuators A: Phys., 163, 363372,
2010.
54. S. D. Senturia, Microsystem Design, Kluwer Academic Publishers, New York, 2001.
55. E. H. Sarraf, G. K. Wong, and K. Takahata, Frequency-selectable wireless actuation of hydrogel using
micromachined resonant heaters toward implantable drug delivery applications, Solid-State Sensors,
Actuators and Microsystems Conference, Transducers09, 2125 June, 2009, Denver, CO, USA, pp.
15251528.
56. M. Morita, T. Inoue, T. Yamada, Y. Takemura, T. Niwa, and T. Inoue, Resonant circuits for hyperthermia
excited by RF magnetic field of MRI, IEEE Trans. Magn., 41, 36733675, 2005.
Radio-Controlled Wireless MEMS Actuators and Applications 349

57. S. Rahimi, E. H. Sarraf, G. K. Wong, and K. Takahata, Implantable drug delivery device using frequency-
controlled wireless hydrogel microvalves, Biomed. Microdevices, 13, 267277, 2011.
58. R. R. Richardson Jr., J. A. Miller, and W. M. Reichert, Polyimides as biomaterials: Preliminary biocom-
patibility testing, Biomaterials, 14, 627635, 1993.
59. Y. Sun, S. P. Lacour, R. A. Brooks, N. Rushton, J. Fawcett, and R. E. Cameron, Assessment of the bio-
compatibility of photosensitive polyimide for implantable medical device use, J. Biomed. Mater. Res. A,
90, 648655, 2009.
60. H. Van Der Linden, W. Olthuis, and P. Bergveld, An efficient method for the fabrication of temperature-
sensitive hydrogel microactuators, Lab Chip, 4, 619624, 2004.
61. Y. Huang, X. Tian, S. Yang, R.K.Y. Fu, and P. K. Chu, Optical and mechanical properties of alumina
films fabricated on Kapton polymer by plasma immersion ion implantation and deposition using different
biases, Appl. Surf. Sci., 253, 94839488, 2007.
62. T. Masaki, K. Kawata, and T. Masuzawa, Micro electro-discharge machining and its applications, Proc.
IEEE MEMS, Napa Valley, CA, USA, February 1114, 1990, pp. 2126.
63. B. A. Davis, Investigation of the thermomechanical response of shape memory alloy hybrid composite
beams, NASA/CR-2005-213929.
64. M. S. Mohamed Ali and K. Takahata, Wireless microfluidic control with integrated shape-memory-alloy
actuators operated by field frequency modulation, J. Micromech. Microeng., 21, 075005, 2011.
65. A. Persat A, R. D. Chambers, and J. G. Santiago, Basic principles of electrolyte chemistry for microflu-
idic electrokinetics. Part I: Acidbase equilibria and pH buffers, Lab Chip, 9, 24372453, 2009.
16 Advanced MEMS Technologies
for Tactile Sensing and
Actuation
M. Amato, Massimo De Vittorio, and S. Petroni

CONTENTS
16.1 Introduction........................................................................................................................... 351
16.1.1 Materials for MEMS Tactile SensorsActuators....................................................... 351
16.1.2 Sense of Touch........................................................................................................... 352
16.2 Tactile Sensors....................................................................................................................... 355
16.2.1 Capacitive Sensors..................................................................................................... 355
16.2.2 Strain Gauges and Piezoresistive Sensors................................................................. 358
16.2.3 Piezoelectric Sensors.................................................................................................360
16.2.4 Conductive Polymer Sensors..................................................................................... 362
16.2.5 Optical Sensors.......................................................................................................... 365
16.2.6 Magneto-Inductive Sensors....................................................................................... 367
16.3 Tactile Actuators.................................................................................................................... 369
16.3.1 Piezoelectric Actuators.............................................................................................. 370
16.3.2 Electroactive Polymer Actuators............................................................................... 371
16.3.3 Shape Memory Alloy Actuators................................................................................ 373
16.3.4 Magnetic Actuators.................................................................................................... 374
16.4 Conclusions............................................................................................................................ 377
References....................................................................................................................................... 378

16.1INTRODUCTION
16.1.1 Materials for MEMS Tactile SensorsActuators
Microelectromechanical systems (MEMS) play a key role in different technological areas, such as
communication, health care, defense systems, and consumer products. They offer integration of
micromechanical components and electronics on the same substrate or package, leading to achieve-
ment of high performances. MEMS are inherently small and, compared with macrosystems, are
attractive for their reduced weight, size, power dissipation, improved speed, and precision. In addi-
tion to predominant semiconductor materials, the MEMS field is expanding its base materials
toward polymers such as gels, elastomers, and electroactive polymers (EAP). Many of them provide
unique mechanical and electrical properties. Polymers are robust but can sustain great deforma-
tions, ensuring long lifetimes and reliability, especially for sensing/actuating applications in which
direct contact with external objects is required. Polymer MEMS can be fabricated with low-cost
processes and in many different sizes and shapes. Moreover, the functionalization of polymer mate-
rials remains one of the most promising capabilities of this class of materials: their original mechan-
ical, electrical, and optical properties can be tuned by means of physical or chemical processes to
obtain a desired behavior or performance.

351
352 MEMS: Fundamental Technology and Applications

For all of these reasons, MEMS devices are one of the best candidates both as tactile sensors and
as actuators, exploiting a wide range of transduction mechanisms.

16.1.2Sense of Touch
The sense of touch [1,2] can be defined as the process of detecting and measuring a given property
of a contact event in a predetermined area and subsequent preprocessing of the signals at the sensor
level itselfbefore sending them to higher levels for perceptual interpretation (from Ref. [3]). In
the human skin, the role of the detection of external stimuli on touch is provided by a great number
of sensorial receptors, distributed with variable density and with different roles: mechanoreceptors
to sense pressure/vibration, thermoreceptors for temperature, and nocioreceptors for pain/damage.
This sensorial system is located under various layers of skin that provide support and protection
from the surrounding environment. When an external object comes into contact with human skin,
a series of complex phenomena take place. The skin transmits deformations on its surface to the
mechanoreceptors underneath. In response to this stimulus, spikes of action potentials are gener-
ated. The signal is digitized, transforming the amplitude of the output voltages in a train of action
potential spikes. The electrical information is then sent to the central nervous system, which pro-
cesses and encodes the received signal.
The approximately 17,000 mechanoreceptors in the human skin can be distinguished by their
receptive field (the region of the body in which they are sensitive) and rates of adaptation. Indexes
I or II denote narrow or wide sensitive areas. With regard to the speed with which they adapt to
static stimuli, three categories can be defined: fast adaptation (FA), moderate adaptation, and slow
adaptation (SA).
FA receptors are cells very sensitive even to small changes in stimuli: they respond with bursts
of action potentials when an external stimulus is first applied and then removed. The typical time
period of their response is less than 0.1s. Pacinian corpuscles are mostly responsible for rapid adap-
tation; they are located in the subcutaneous layer of the skin (see Figure 16.1). Surface roughness
and small vibrations can be detected by these receptors, whose density is the lowest compared with
other categories of fingertip mechanoreceptors. Hair follicle receptors and Meissners corpuscles
show moderate adaptation rates. They can be found near the skin surface and respond to variation
in time periods of the order of 1s (for instance, they can detect insects on human skin). Merkel disks
and Ruffini corpuscles are some examples of SA receptors: this kind of cell remains active through-
out the period during which the stimulus is in contact with the receptive field. Located mostly close
to the skin surface, they generally are responsible for static perception. The adapting time of these
mechanoreceptors ranges from a few seconds to one hundred of seconds. Temperature and grasping
sensing are typically elaborated by these receptors. A summary of the characteristics of human skin
mechanoreceptors is presented in Table 16.1.
In Table 16.1, the spatial acuity parameter can give an idea of spatial resolution, which is the
smallest separation to discriminate two different points of contact. This threshold can vary across
the entire human body, for example, one can resolve two points at 1mm on the fingertips (which
presents a spatial acuity seven times larger than the palm) and up to 30mm on the belly. The ability
to perceive a fine spatial structure is also affected by the temporal properties of the stimulus (the
spatial acuity decreases with an increase of stimulus frequency). The critical temporal separation
between two events on fingertips is found to be of about 3050ms.
In humans, tactile sensing is fundamental in object manipulation (grasping, contact, and sta-
bility assessment), exploration (from which we assimilate tactile information about materials and
surface properties), and response (to distinguish the intensity of contact). All these functionalities
should be reproduced in artificial tactile sensors. Compared with other sense emulations (such as
sight or hearing), tactile sensing technology experiences a slower development. One of the reasons
can be found in the difficulty to reach high spatial density of sensor elements comparable with
Advanced MEMS Technologies for Tactile Sensing and Actuation 353

Glabrous skin Hairy skin

Merkels disk Epidermal


dermal border
Free nerve
ending
Epidermis
Meissners
corpuscle

Pacinian
corpuscle Dermis

Rufinis Hair follicle


ending receptor

FIGURE 16.1 Schematic representation of human skin receptors. (Reproduced from http://what-when-how.
com/neuroscience/somatosensory-system-part-1/.)

natural mechanoreceptors in human skin (thousands per square centimeter, as shown in Table16.1).
In addition, tactile sensors must offer high sensitivity, fast response time, and high speed of signal
extraction, as well as optimal mechanical properties, to realize physical contact with external
objects; for applications such as an artificial skin for robotics, flexibility of the materials, compli-
ance to surfaces, and robustness to withstand external loads, impacts, and frictions are necessary
properties. On the basis of the information mentioned above, some specification requirements and
design guidelines for a tactile sensing system are summarized in Table 16.2.

TABLE 16.1
Characteristics of Human Skin Mechanoreceptors
Pacinian Meissners
Corpuscles Corpuscles Ruffini Corpuscles Merkel Disk
Class FA II FA I SA II SA I
Receptive field (mm2) 101000 1100 1050 2100
Spatial acuity (mm) 10+ 34 7+ 0.5
Frequency range (Hz) 40800 (peak 250) 10200 7 0.4100
Receptor density (cm2) 21 140 49 70
Conduction velocity 3570 3570 3570 4065
(m/s)
Sensory function High-frequency Finger position Shape detection Low-frequency vibrations
vibrations stable grasp Texture perception Grip control
Tangential force Tactile flow detection Tactile flow perception
Motion direction
354 MEMS: Fundamental Technology and Applications

TABLE 16.2
Major Guidelines for Design of Tactile Sensor
Parameter Requirements
Force Force variation Orthogonal and transversal
Force sensitivity 11000g wt
Force dynamic range 0.0110N
Time Temporal variation Static and dynamic
Time response 1ms
Sampling rate 100Hz to 1kHz
Space Spatial resolution 12mm
Array size 551010 points
Linearity Monotonic and stable response
Hysteresis Low hysteresis and repeatability
Robustness Sustain application defined load
Cross-talking Minimal cross-talk
Technological aspects Shielding Shielding from electrical/magnetic noise
Simple mechanical integration
Integration Minimal wiring, low power consumption
Low cost, compliance

The parameters in Table 16.2 are defined as follows:

Force sensitivity and dynamic range: The goal of each tactile sensor is to reach high sen-
sitivity with broad dynamic range. Compared with human sense of touch, sensitivity and
bandwidth of an artificial skin are dictated by application. In general, a sensitivity range of
0.0110N (or 11000g wt) and a dynamic range of 1000:1 are the required standards. In
addition, a complete sensor should detect not only any force direction, to distinguish stiff-
ness of the contacted materials (normal forces), but also even its roughness, texture, and
shape (by detection of slip motion).
Temporal resolution: As seen in the case of human skin, touch sensations can cover a
frequency bandwidth from a few Hz for separate touches to several hundred Hz for sens-
ing vibration. For tactile sensor, other parameters must be taken into account, such as the
data processing time and, in particular, the need to continuously update the information
detected by the sensor. For this reason, the fastest response time possible is required. Each
element should have a response time of about 1ms to determine contact in real-time mode.
Spatial resolution: Mimicking human tactile sensing, a minimum spatial resolution of
12mm is required for an array of sensors. However, this is a threshold related to static
detection of two close points of contact. If the sensor experiences two separated stimuli at
different times, the discrimination distance will be lower. In human skin, spatial resolution
is achieved by Meissners corpuscles at about 0.1mm for pattern discrimination by moving
the fingertip on a rough surface. In sensing technology, a single sensing pixel is called a taxel.
Linearity/hysteresis: The sensor should provide a stable, monotonic, and repeatable output,
with low hysteresis. Monotonic response does not necessarily mean a linear trend (aloga-
rithmic response is satisfactory). It is interesting to compare the low hysteresis requirement
with human skin behavior. Human skin is very hysteric, since the force needed to maintain
a certain indentation decreases during the time interval in which the probe is held against
the skin surface. Its relaxation time is long, up to 8 s, with the most evident effects in the
first 2 s.
Robustness, cross-talking, and shielding: A tactile sensor can be applied in different fields
and environments. It is important that it can withstand various external conditions related
Advanced MEMS Technologies for Tactile Sensing and Actuation 355

to temperature, humidity, radiation, chemical stresses, and obviously mechanical loads.


Despite continuous usage, sensor performances should not be affected. Possible sources of
electromagnetic noise or cross-talking should be avoided by optimal shielding and proper
design of the device.
Integration: A majority of tactile sensors are developed to find applications in artificial
skin, and implantable or portable devices. Therefore, minimal wiring and power consump-
tion are the two key points that can make a tactile system more attractive than others. Low
cost often assists in a faster expansion of the proposed technology, making it sometimes
suitable for large-area applications. Compliant properties of materials and devices make
the integration easier and possible also on curved surfaces.

Although the gap between human and artificial sense of touch is still huge, considerable pro-
gresses have been made in the last 20 years.
In the following sections, the most important principles of operation of tactile sensors will be
discussed, presenting recent and promising device designs, and analyzing advantages and disadvan-
tages of each technology and approach.

16.2 TACTILE SENSORS


16.2.1Capacitive Sensors
Capacitance between two conductive plates depends on their area A, the distance d between them,
and the dielectric constant of the embedded layer r as

A
C0 = e0 er (16.1)
d

where 0 is the dielectric constant of vacuum. A variable capacitor can be realized if one plate is
fixed, while the other is free to move under a stress T; the relative movement of two conducting
plates will cause a change in capacitance C of the sensing element. By considering a capacitor with
a soft elastic dielectric medium, C will be

T
C = C0 (16.2)
E T

with E being Youngs modulus of the elastomer in which the capacitor is embedded. The linear rela-
tionship (Equation 16.2) between the change in capacitance and the applied load contains param-
eters relative to the nature of the soft material (E, r) and the geometrical design (d or overlapping
area between the electrodes); therefore, the sensitivity of the sensing element can be easily modeled.
The capacitive approach is the most used in the literature to transduce a force/pressure stimu-
lus into an electrical signal. An array of capacitive sensors is normally exploited for mapping the
applied pressure. In Ref. [5], Sato etal. present an MEMS fingerprint sensor consisting of an array
of capacitive sensing elements, with surface T-shaped protrusions of polyimide to clearly detect
fingertip images (Figures 16.2 and 16.3).
The protrusions play the key role of mediating the contact between human finger and sensor
surface and concentrating the applied pressure at the center of the cavities between two electrodes.
Without protrusions, the ridges could not deform the upper electrode because of its hardness com-
pared with finger elasticity. Stiffness, shape, and dimensions of the protrusion have been studied
to optimize the efficiency of load transfer from the human finger to the capacitive sensor array.
The array of sensors is made of pixels of 5050m with a density of 508dpi for a total size of
356 MEMS: Fundamental Technology and Applications

Protrusion Scaling
layer

Upper Lower Cavity


CMOS
LSI electrode electrode 10 m

FIGURE 16.2 Magnified image of an FIB cross-section of a pixel. (Reproduced from N. Sato etal., IEEE
Trans. Electron Devices, 52(5), 2005, 10261032.)

12.811.2mm (57,334 pixels). The cavities between electrodes are stacked on a complementary
metal-oxide semiconductor large-scale integration (CMOS LSI). T-shaped protrusions allow reach-
ing higher sensitivity than flat sensor surfaces, and the cavities are designed to withstand an external
pressure up to 1MPa.
In 2008, Pritchard etal. [6] developed a capacitive sensor on an polyimide flexible substrate for
biomedical and robotic applications, where adaptive sensors on curved surfaces are required. Two
gold electrodes are separated by a 1.5-m-thick dielectric layer of parylene C. The response of the
sensors is linear, but long relaxation times are required because of hysteresis of the insulating layer.
Thin and flexible capacitive sensors with 500-m diameter and 1-mm pitch show an output signal
between 0.02 and 0.04pF for applied pressure of 700kPa.
The integration of such sensors in robots and industrial machines is always an issue. To facilitate
this task, a wearable tactile fabric based on a novel type of hollow fiber capacitive sensor has been
developed by Hasegawa etal. [7]. Figure 16.4 reports the schematic view of the proposed sensor. It
consists of three concentric fibers: the internal one is an elastic hollow tube, covered by metal and
insulator layers. The fabricated artificial hollow fibers have a diameter of 250m and a thickness
of 40m. All of these single sensors are woven in a reticular grid: the external load is detected
at the intersection points of the grid, measuring the change in capacitance between the upper and
the lower fiber and providing information on the exact points of contact between the entire sensor
and the human finger. The particular design guarantees sensing at arbitrary and large area surfaces
(Figure 16.5) and detection of 2D contact force distribution by sequentially measuring changes in the
capacitance of adjacent intersection points. The resolution of this sensor can be adjusted, resizing the
spacing between two layers of fibers. A linear change in capacitance of up to 0.5pF is observed for an
external load up to 350mN. Hysteresis afflicts measurements at 5% and only for applied force greater

(a)

Finger (b)

Valley Ridge Force

Protrusion
sealing layer

Cavity
Sensing
circuit
Upper Lower Grounded Pixel
electrode electrode wall 50 m

FIGURE 16.3 (a) Schematic view of a previous MEMS fingerprint sensor. (b) Captured fingerprint image.
(Reproduced from N. Sato etal., IEEE Trans. Electron Devices, 52(5), 2005, 10261032.)
Advanced MEMS Technologies for Tactile Sensing and Actuation 357

(a) Metal layer (b)


Insulation layer
Elastic tube

(c) Hollow fiber (d) Normal


Intersection point Capacitance
load
change

No load Applied load

FIGURE 16.4 Schematic view of (a) single fiber structure, (b) fiber tactile sensor, and (c and d) principle of
operation. (Reproduced from Y. Hasegawa etal., J. Micromech. Microeng. 18(8), 2008, 085014.)

than 300mN. The cross-talk value between adjacent intersection points is less than one-fourth of the
loaded sensor output, meaning a good distinction between loaded and unloaded sensors.
Lee etal. [8] embed pairs of copper electrodes separated by an air gap in a polydimethylsilox-
ane (PDMS) thick layer, shaping the sensor surface with bumps to detect both normal and shear
force distribution (Figure 16.6). Each cell is composed of four capacitors located at the corners of a
square, to measure all of the components of applied vector force. The resolution of the sensor can be
increased with higher spacer or reduced size of unit sensor, even if it results in a decrease of output
capacitance.
By applying normal and shear forces in the range 010mN (corresponding to a pressure of
131kPa), the capacitance of a single sensor increases by a factor of 1.5 compared with the initial
capacitance value. The sensitivity of a cell is measured to be 2.5%/mN, 2.9%/mN, and 3.0%/mN in
the x-, y-, and z-axes, respectively. The authors implement the previous sensor even for proximity
object detection, monitoring the fringing capacitance of the adjacent top electrodes. A 1616 array
is fabricated for dual-mode sensing (tactile and proximity) [9].

5 mm

Fabric tactile
sensor

FIGURE 16.5 A wearable-tactile-sensor glove. (Reproduced from Y. Hasegawa et al., J. Micromech.


Microeng. 18(8), 2008, 085014.)
358 MEMS: Fundamental Technology and Applications

(a) Top electrode Bump


Air gap

Bottom electrode

(b) Normal force (c)


Shear force

FIGURE 16.6 (a) Cross-section of the capacitive sensor. Principle of operation to (b) normal force and (c)
shear force. (Reproduced from H. Lee etal., J. Microelectromech. Syst. 17(4), 2008, 934942.)

Capacitive sensors are attractive because of their simplicity and robustness in device architec-
ture, temperature independence, and zero DC power consumption. In addition, collection and pro-
cessing of output signal can be performed by CMOS microelectronic circuits due to immediate
integration with the sensing element. One of the drawbacks of the capacitive technique is low reach-
able resolution, since the distance between conductive plates is usually greater than the deformation
to detect. Parasitic capacitances and external electromagnetic fields are sources of noise that cannot
be neglected.

16.2.2Strain Gauges and Piezoresistive Sensors


Piezoresistive sensors can be divided into two categories: strain gauges and piezoresistors. The
former are conceptually resistive elements that are stretched when strained, changing their electri-
cal resistance, defined by the following equation, through the geometrical parameters length l and
cross-sectional area s:

l
R=r (16.3)
s

The effectiveness of resistive sensors is given by the gauge factor (GF), which is the ratio of
relative change in electrical resistance (R/R) to mechanical strain . Generally, these variations
in resistance are quite small and long gauges are required. To avoid large and unwieldy structures,
winding patterns are usually used. Microstrain gauges realized on flexible substrates offer various
advantages for MEMS application, such as high sensitivity, reduced dimensions, high spatial resolu-
tion, and direct integration with electronic circuitry. Generally, strain gauges are located in the most
stressed point of the sensing structure to increase the efficiency of transduction and are coupled with
a Wheatstone bridge to convert resistance variation in an output electrical signal.
Piezoresistors are materials whose resistance changes as a function of mechanical stress, allow-
ing their direct application to tactile sensors. Unlike strain gauges, variations of electrical resistance
are due to an intrinsic change of material resistivity induced by deformation. The basic concept is
to realize a thin piezoresistive film over a deflecting structure: any change in the curvature of the
membrane will induce a stress in the piezoresistor, resulting in a change of resistance corresponding
to applied pressure. This simple architecture is usually implemented by four sensing resistors along
Advanced MEMS Technologies for Tactile Sensing and Actuation 359

the edges of a diaphragm, which acts as a mechanical amplifier of stress and strain. Piezoresistors
allow small dimensions with high outputs. Owing to high sensitivities and better low-frequency
response, strain gauges and piezoresistive sensors are widely used for vibration, pressure, and flow
[10,11] detection.
Engel etal. [12] developed a polyimide-based tactile sensing array with thin-film strain gauges,
increasing robustness and decreasing fabrication cost and complexity compared with standard
semiconductor piezoresistors. The sensor consists of a free-standing polyimide membrane, in which
NiCr strain sensing elements are located at the edge of the membrane, where the compressive strain
is concentrated. The 80 m-thick polyimide layer ensures high deflection of the strain gauge. A top
tactile bump improves sensitivity. The sensor shows a linear response of 2%/N to normal force up
to 0.6N.
Silicon and other semiconductor materials are the most employed materials for piezoresistors,
where electrical resistivity can be easily changed usually by doping the bulk material with boron
for p-type material and arsenic for n-type material. Brittleness and stiffness of semiconductors limit
their applications or require embedding in soft polymer layers. Although mechanical flexibility is
improved, sensitivity is reduced. Two interesting examples are provided by Sohgawa etal. [13] and
Noda etal. [14]. In the first work, four piezoresistive cantilever structures are arranged in a cross-shape
and embedded in a PDMS protective layer, as shown in Figure 16.7b, on which external pressure is
applied. A Wheatstone bridge circuit converts the applied load in output voltage. Sensor response has
been investigated in 0130kPa range for normal pressure and 025kPa range for shear stress.
In Ref. [14], a triaxial sensor has been fabricated with standing piezoresistive cantilevers and
beam in PDMS. Figure 16.8 shows the working principle: according to the applied load (shear or
normal), the deformation of the suspended cantilevers or of the beam induces a change in resistance.
The output signal is linear both for shear and for normal load in the range 6 to 6kPa and 0 to
10kPa, respectively.
Piezoresistive sensors are simple to fabricate and to interface with electronic systems, but are
brittle and fragile, are highly sensitive to drift temperature, and require power supply. Embedding in
a soft elastic medium can prevent the sensing elements from being damaged which in turn reduces
sensitivity and spatial resolution.

(a) Cantilever structure Cr (100 nm)


p+-Si Low stress SiN
Au/Cr (400 nm) Piezoresistor (200 nm)
Si (2.5 m)

SiO2 (1 m)

Si

(b) One sensor element


z
y

FIGURE 16.7 (a) Lateral view of single cantilever structure. (b) Sketch of the sensing element. (Reproduced
from M. Sohgawa etal., Proc. Int. Conf. Solid-State Sens. Actuat. Micros. 2009, pp. 284287, Denver, CO,
USA.)
360 MEMS: Fundamental Technology and Applications

(a) x y x
z

Rx + Rx
p
(b) x y
z
Rz + Rz
1 mm

FIGURE 16.8 Left: Image of the triaxial tactile sensor. Right: Response of the sensor under (a) shear load
and (b) normal load. (Reproduced from K. Noda etal., Proc. Solid-State Sens. Actuat. Micros. Conf. 2009,
pp. 21762181, Denver, CO, USA.)

16.2.3 Piezoelectric Sensors


Piezoelectric materials are insulators that generate charges +Q and Q on their opposite faces when
loads or stresses are applied (direct piezoelectric effect). The direct effect may be formulated as a
linear relationship where each of the three components of polarization (Pi) is a linear combination
of all of the nine components of the stress (jk) tensor. This is related via the material property
known as piezoelectric strain constant (dijk), which is a third-rank tensor as shown in the following
equation:

Pi=dijk jkfor i, j, k=1, 2, 3 (16.4)

where 1, 2, and 3 correspond to the three directions x, y, and z. The piezoelectric strain tensor dijk
has 27 components, but due to the symmetry of the stress tensor, all of the tensors can be contracted.
If the polarization is solely due to mechanical pressure, then the direct piezoelectric effect can be
described by contracting Equation 16.5:

i=dij Ejfor i=1, 2, 3 and j=1, 2, . . . , 6 (16.5)

with being the mechanical strain and E the electric field tensors. For sensing applications, among
all of the components of the strain piezoelectric tensor, the most important is d33, which links the
electric field along the z-axis to pressure in the same direction, even if other kinds of sensors are
based on d31 (which describes the electric field generated in the x-axis when an orthogonal z-axis
load is applied). Also, for piezoelectric sensors, the charge generated depends on applied pressure
P as shown in Equation 16.6:

Q=d33 PA (16.6)

where d33 is the piezoelectric constant of the material and A the area of the piezoelectric layer.
Similar to capacitance-based sensors, the sensitivity can be tuned by choosing the piezoelectric
material with precise properties and geometrical dimensions.
Lead zirconate titanate (PZT) and polyvinylidene fluoride (PVDF) are the most common piezo-
electric materials for tactile sensing due to high sensitivity, ease of fabrication, and mechanical
properties. Even if PZT exhibits a higher piezoelectric constant (d33=117pC/N) and unique elec-
tromechanical properties, it is a lead-containing hazardous material and efforts are being made to
replace it with safe piezoelectric materials.
Hosoda etal. [15] emulate an anthropomorphic fingertip with distributed receptors consisting
of strain gauges and PVDF film sensors, embedded in a silicon rubber layer (Figure 16.9). The
Advanced MEMS Technologies for Tactile Sensing and Actuation 361

A skin layer

Receptors:
strain gauges and
PVDF films

A metal bar A body


as a bone

FIGURE 16.9 Cross-sectional view of the presented anthropomorphic fingertip sensor. (Reproduced from
K. Hosoda etal., Robot. Auton. Syst. 54(2), 2006, 104109.)

presence of two types of sensors allows discriminating between five different materials, comparing
the relative stiffness by pushing and rubbing external objects. Output voltages of 1V for piezoelec-
tric sensors and between 0.5 and 1V for strain gauges are measured, where 0.1V corresponds to
approximately 0.1N.
A well-designed artificial skin should present a large area of contact and perform sensing
and signal processing at the same time. With this aim, Dahiya etal. [16] present microelectrode
arrays (MEAs) of 32 elements with a total area of 1cm1cm, deposited on a PVDF composite
film. The sensor shows linear response in the force range 0.024N, sensitivity of 0.2V/N and
0.4V/N (for 25- and 50-m PVDF thickness, respectively) but a significant cross-talk of about
20%, attributed to the fact that taxels (touch pixels) are connected by a uniform thin metal film on
the top and are therefore still mechanically coupled. To reduce this drawback, an implementation
of the same sensor is presented by the authors in Ref. [17], using POSFET (piezoelectric oxide
semiconductor field effect transistors) touch-sensing elements, in which the piezoelectric film is
directly spin coated on the gate area of an FET device. The charge generated under pressure by
the piezoelectric polymer can modulate the charge in the induced channel of the FET, obtaining
sensing and signal processing at the same site, as in human skin. In this way, signal process time
and cross-talk are minimized. The 1mm1mm taxels exhibit linear response between 0.2 and
5N with a sensitivity of 0.5V/N.
An interesting work by Nara etal. [18] analyzes the dynamic properties of fast-adapting mecha-
noreceptors, exploiting surface acoustic waves (SAWs). They report that Meissners corpuscles with
coiled axons and Pacinian corpuscles with layered lamellae are structured to detect equivoluminal
distortion of human skin. The study demonstrates that SAWs traveling on the surface in contact with
the finger can produce different roughness perception and shear force stimuli. The exploitation of
SAWs in tactile technology presents the drawback of integration lack on silicon substrates of com-
mon piezoelectric materials (LiNbO3, LiTaO3). The thin-layer deposition of piezoelectric aluminum
nitride (AlN) [19] and gallium nitride (GaN) [20,21] has shown interesting results for the efficient
generation of SAWs to be used for both pressure sensing [22] and actuation.
There are new emerging technologies that are very promising for tactile sensing based on the
integration of piezoelectric semiconductor materials on polyimide by sputtering [23,24]. Flexible
microelectromechanical pressure transducers based on aluminum nitride on kapton are reported
by Petroni etal. [25]. Aluminum nitride deposited on the polymer, because of the large difference
between Youngs modulus of the two materials, undergoes a significant strain under applied stress,
generating a measurable charge in a wide range of pressure from 10kPa to 10MPa.
362 MEMS: Fundamental Technology and Applications

Piezoelectric sensors can reach high sensitivity and significant voltage output even for small
deflection. No supply of electrical power is needed for sensing applications, which favors the devel-
opment of a wide range of applications. However, charge leakage and voltage output decrease over
time prevent static force detection, making only dynamic force measurements possible.

16.2.4Conductive Polymer Sensors


As mentioned earlier, a new generation of polymer-based tactile sensors is being developed, exploit-
ing mechanical flexibility, robustness, chemical resistance, and electrical properties of this class
of materials. Large-area low-cost fabrication techniques and a wide variety of possible configura-
tions are the key points for polymeric MEMS diffusion. Different polymers, such as EAP, conduc-
tive polymers (CP), ion-polymer metal composite (IPMC), and others, exhibit interesting electrical
behavior, while a second approach is based on nanocomposites, obtained by filling a polymeric
matrix with conductive components to modify its conductive properties.
Wang etal. [26] apply the electricalchemicalmechanical effect in IPMCs in an arrayed tactile
sensor. The basic structure consists of an ionic polymer layer (usually Femion or Nafion) sand-
wiched by two metal electrodes. The working principle is shown in Figure 16.10.
In the nondeformed state as in Figure 16.10a, cation distribution inside the polymer is uniform
and no output voltage is detected. Under external pressure (Figure 16.10b), the ionic network tends
to rearrange, balancing charge accumulation located on the electrode. An output voltage is therefore
generated, proportional to applied pressure. The sensing IPMC membrane is deposited on a pat-
terned electrode on a PDMS bump, to be pressed by the human fingertip. 3D forces can be detected,
with the sensitivity of normal forces higher than that of lateral forces by a factor of 2.
Sensors based on CP offer the possibility to reduce the number of electrical connections because
of the continuing presence of a conductive path. Alirezaei etal. [27] realize a conductive polymeric
fabric, in which elements and wiring inside the sensor are eliminated, limiting them only to the
boundary of the conductive polymeric layer. Compared with the conventional approach with non-
conductive material, consisting of a grid of wiring whose intersections act as tactile elements, the
proposed solution results are highly stretchable and deformable. The applied pressure is detected by
monitoring the variation of resistance by means of electrical impedance tomography (EIT). Forces
up to 20N can be detected with a point-to-point resolution of 9mm and low hysteresis.
A different strategy mentioned earlier is based on enriching the polymer with conductive filler
particles: by varying the type of conductive particles, their percentage in the polymeric layer, and

(a)
Nondeformed
Cation
Ionomer network
Solvent molecule
Accumulated charge
Electrode
(b) Deformed

FIGURE 16.10 Scheme of IPMC sensing mechanism (a) in rest position and (b) under external load.
(Reproduced from J. Wang etal., J. Appl. Phys. 105(8), 2009, 8351583517.)
Advanced MEMS Technologies for Tactile Sensing and Actuation 363

material stiffness, the electrical properties of the resulting conductive polymer can be properly
modeled. From a mechanical point of view, most of the advantages of soft materials, such as flex-
ibility and compliance, are preserved. Under external pressure, the composite film is deformed,
pushing the conductive particles closer and increasing conductivity. By measuring the local current
density at different points of the device, a tactile image can be obtained. Hysteresis and nonlinear-
ity can limit this type of sensor to small pressure sensing. Someya etal. [28] propose the integra-
tion of a conductive composite polymeric sensor with an organic transistor to directly measure the
variation of resistance induced by contact. The resulting device is inherently flexible and ultralow
in cost even for a large area. Each taxel consists of an organic thin-film transistor, in which the
conductive flexible composite is in series with the sourcedrain electrode, as illustrated in Figure
16.11. At fixed gating voltage, output current increases with higher applied pressure, with high sen-
sitivity and low power consumption. External pressures up to 30kPa have been detected measuring
a decrease in resistance from 10M to 1k, corresponding to an increase in the output current in
the range 010A.
A drawback of the presented solution is the isotropy of conductivity in rubber medium: as the
conductive particles are randomly dispersed in the matrix, the ratio between in-plane conductiv-
ity and conductivity along the orthogonal direction (along which the load is applied) is close to
1. Sensitivity is significantly improved by realizing an anisotropic composite, where orthogonal
conductivity is maximized compared with the in-plane direction. To overcome this limitation and
to obtain larger dynamic ranges, a nanocomposite system with aligned carbon nanotubes (CNTs)
can be developed. The percolation threshold (i.e., the minimum volume fraction of fillers required
to make the polymer matrix conductive) is some order of magnitude lower than with conventional
conductive nanoparticles: the mechanical properties of the polymeric matrix will be only slightly
affected by the fillers. In addition, keeping the volume fraction of CNTs very low (between 0.001%
and 1% in Ref. [29]), the rise in conductivity is slower and therefore there is a large range of strain in
which the increase in conductivity is monotonic and reversible before saturation. All of these factors
imply a wider dynamic range with higher sensitivities and resolutions of CNTs, composite sensors
compared with other types of conductive fillers.
Tsao etal. [30] dope a soft nylon matrix with polypyrrole (PPy), which is an EAP and acts as a
conductive filler. This sensor is an example of a fully polymeric tactile sensor. PPy is electrochemi-
cally polymerized to fill the cavities formed in porous nylon during the fabrication process. The
electrical resistance of the polymeric composite changes under increasing pressure with a sensitivity
of 0.023%/kPa in the range 20600kPa.
Different conductive nanoparticles are dispersed in a PDMS matrix in the work presented
by Cheng etal. [31]: drops of the CP are dispensed at intersection points between copper wires
wound around a soft elastic nylon line. A highly twistable and stretchable grid of sensing wires

(a) (b)
Load

S D

Gate
Flexible insulator Composite
Organic semiconductor

FIGURE 16.11 (a) Schematic cross-section of the device in which the composite is coupled with the source
electrode. (b) An image of the resulting high flexible sensor. (Reproduced from T. Someya etal., Proc. Natl.
Acad. Sci. USA 101(27), 2004, 99669970.)
364 MEMS: Fundamental Technology and Applications

(a) (b)
Tactile sensing
Conductive element Flexible skin
polymer

PDMS

Lower spiral Upper spiral


electrodes electrodes Ping-pong ball Spiral
1 cm electrodes

FIGURE 16.12 (a) Sketch of the proposed sensor array. (b) Picture of flexible skin on a curved surface.
(Reproduced from M.Y. Cheng etal., Proc. IEEE 22nd Int. Conf. MEMS 2009, pp. 9295.)

is prepared, in which the CP drops act as resistors between two spiral electrodes, as illustrated in
Figure 16.12. An 88 sensor array is fabricated in a total area of 20mm20mm, measuring a
decrease in resistance from 107 to 103 by applying an external pressure in the range 0450kPa.
Low cross-talk is demonstrated due to the localized presence of sensing elements.
A very novel and bioinspired approach is based on 3D carbon microcoils (CMCs) that are heli-
cal shaped and embedded in elastic media to produce a tactile-nearness sensor. CMC conformation
is inspired by Meissners corpuscles, the most important tactile sensing receptor in human skins.
Their structure consists of 3D helical coils, with diameter ranging between 40 and 70m and
length between 20 and 150m. CMCs can be fabricated by the catalytic pyrolysis of acetylene
containing a small amount of sulfur impurity at 700800C. CMCs are suitable as sensing elements
because of their amazing mechanical properties: they can be extended up to 515 times their origi-
nal length under small load (some milligrams) and return to the original state with no significant
hysteresis. They can be fabricated with different geometrical dimensions (diameter 120m, length
50500m) and mixed in rubber matrices to realize sensors of different shapes (Figure 16.13). The
accuracy of the sensor is determined by the soft matrix.
If two electrodes are connected to the sensor, repeated extensions and contractions of CMCs,
while applying and releasing external load, change their electrical resistivity, as well as capacitance
and inductance, generating a variation of output voltage. Nishikawa etal. [32] realize a CMC-based
sensor made of silicone rubber, studying the effects of different volume fraction of CMCs from 1%

CMC mix
+ with silicone
rubber

CMC

Elastic CMC
sensor

FIGURE 16.13 Schematic view of the fabrication technique. (Reproduced from K. Nishikawa etal., Proc.
IEEE/RSJ Int. Conf. Intell. Robots Syst. 2005, pp. 20612066, Edmonton, AB, Canada.)
Advanced MEMS Technologies for Tactile Sensing and Actuation 365

to 10%, at different frequencies (100300kHz) of the applied load, in the range of pressure 01N.
An increase in the output voltage up to a factor of 4 has been measured, with high sensitivity and
effective acquisition of tactile information.
The examples presented above show different strategies to realize tactile sensors, using various
polymeric materials with peculiar electrical properties or functionalized with conductive fillers.
Itleads to the possibility of tailoring the range of load/sensitivity as a function of type and concen-
tration of conductive fillers and therefore of the resulting material stiffness. Tactile sensors based on
CP remain the most successful approach for large-area applications (over tens of cm2). Finding new
polymers that can be potentially involved in MEMS tactile sensors represents a promising challenge
to provide highly efficient solutions for tactile sensors.

16.2.5Optical Sensors
Optical tactile sensors can exploit change in optical transmittivity or input light signal wavelength
in a soft waveguide, when it experiences a deformation under external load. The advantages carried
by this type of sensor are highly attractive for artificial skin applications: the number of wiring is
strongly reduced since the sensitive element is light-driven; the cross-talk can be negligible; sen-
sors based on optical fibers are flexible and durable and can be used in harsh environments; light
emitting diodes (LEDs) offer high spatial resolution, speed, sensitivity, and low-cost solutions, in
addition to being both transmitters and detectors; and LEDs do not suffer from electromagnetic
interference and can work where electrical currents are undesired.
One of the working principles of optical tactile sensors is used in Ref. [33] by Heo etal.: a fiber-
Bragg grating (FBG) shifts the wavelength of the reflected Bragg signal as a function of external
parameters such as temperature, strain, or force (Figure 16.14). The reflected Bragg wavelength
B is a function of grating period and of the refractive index of fiber core ne, as in the following
equation:

B=2 ne (16.7)

The Bragg wavelength shift due to thermal effect and strain can be expressed as

lB = lB [(a f + xf )T + (1 pe )e] (16.8)


where the first term (f+f )T is related to thermal effects, f being the thermal expansion coef-
ficient, f the thermooptical coefficient, and T the temperature variation, while the second term
expresses change in wavelength due to mechanical strain , pe being the strain-optical coefficient

Broad-band
source

OSA
Cladding

Input light Core

Reflected light Transmitted light


Grating

FIGURE 16.14 FBG schematic view. (Reproduced from J.-S. Heo etal., Sens. Actuat. A 126(2), 2006, 312327.)
366 MEMS: Fundamental Technology and Applications

(a) (b)

l1 B P
l1 P
l1
l1
l2 l2
l2 l2 B
B B

Bend loss
Bent fiber Bent FBG

(c) (d)
B B
P P

B B
B B n 1 B B

Uniform strainunchirped signal Nonuniform strainchirped signal

FIGURE 16.15 Effect of bending on intensity and wavelength of reflected signal for an optical fiber (a) and
an FBG (b); uniform (c) or nonuniform strain (d) on the Bragg wavelength. (Reproduced from J.-S. Heo etal.,
Sens. Actuat. A 126(2), 2006, 312327.)

of the optical fiber. While bending, the intensity and wavelength of the Bragg signal vary as illus-
trated in Figure 16.15. From the Bragg wavelength and intensity shift and from distortion of the
reflected signal, the direction and uniformity of applied strain can be detected.
In Ref. [33], the optical fiber is embedded in a PDMS membrane with a mesa structure, in contact
with the external object. The applied load deflects the membrane and the FBG, inducing an elonga-
tion on Bragg grating. A 33 sensor array is fabricated, with good repeatability, high accuracy,
and resolution, and no hysteresis, in the range 05N of applied force, corresponding to a Bragg
wavelength shift of about 0.8nm. The authors also develop a plastic optical fiber (POF) sensor [34],
where a 2D mesh of waveguides is embedded in a silicone elastomer. The light signal generated by
an LED is detected by aCCD, during bending of the polymeric matrix: the change in intensity is
measured and shows a linear response with applied forces up to 15N with a resolution of 0.05N.
The double function of LEDs as a light source and a detector is the key point used by Rossiter etal.
[35] to realize a low-cost optical tactile sensor, avoiding the complexity of fiber cables and the pres-
ence of two separate matrixes for emitting and detecting the optical signal. The structure of the
proposed sensor is shown in Figure 16.16.

F
Surface
Foam
Deformable
Compression layer

LED L1 Emit Detect LED L2


Mask
Substrate
I2

FIGURE 16.16 Structure and working principle of the LED-based sensor. (Reproduced from J. Rossiter
etal., Proc. IEEE 4th Int. Conf. Sens. 2005, vol. 52, pp. 960968, Irvine, CA, USA.)
Advanced MEMS Technologies for Tactile Sensing and Actuation 367

Force

LED LED
LED LED

FIGURE 16.17 Image of the relationship between applied force and generated light pattern. (Reproduced
from K. Hoshino etal., Proc. IEEE Work. ARSO 2008, pp. 16, Taipei, Taiwan.)

A mask around the waveguide prevents the measurement of stray light. A semiopaque soft
insulating layer embeds the optical system and acts as a skin: the external force compresses the
elastic medium and reduces light intensity detected by the second LED. A 44 matrix that is
able to evaluate the position and intensity of the applied force has been realized, with a sensitiv-
ity of some mV/N in a range up to 6N. A complete tactile force detection can be defined if both
position and direction of the external load can be measured, in addition to its magnitude. A three-
dimensional optical tactile sensor is proposed by Hoshino etal. [36], in which two LEDs with
different output wavelengths irradiate in parallel to an elastic membrane, deflected by external
pressure. From the pattern of light under the membrane, all of the force characteristics can be
calculated (Figure 16.17).
Optics-based tactile sensors offer promising performances, overcoming the limits related to elec-
trical wiring, electromagnetic interference, or rigid substrates, and can find unique applications
where an improved sense of touch is required. Flexibility and ease of integration make this technol-
ogy very attractive for artificial skin.

16.2.6 Magneto-Inductive Sensors


One of the most common approaches to design a magnetic tactile sensor is based on electromagnetic
induction: a small magnetic element is embedded in a soft layer and its displacement due to external
pressure induces an electromotive force in the inductor, as expected by Faradays law:

B
e = N (16.9)
t

with N being the number of loops of the inductor and B the magnetic flux.
Hasegawa etal. [37] develop an active magnetic-based sensor in which a magnet is attached to a
suspended membrane, structured with a mesa protrusion on top, in contact with an external object
(Figure 16.18). Piezoresistive strain gauges measure deflection of the membrane. The permanent mag-
net is coupled with a planar coil, which provides a driving magnetic force (Figure 16.19).
This sensor is able to detect both the intensity of the contact force and the hardness of external
objects and can operate in two operational modes, as illustrated in Figure 16.19:
368 MEMS: Fundamental Technology and Applications

Mesa
Piezo-resistor

Diaphragm

Flat coil Permanent magnet

FIGURE 16.18 Schematic view of the magnetically driven sensor structure. (Reproduced from Y. Hasegawa
etal., J. Micromech. Microeng. 16(8), 2006, 16251632.)

a. In the quasistatic mode, detection is based on equilibrium between external pressure and
magnetic force generated by the driving coil on the permanent magnet. In the first step, the
object pushes down the contacted mesas; after that, the current in the planar coil actuates
the magnet and deforms the membrane against the object. The displacement of the mem-
brane is proportional to the elasticity of the object.
b. In the vibration mode, the membrane is driven at its resonant frequency by magnetic repul-
sion between the coil and the magnet. In this operational state, sensor outputs as amplitude of
deflection, resonance frequency, and phase change in accordance with the mechanical prop-
erties of the contacted object. Only its elasticity and damping coefficient can be evaluated.

Three types of silicone rubber are tested to estimate the capability to discriminate different
materials, with a difference of output voltage of about 30mV.
Takenawa [38] proposes a magnetic tactile sensor based on the same concept of displacement
gauge described in Ref. [37], with a two-dimensional array of inductors to collect induction voltage

(a)

Object Bumpy surface Object

Contact No contact Contact Magnetic field No deformation Magnetic field


Arrayed active tactile sensor deformation deformation

(b)
Object

Magnetic field No deformation Magnetic field


resonated resonated

FIGURE 16.19 (a) Detection in quasi-static mode and in (b) vibration mode. (Reproduced from Y. Hasegawa
etal., J. Micromech. Microeng. 16(8), 2006, 16251632.)
Advanced MEMS Technologies for Tactile Sensing and Actuation 369

(a)
Chip inductor Permanent magnet

(b)
Loader force

Elastic medium
#3 #4

Rigid substrate
#1 #2
Inductive
signal

Unit cell

FIGURE 16.20 (a) Top view of the unit cell. (b) Sketch of the working principle. (Reproduced from
S.Takenawa, Proc. IEEE Robot. Autom. 2009, pp. 32953000, Kobe, Japan.)

(Figure 16.20). The permanent magnet embedded in an elastic medium is positioned at the center
of the unit cell: both orthogonal and tangential forces can be detected by differential measurement
between the inductive signals of the inductor array. This sensor can therefore accomplish two func-
tions: it can establish the applied force vector to detect various object properties (weight, hardness, and
shape) and it can also distinguish between slippage and simple vibration on contact, by only measur-
ing the output signals of the inductors. Output voltages up to 150mV are measured corresponding
to the applied load of 1.2N. Since no input current is required, the proposed sensor has low power
consumption.
A very similar approach is presented by Goka etal. [39], where variation of magnetic flux density
of a bulk magnet induced by an external load is detected by four giant magneto resistances (GMRs).
GMRs change their resistance significantly if subjected to magnetic field gradients. As in the previ-
ous work, no wiring is required inside the elastic layer, whose elastic properties can be modeled to
change the sensitivity properly. Force vectors with an intensity up to 12N are measured with errors
in calculation of about 10%.
Coils dispersed in a soft polymeric medium constitute a resonant circuit with inductance (L),
capacitance (C), and resistance (R) contributions: applied pressure can deform the shape of the coils
(inducing a variation of resistance) or change their relative position (with corresponding variations
of capacitance and inductance). Shimazawa patents this concept [40]. 2D spiral-shaped coil matrix
improves sensitivity compared with 3D coils because flat coils can easily realize a large C capacitor
in the medium. Pairs of electrodes in all of the three axes increase measurement sensitivity. In addi-
tion, a magnetic core located at the center of each coil and connected to the spiral track can increase
inductance value. The system can be miniaturized, maintaining the same sensing performance.
Magnetic tactile sensors represent a technology scarcely investigated, probably because of noise
susceptibility and poor reliability, even though they can provide large dynamic range, robustness,
and high operation frequency.

16.3 TACTILE ACTUATORS


The research around haptic devices is becoming more and more important because of growing
interest in different technological fields such as mobile telecommunications, virtual reality, social
welfare, and medical therapy. The aim of tactile actuators is to realize moving taxels that accu-
rately reproduce parameters such as texture, roughness, shape, and stiffness. The driving technol-
ogy requirements concern not only the performances of the device (working frequency, strength/
amplitude range, and compliance) that should match human perceptual sensing as much as possible,
370 MEMS: Fundamental Technology and Applications

but also characteristics that can widen the fields of applications such as weight/size, portability,
power consumption, robustness, safety, and cost. The general standard requirements for tactile
actuators are a spatial resolution of one transducer per mm2, each to reproduce vibrations at about
1kHz, to refresh tactile sensation with a high rate to match the skin sensitive bandwidth. The
stroke intensity provided by the actuator is determined by skin stiffness: 1mN is the minimal force
that can be detected by the human skin. However, all of the actuator specifications depend on the
applications they are designed for: for example, if it is dedicated to display shapes or symbols, high
displacements (indentation) that can sustain the pressure of user fingertips is the fundamental aim.
Actuators dedicated to texture display require high refresh rates and spatial density, while vibration
amplitude is less important.
In the following sections, an overview of recent developments about tactile actuators will be
presented, discussing different types of technological solutions.

16.3.1 Piezoelectric Actuators


The converse piezoelectric effect is one of the possible mechanisms to be exploited for tactile actua-
tors. Since the strains obtained by applying a voltage to a piezoelectric material are quite low, to
maximize their displacements, piezoelectric devices have to work at a resonance frequency, to the
detriment of tactile stimulus that can only be dynamic.
The mechanical deformations of a piezoelectric structure are usually generated by an input oscil-
lating voltage to basic structures consisting of a piezoelectric film sandwiched between two metal
electrodes, as in the case proposed by Poupyrev etal. [41]. The multilayer electrode/ceramic/elec-
trode is a cantilever blocked at two edges, named bending motor. The piezoceramic film can be
contracted or expanded, depending on the polarity of the applied voltage. The alternating shrink-
ing and expansion cause a vibration that is transmitted to the touch panel of the device. One of the
drawbacks of this technology is the high voltage required to bend the piezoelectric film (from tens
to thousands of volts). A reduction in actuating voltage can be achieved through bimorph configura-
tion that amplifies displacement by the simultaneous expansion of the piezoelectric top layer and
contraction of the bottom layer as shown in Figure 16.21.
Case (a) in Figure 16.21 shows a bimorph cantilever in serially connected structure that exhibits
a displacement D and a force F as follows:

V 4w
D = 4l 2 d31 , F = tV (16.10)
t 3g31

where l, t, and w are the beam dimensions, d31 and g31 are the piezoelectric constants of the two
piezoelectric layers, and V is the applied voltage. This configuration reduces the technology effort
because it does not require contact with the central electrode, even if the electric field is half the
intensity of case (b) (parallel connected structure).
Higher displacements can also be achieved by decreasing the thickness of the piezoelectric film,
with the same input voltage. The generated force will be lower but it can be compensated for by
a multiple layer structure. This type of actuation has been optimized for direct integration in a
TouchEngine display.
Compared with other actuating mechanisms, the strokes provided by piezoelectric technology
are usually quite low. Wagner et al. [42] propose a 3D helical piezoceramic bender with appli-
cations such as loudspeaker. PZT multilayer helimorph structures have been fabricated to lower
the required voltage and increase the resulting displacement: deformations up to 500m can be
achieved with an applied voltage of 100V and blocking forces of 1N. By integrating this new type
of transducer in mobile devices, various tactile sensations can be reproduced such as clicking of a
button, surfing of menus, and exploration of a rough surface.
Advanced MEMS Technologies for Tactile Sensing and Actuation 371

(a)

1/2E P

1/2E P

(b)

E P

E P

FIGURE 16.21 Bimorph piezoelectric cantilever with (a) serial and (b) parallel connected structure.

Actuator guide 1.8 mm

1.8 mm
1.8 mm Tactors

Electric Actuators
connector

Actuator
socket

FIGURE 16.22 Design of the interactive mouse system. (Reproduced from K.-K. Kyung etal., Int. J. Human-
Comp. Inter. 20(3), 2006, 247270.)

Kyung etal. [43] realize an interactive mouse system based on tactors (tactile actuators) actuated
by an array of piezoelectric bimorph beams as illustrated in Figure 16.22. The array is composed
of 30 actuators driven by 150V DC bias, reaching a maximum deflection of 700m and blocking
forces of 0.06N. This system can transmit to human finger texture feedback capabilities, including
patterns, gratings, and roughness. Piezoelectric actuators are very compact and lightweight, fast
responding, rigid, and precise, but they exhibit small displacements compared with other actuating
technologies (typically 0.1% strain).

16.3.2Electroactive Polymer Actuators


Among the emerging technologies for tactile actuation, EAP are very promising, which are soft
materials that can change their shape when subjected to an electric field. Their flexibility and
372 MEMS: Fundamental Technology and Applications

softness makes EAP well suited to express delicate tactile feel and qualitative information. The
most significant examples of EAP are IPMC and dielectric elastomers (DE). IPMC has been studied
by Konyo etal. [44] for application into soft tactile actuators. IPMC is not compatible with mobile
devices as it is a gel that requires wet environments and high powers to be actuated.
The polymer is sandwiched between two compliant electrodes and the application of an electric
field determines the migration of hydrated cations toward the negative electrode, while the nega-
tive ions are fixed to the polymer matrix. As the cations are surrounded by water molecules, their
migration causes an accumulation of water in the cathode region, generating a hydrophilic expan-
sion, while the stresses inside the matrix cause bending toward the anode. The voltages required for
actuation are quite low (~5V), but if they are higher than the electrolysis voltage, a degradation of
the material is caused.
DEs do not present intrinsic electroactive properties, but when a voltage is applied to a thin
layer of an elastomer sandwiched between two compliant electrodes, the attraction between the
electrodes results in a significant strain. A stress acts on the layer (Maxwell stress), stretching the
lateral direction and compressing the thickness. This actuation mechanism requires very high volt-
ages (thousands of volts), thus increasing the cost of the device for the usage of voltage amplifiers
and introducing safety issues. Stacked layers, often suited to obtain large displacements, have been
developed by Matysek etal. [45] to realize arrays of PDMS actuators at a high density within one
substrate for applications such as tactile display (Figure 16.23). The DE actuator can be driven stati-
cally or dynamically with a maximum frequency of 250Hz, applying voltages up to 1kV with a
range of stress in the elastomer of 2.343.4kPa.
The versatility of electromechanical actuators based on DEs has been studied and reported by
Carpi et al. [46], where the above-discussed working principle has been hydrostatically imple-
mented. An incompressible fluid between a DE active part and a passive part interfaced to the
load acts as a mechanical transmitter of the displacement, realizing a hydrostatically coupled DE
(HCDE), as shown in Figure 16.24.
When an external voltage is applied, the active membrane buckles outward, while the pas-
sive membrane follows inward, due to hydrostatic transmission. In this configuration, the active
membrane is not contacted by the user finger and the device is safe from an electrical viewpoint.
In addition, an electrically insulating fluid can be used to reduce electrical hazards further. The
dynamic behavior of the actuator has been tested in the range 02.25kV, sweeping the frequency
between 0.14 and 1700Hz. The actuator is found to show a resonance frequency of 250Hz: this
value (corresponding to the maximum sensitivity of the fingertip fast cell, as reported in Table 16.1)
makes the actuator useful for several applications, such as tactile display or cutaneous stimulator.

(a) (b)

1 mm

FIGURE 16.23 (a) Schematic view of the stack actuator. (b) Image of the fabricated array. (Reproduced
from M. Matysek etal., 3rd J. Euroh. Conf. Symp. Hapt. Interf. Virt. Env. Teleop. Syst. 2009, pp. 290295,
Salt Lake City, UT, USA.)
Advanced MEMS Technologies for Tactile Sensing and Actuation 373

(a)
Passive membrane (b)

Fluid chamber

Gluing layer

Active membrane

Protective chamber

FIGURE 16.24 (a) Schematic structure of the HCDE actuator. (b) Picture of the prototyped array.
(Reproduced from F. Carpi etal., Polym. Int. 59(3), 2009, 407414.)

FIGURE 16.25 Illustration of high flexibility of the proposed tactile actuator. (Reproduced from I. M. Koo
etal., IEEE Trans. Robot 24(3), 2008, 549558.)

In some cases, the rigidity of tactile actuators can limit their applications. For this reason, the
development of new devices based on soft technologies and materials is continuously under study.
Koo etal. [47] realize a novel wearable tactile display, with excellent adaptability to any contour of
the human body (Figure 16.25).
Both static and dynamic actuation have been demonstrated by Koo and coworkers: in the first
case, a voltage range of up to 3.5kV has been explored at a constant frequency of 0.1Hz, measur-
ing a maximum displacement of 471m (corresponding to a force of about 14mN); in the dynamic
mode, an input voltage of 2.5kV is needed to obtain a frequency response in the range 0100Hz.

16.3.3Shape Memory Alloy Actuators


EAP are very attractive for their flexibility and wearability, while shape memory alloys (SMAs) are
very interesting for applications where large displacements are required. SMAs are metals charac-
terized by the shape memory effect: the original shape of these materials can be easily deformed
374 MEMS: Fundamental Technology and Applications

Plate 1
(contact
surface)
Plate 2

Metallic Taxel
joint

Plate 3

Ground

FIGURE 16.26 Structure of the SMA-based actuator for Braille tactile display. (Reproduced from
R.Velzquez etal., Proc. 2006 IEEE Int. Conf. Rob. Autom. 2006, pp. 39053910, Orlando, FL, USA.)

by cooling them below a peculiar temperature Tp. If the sample is heated again over Tp, the original
shape can be recovered. The advantages of this type of material include the f ollowing: a significant
amount of displacement is provided with an extremely small volume and these materials have good
versatility: SMAs can be actuated thermally or electrically. In addition, they do not produce noise,
while the actuation is performed just by contraction/expansion and not with moving parts.
The shape memory effect can also be employed to produce a vibrating structure through an oscil-
lating input signal, by Mizukami etal. [48]. The proposed device consists of a muscle wire actuator,
electrically driven by periodic signals. The system is able to reproduce different touch sensations,
as apparent movement of tactility and phantom sensation. The actuator properties such as shape,
working frequency (1300Hz), and power consumption (20mW) can be tuned by proper design,
and devices can be arranged in a 33 array for mobile handheld device dedicated to handicapped
and elderly people.
More efforts are required to produce in realizing portable devices to help visually impaired
people in accessing visual information by means of tactile stimuli. To provide these instructions, the
system must reproduce the surrounding environment with high refreshable rates and high fidelity, as
well as with compactness and lightweight. A prototype of SMA actuator has been fabricated to this
aim by Velzquez etal. [49], which consists of 88 tactile pins, with a spatial resolution of 2.6mm,
1mm of vertical excursion, and only 200g weight (Figure 16.26).
Each taxel is a NiTi SMA helical spring wound around a thermoelectrically insulated metallic
pin. The taxels are grounded at the middle, so that the upper and the lower halves can be actuated
independently. Metallic joints have a triple role: they fasten the SMA spring to the plate; guide the
pin movement, reduce friction; and electrically connect the pin to the electronic drive. The system
can develop a 320mN pull force with a bandwidth of 1.5Hz.

16.3.4 Magnetic Actuators


Even if the most common approaches for tactile actuators are electrostatic or piezoelectric, there is
a growing interest in magnetic microactuators due to the large magnetic forces generated, the large
Advanced MEMS Technologies for Tactile Sensing and Actuation 375

bandwidth, and the lack of physical or electrical connections to the moving part. For MEMS appli-
cations, small size of magnetic actuators is required to improve miniaturization and integration for
portable devices.
A solution to realize a device compatible with millimeter size has been proposed by Talbi etal.
[50]. A vibrotactile electromagnetic actuator is developed, based on an array of 44 polysilicon
cantilever beams with integrated permanent magnets bonded on top. Sixteen microcoils are placed
beneath the beam free ends (Figure 16.27). The direction of the magnetic field produced by the coils
is parallel to the magnetization of the permanent magnets; therefore, an attractive/repulsive force
can be produced depending on the direction of input current.
Static and dynamic behaviors of the device have been studied, obtaining, respectively, a beam
deflection of 12m (corresponding to a force of 1.2mN, with 200mA of input current) and 450m
at first mode of resonance frequency of 152Hz (for a driving current of 30mA).
In tactile devices, usually the complexity of the assembling process is related to the number of
pixels: the larger the array dimension, the more complex the system and the circuitry. A simple and
inexpensive assembly process is a key point to realize successful devices. This strategy has been
used by Benali-Khoudja etal. [51], developing an electromagnetic tactile display with a multilayer
approach (VITAL vibro-tactile display). The first layer is a PCB with microcoils to generate the
magnetic field. The upper layer consists of flexible membranes that will be in direct contact with
the user fingertips. A NdFeB permanent magnet is fixed below each membrane. The intermediate
layer acts only as a separating layer: its thickness can be designed to guarantee the optimal distance
between microcoils and magnets. A first prototype has been realized with an 88 microactuator
array, having spacing between pins of 5mm. The maximum static force delivered by each actuator
is 13mN, with a current signal of 0.5A and a pin deflection of 100m. The frequency operat-
ing range extends up to 800Hz, with a resonance frequency of 270Hz. Power consumption is
kept sufficiently low (about 400mW). To increase the spatial resolution and the indentation ampli-
tude, a second prototype (VITAL2) has been proposed, to reduce the magnetic interference due to
decreased spacing between adjacent microactuators: the position of magnets and coils is alternated
through the transmission cylinders, as illustrated in Figure 16.28.
The flexible membrane is replaced by simple pins, sliding in the cylindrical guiding element: in
this way, the choice of membrane material can be avoided. The spacing of the pins can be reduced
up to 3mm, reaching overall dimensions of 303020mm3. Finally, a third alternative design is
proposed (VITAL3), based on PCB multilayer technology. This type of solution makes the device
well adapted for mass production and interfaced with an external hardware. Each actuator can
deliver up to 8mN of force output with 0.3A of current intensity, with a frequency bandwidth of
320Hz (resonant peak at 230Hz).
An exhaustive study about the optimization of the design for electromagnetic MEMS microactuator
has been conducted by Streque etal. [52]. Various configurations of magnetic actuation are explored
using different coil geometries and relative positions between solenoid (with or without a ferromagnetic

Permanent magnets

Coils
Cantilevers

Electrical contacts

FIGURE 16.27 Scheme of the vibrotactile electromagnetic actuator. (Reproduced from A. Talbi etal., J.
Phys., Conf. Series 34, 2006, 637642.)
376 MEMS: Fundamental Technology and Applications

Pin

Magnet

Transmission Coil
cylinder

FIGURE 16.28 VITAL2: Second version of the VITAL actuator. (Reproduced from M. Benali-Khoudja
etal., Displays 28(3), 2007, 133144.)

core inside) and permanent magnet, to compare their efficiency. In the optimal configuration, a maxi-
mum magnetic force of 12.7mN is generated with 800mA and a power consumption of 100mA for
each actuator. A tactile microactuator array is finally developed, providing vibratory stimuli on the
frequency bandwidth 0300Hz. For a Root Mean Square (RMS) current of 800mA, vibration ampli-
tudes can reach 50m for 2.5N forces. The standard power consumption for the whole device is 1.6W
(100mW per 16 actuators) and 400mW for twice-lower deflections. The capability to reproduce touch
sensation is confirmed by physiological tests, making this system ready for integration in haptic devices.
In the current magnetic-based technologies, bulky magnets need to be stuck to a flexible mem-
brane or a vibrating structure, as seen in the previous works. This represents a limit for device min-
iaturization. A very promising alternative is proposed by Athanassiou etal. [53]: a PDMS membrane
is filled with magnetic nanoparticles (NPs), acquiring magnetic properties. The resulting membrane
can be deflected by external magnetic field provided by microcoils, ensuring big displacements
(Figure 16.29). The deflection can be addressed depending on the magnetic nature of NPs and cur-
rent intensity direction.
Preliminary studies on these magnetic nanocomposite membranes are presented by Nanni etal.
[54]. A new approach is reported to fabricate suspended PDMS membrane, with a mass fraction of

Spacer
Coil
Pure PDMS
Silicon substrate
Magnetic PDMS
Composite

(b)
(a)

FIGURE 16.29 Magnetic PDMS membrane in (a) rest position (no magnetic field) and (b) actuated mode
(with magnetic field).
Advanced MEMS Technologies for Tactile Sensing and Actuation 377

20% of carbon-coated Fe nanoparticles. The mechanical properties of PDMS are not affected by
the presence of magnetic nanofillers, and elasticity and flexibility of the membrane are preserved.
Free-standing membranes with diameters ranging between 6 and 10mm are fabricated and actu-
ated by a magnetic field of about 480mT, with obtained deflections in the range 95250m, based
on the diameter of the membrane. The introduction of magnetic NPs into polymers to generate
magnetization allows overcoming of the issues related to magnetic materials integration and satis-
fies flexibility expectations from the market.

16.4CONCLUSIONS
Emulation of human sense of touch, on the one hand, and accurate reproduction of haptic feedback,
on the other hand, represent two of the most attractive technological challenges in robotics. The
design and development of a tactile system both for sensors and for actuators is dictated first of all
by human tactile perception and thereafter by application-driven requirements. MEMS technology
is the most suitable for this class of systems: it can exploit various transduction mechanisms, device
architectures, categories of material and fabrication processes, integrating sensing/actuating ele-
ments, and electronic components on the same chip.

Spatial Noise/
Sensor type Sensitivity Flexibility Advantages Drawbacks
resolution cross-talking
High
-Large area Parasistic
Capacitive High High (adjacent sensors/ -3D force sensing capacitance
electromagnetic)
High -Low cost
Strain gauges High Very high -Easy integration Fragility
(temperature) -Soft embedding

High Simple -Outputdrift


Piezoresistive Quite high High -Nonlinear
(temperature) electronics response
-Dynamic mode -Output drift
Piezoelectric High Low Quite low -Robust -Not static
-Low weight mode
Tunable
Conductive polymer Low Low Quite low Hysteresis
response range
-LEDs: source Signal
High sensitive to
Optical Quite high Low and detector
(LEDs) bending/
-Low cost misalignment
-High power
-Robust
Magneto-inductive High Low High -3D force sensing
consumption
-Bulky

Voltage Force
Actuator type Displacement Flexibility Advantages Drawbacks
required generated
-Compact, -Displacement
Low
Piezoresistive Low Quite high lightweight 0.1% of strain
(multilayer) -Fast responsive -Thermal sensitive
-Multilayer actuators
EAP Quite high Very high Low Low bandwidth
-Low cost
-No hysteresis -Thermal sensitive
-Limited strain
SMA High Low High -Low weight
-Limited cycle
-Fast refresh life-time
-Fast integration -Electromagnetic
-No wiring moving part interference
Magnetic High Quite low High -Contactless remote -Limited down-
actuation scaling

FIGURE 16.30 Comparison of reviewed technology performances for tactile sensors/actuators.


378 MEMS: Fundamental Technology and Applications

In the first part of this chapter, a short discussion about the tactile mechanism in human skin
was presented, to fix some guidelines on tactile systems design. Subsequently, an overview
of the state of the art on tactile sensors and actuators has been presented, comparing various
possible technologies, their working principles, and their performances. This comparison is
summarized in terms of the most important technological parameters (Figure 16.30).
The variety of technology applied to tactile systems is huge and it ranges from more standard
mechanisms of transduction, such as capacitive or piezoresistive, to novel approaches greatly devel-
oped thanks to the recent studies on new classes of materials. Particular attention is being focused
on polymers with unique electromechanical properties and on nanostructured material, properly
functionalized and designed to act as sensing or actuating elements. As shown in Figure 16.30, it
does not exist as a single technology that can fulfill all of the requirements. Each presented solution
is developed to solve specific problems and applications. Figure 16.30 can be used to choose the
most appropriate sensing or actuating technique for a particular application. The reported advan-
tages and disadvantages concern not only transduction performances, but also practical technologi-
cal aspects such as integration, packaging, safety, and cost. New strategies to realize tactile systems
could involve innovative class of materials and hybrid solutions, coupling different mechanisms to
obtain higher sensing and actuating capabilities.

REFERENCES
1. J. Dargahi et al., Human tactile perception as a standard for artificial tactile sensingA review, Int.
J.Med. Robot. 1(1), 2004, 2335.
2. R.S. Dahiya etal., Tactile sensing: From humans to humanoids, IEEE Trans. Robot. 26(1), 2010, 120.
3. R.S. Dahiya etal., Tactile sensing: From humans to humanoids, IEEE Trans. Robot (unpublished) 2008.
4. http://what-when-how.com/neuroscience/somatosensory-system-part-1/
5. N. Sato etal., Novel surface structure and its fabrication process for MEMS fingerprint sensor,IEEE
Trans. Electron Devices 52(5), 2005, 10261032.
6. E. Pritchard etal., Flexible capacitive sensors for high resolution pressure measurement, 7th Conf. Sens.
2008, Lecce, Italy, pp. 14841487.
7. Y. Hasegawa etal., Fabrication of a wearable fabric tactile sensor produced by artificial hollow fiber,
J.Micromech. Microeng. 18(8), 085014, 2008 (8 pp.).
8. H.K. Lee et al., Normal and shear force measurement using a flexible polymer tactile sensor with
embedded multiple capacitors, J. Microelectromech. Syst. 17(4), 2008, 934942.
9. H.K. Lee etal., Dual-mode capacitive proximity sensor for robot application: implementation of tactile
and proximity sensing capability on a single polymer platform using shared electrodes, IEEE Sens. J. 9(12),
2009, 17481755.
10. A. Qualtieri etal., Stress-driven AlN cantilever-based flow sensor for fish lateral line system, Microel.
Eng. 88(8), 2011, 23762378.
11. A. Qualtieri etal., Parylene-coated bioinspired artificial hair cell for liquid flow sensing, Microelectron.
Eng. 98, 2012, 516519.
12. J. Engel etal., Development of polyimide flexible tactile sensor skin, J. Micromech. Microeng. 13(3), 2003,
359366.
13. M. Sohgawa etal., Tactile array sensor with inclined chromium/silicon piezoresistive cantilevers embedded
in elastomer, Proc. Int. Conf. Solid-State Sens. Actuat. Microsys. 2009, Denver, CO, USA, pp. 284287.
14. K.Noda etal., MEMS on robot applications, Proc. Int. Conf. Solid-State Sens. Actuat. Microsys. 2009,
Denver, CO, USA, pp. 21762181.
15. K. Hosoda et al., Anthropomorphic robotic soft fingertip with randomly distributed receptors, Robot.
Auton. Syst. 54(2), 2006, 104109.
16. R.S. Dahiya etal., Tactile sensing arrays for humanoid robots, Proc. 3rd Conf. PhD Res. Microelectr.
Electr. 2007, Talence, France, pp. 201204.
17. R.S. Dahiya etal., Bio inspired tactile sensing arrays, Proc. SPIE 7365, Bioengineered and Bioinspired
Systems IV, 73650D, 2009, pp. 19.
18. T. Nara etal., Surface acoustic wave (SAW) tactile display based on properties of mechanoreceptors,
IEEE Proc. Virt. Real. 2001, pp. 1320.
Advanced MEMS Technologies for Tactile Sensing and Actuation 379

19. I. Ingrosso etal., Fabrication of AlN/Si SAW delay lines with very low RF signal noise, Microel. Eng.
84(58), 2007, 13201324.
20. S. Petroni et al., GaN-based surface acoustic waves filters for wireless communications, Superlat.
Microstr. 36(46), 2004, 825831.
21. S. Petroni etal., Noise reduction in GaN-based radio frequency surface acoustic wave filters, Appl. Phys.
Lett. 85(6), 2004, 10391041.
22. C. Combi etal., Surface acoustic wave pressure sensor, US7343805, 2006.
23. M. Akiyama etal., Preparation of oriented aluminum nitride thin films on polyimide films and piezo-
electric response with high thermal stability and flexibility, Adv. Func. Mater. 17(3), 2008, 458462.
24. S. Petroni etal., Flexible piezoelectric cantilevers fabricated on polyimide substrate, Microel. Eng. 98,
2012, 603606.
25. S. Petroni etal., Aluminum nitride piezo-MEMS on polyimide flexible substrate, Microel. Eng. 88(8),
2011, 23722375.
26. J. Wang et al., Bioinspired design of tactile sensors based on flemion, J. Appl. Phys. 105(8), 2009,
8351583517.
27. H. Alirezarei et al., A tactile distribution sensor which enables stable measurement under high and
dynamic stretch, Proc. IEEE Symp. 3D User Interf. (3DUI) 2009, Lafayette, LA, USA, pp. 8793.
28. T. Someya etal., A large-area, flexible pressure sensor matrix with organic field-effect transistors for
artificial skin applications, Proc. Natl. Acad. Sci. USA 101(27), 2004, 99669970.
29. J. K.W. Sandler etal., Ultra-low electrical percolation threshold in carbon-nanotube-epoxy composites,
Polymer 44, 2003, pp. 58935899.
30. L.C. Tsao etal., Fabrication and characterization of electro-active polymer for flexible tactile sensing
array, Key Eng. Mater. 381382, 2008, 391394.
31. M.Y. Cheng etal., A novel highly-twistable tactile sensing array using extendable spiral electrodes, Proc.
IEEE 22nd Int. Conf. MEMS 2009, Sorrento, Italy, pp. 9295.
32. K. Nishikawa et al., Development of Carbon Microcoils (CMC) sensor system with high sensitivity
for effective acquisition of tactile information, Proc. IEEE/RSJ Int. Conf. Intell. Robots Syst. 2005,
Edmonton, AB, Canada, pp. 20612066.
33. J.-S. Heo etal., Tactile sensor arrays using fiber bragg grating sensors, Sens. Actuat. A 126(2), 2006, 312327.
34. J.-S. Heo etal., Tactile sensors using the distributed optical fiber sensors, Proc. 3rd Int. Conf. Sens. Tech.
(ICST) 2008, Tainan, Taiwan, pp. 486490.
35. J. Rossiter etal., A novel tactile sensor using a matrix of LEDs operating in both photoemitter and pho-
todetector modes, Proc. IEEE 4th Int. Conf. Sens. 2005, Irvine, CA, USA, vol. 52, pp. 960968.
36. K. Hoshino etal., Three-dimensional tactile sensor with thin and soft elastic body, Proc. IEEE Work.
ARSO 2008, Taipei, Taiwan, pp. 16.
37. Y. Hasegawa etal., An active tactile sensor for detecting mechanical characteristics of contacted objects,
J. Micromech. Microeng. 16(8), 2006, 16251632.
38. S. Takenawa, A magnetic type tactile sensor using a two-dimensional array of inductors, Proc. IEEE
Robot. Autom. 2009, Kobe, Japan, pp. 32953300.
39. M. Goka etal., A magnetic type tactile sensor by GMR elements and inductors, Proc. IEEE/RSJ Int.
Conf. Intell. Robots Syst. 2010, Taipei, Taiwan, pp. 885890.
40. K. Shimazawa, Tactile sensor utilizing microcoils with spiral shape, US20090045820, 2009.
41. I. Poupyrev etal., Ambient touch: Designing tactile interfaces for handheld devices, Proc. ACM Symp.
User Interf. Soft. Techn. 2002, Paris, France, pp. 5160.
42. M. Wagner etal., Novel low voltage piezoactuators for high displacements, J. Electroceram. 14(3), 2005,
231238.
43. K.-K. Kyung etal., A novel interactive mouse system for holistic haptic display in a human-computer
interface, Int. J. Human-Comp. Inter. 20(3), 2006, 247270.
44. M. Konyo etal., Wearable haptic interface using ICPF actuators for tactile feel display in response to
hand movements, J. Robot. Mechatr. 15(2), 2003, 219226.
45. M. Matysek etal., Dielectric elastomer actuators for tactile displays, 3rd J. Euroh. Conf. Symp. Hapt.
Interf. Virt. Env. Teleoper. Syst. 2009, Salt Lake City, UT, USA, pp. 290295.
46. F. Carpi et al., Millimetre-scale bubble-like dielectric elastomer actuators, Polym. Int. 59(3), 2009,
407414.
47. I. M. Koo etal., Development of soft-actuator-based wearable tactile display, IEEE Trans. Robot 24(3),
2008, 549558.
48. Y. Mizukami etal., Tactile information transmission by apparent movement phenomenon using shape-mem-
ory alloy device, Proc. Int. Conf. Disab. Virt. Real. & Assoc. Techn. 2006, Esbjerg, Denmark, pp. 133140.
380 MEMS: Fundamental Technology and Applications

49. R. Velzquez etal., A compact tactile display for the blind with shape memory alloys, Proc. 2006 IEEE
Int. Conf. Rob. Autom. 2006, Orlando, FL, USA, pp. 39053910.
50. A. Talbi etal., Vibrotactile using micromachined electromagnetic actuators array, J. Phys.: Conf. Series
34, 2006, pp. 637642.
51. M. Benali-Khoudja etal., VITAL: An electromagnetic integrated tactile display, Displays 28(3), 2007,
133144.
52. J. Streque etal., New magnetic microactuator design based on PDMS elastomer and MEMS technologies
for tactile display, IEEE Trans. Hapt. 3(2), 2010, 8897.
53. A. Athanassiou etal., A magnetic actuator having a nanocomposite membrane, WO/2012/143887, 2012.
54. G. Nanni etal., Microfabrication of magnetically actuated PDMSiron composite membranes, Microel.
Eng. 98, 2012, 607609.
17 MEMS-Based Micro
Hot-Plate Devices
Jrgen Hildenbrand, Andreas Greiner, and Jan G. Korvink

CONTENTS
17.1 State of the Art...................................................................................................................... 382
17.2 Design Process for Micro Hot Plates..................................................................................... 383
17.2.1 Thermal Energy Transfer in Micro Hot Plates.......................................................... 383
17.2.1.1 Thermal Conduction................................................................................... 384
17.2.1.2 Convection.................................................................................................. 384
17.2.1.3 Thermal Radiation...................................................................................... 385
17.2.2 Hot-Plate Design........................................................................................................ 386
17.2.3 Heater and Temperature Sensor Layout.................................................................... 388
17.2.3.1 Material Considerations.............................................................................. 388
17.2.3.2 Heater and Temperature Sensor Design..................................................... 388
17.2.4 FEM Analysis of Micro Hot Plates........................................................................... 389
17.3 Fabrication............................................................................................................................. 391
17.4 Characterization of Micro Hot Plates.................................................................................... 393
17.4.1 Static Electric Investigations..................................................................................... 393
17.4.2 Transient Investigations............................................................................................. 394
17.4.3 Further Recommended Investigations....................................................................... 395
17.5 Micro Hot Plates for Metal Oxide-Based Gas Sensors......................................................... 395
17.6 Micro Hot Plates for Thermal Emitters................................................................................. 397
Acknowledgments........................................................................................................................... 398
References....................................................................................................................................... 398

Microelectromechanical system (MEMS)-based devices with a thermally decoupled region are in


wide use. Radiation detectors based on a temperature change due to absorbed light are a typical
example for a device showing a low temperature change. For these kinds of micro hot plates, the
focus is restricted to the thermal decoupling and/or the reduction of the heated thermal mass.
Devices with an integrated heater elementmicro hot platesform another family of these
MEMS devices. Typically, the required temperatures are in the order of several hundreds of degree
Celsius. The need for the micro hot-plate approach for sensor or actuator integration can have dif-
ferent motivations. Low power consumption and fast transient operation are the crucial reasons in
most applications, but directmonolithic or hybridintegration with additional electronic com-
ponents, or the advantage of minimization and reduction of fabrication costs, can influence the
decision for micro hot plates.
Besides the thermal decoupling of the hot-plate region, the mechanical stability of the devices
themselves and the stability of the functional structure commonly deposited onto the hot-plate plat-
form are the challenging aspects of development and fabrication. Two important micro hot-plate
devices, which strongly influenced the development in this field, are metal oxide gas sensors and
thermal emitters for infrared gas spectrometers. The micro hot-plate variants of these types are quite
similar, but the infrared emitter has a typical operation temperature range of 600800C, and more

381
382 MEMS: Fundamental Technology and Applications

would be better, where for metal oxide-based gas sensors, temperatures of less than 400C are suf-
ficient for most applications.

17.1 STATE OF THE ART


Since the late 1980s, micro hot plates have been investigated as substrates for metal oxide gas sen-
sors. These devices are typically operated at 350400C. At the beginning, closed membranes were
realized. Sometimes a heat spreader was integrated beneath the functional materials and structures
[18]. Later, suspended membranes* were fabricated [814].
Considering thermal emitters, the developing course seems similar. Up to now, there are some
micro hot-plate thermal emitters commercially available. These are based on a closed-membrane
design. However, a suspended-membrane micro hot plate for use as a thermal emitter in miniatur-
ized gas sensor systems was reported in Ref. [15]. Typically, the suspended-membrane micro hot
plates are based on a silicon-on-insulator (SOI) substrate.
Scitec Instruments Ltd. offers thermal emitters for fast modulation. These emitters can be con-
sidered as a lateral thin-film filament emitter. The IR-50 [16] thermal emitter is based on a very
low thermal mass diamond-like carbon thin-film element. The filament suspension looks like a
closed-membrane micro hot plate. Details about the fabrication itself and the use of MEMS tech-
nologies are not known. Closed-membrane-based micro hot-plate emitters are provided by Intex
and Axetris. The key component of the Intex emitter MIRL17-900 is a 2-m-thin amorphous
carbon nanocomposite that forms a closed membrane together with the SiO2 and Si3N4 layers. The
low thermal mass leads to a good modulation behavior [17]. The Axetris emitter IRsourcealso
a closed-membrane typeachieves blackbody-like emission characteristics with a black plati-
num layer. The maximum temperature is restricted to 450C [18,19]. This emitter is well suited
for implementation in a spectroscopic system in the spectral range from 4 to 6 m. Owing to the
low operation temperature, the optical power is often not sufficient at wavelengths greater than
6m. A higher thermal emission in the fingerprint region is desired. Besides these commercially
available emitters, several research groups are working on this topic. Here, it seems that the trend
goes toward structured membranes, so that only some suspension bars connect the hot plate with
the frame. Figure 17.1 shows the main difference between the closed-membrane concept and the
suspension bar concept.

Hot-plate suspension: Hot-plate suspension:


Heater
closed membrane small bars

Micro hot plate Silicon frame Micro hot plate

(a) (b)

FIGURE 17.1 Two different concepts for micro hot plates. (a) The closed membrane concept typically uses a
dielectric suspension layer for the hot-plate suspension. (b) The suspension bar concept is an improvement of
the closed membrane concept. The suspension bars are typically fabricated of silicon.

* Membranes structured in the area between the active region and the rim.
MEMS-Based Micro Hot-Plate Devices 383

Spannhake et al. [20,21] presented interesting investigations on new high-temperature real-


izations of micro hot plates. Approaches of a direct heating of the SOI hot plate using the thin
silicon layer itself as a heater as well as the deposition of an antimony-doped tin oxide heater ele-
ment onto the micro hot plate were investigated. Temperatures up to 1000C were achieved. This
approach is a possibility for increasing the operating temperatures of such emitters. Probably,
the reproducibility of the electrical resistance and its sensitivity to ambient oxygen concentration
and temperature coefficients are two disadvantages in contrast to platinum heaters. Further, the
electrical resistance of tin oxide is also sensitive to oxygen coverage at its surface like a metal
oxide gas sensor.

17.2 DESIGN PROCESS FOR MICRO HOT PLATES


The design process for micro hot plates can be divided into a coarse and a fine design. In the
coarse design, the relations between the required hot plate temperature, the hot-plate size, and
the thermal resistance between the hot plate and the frame and the thermal resistance for a
membrane or suspension bar are determined. This can be done using a simple lumped element
model, which represents at least the thermal resistances between the hot plate and the frame and
the hot plate and the ambient by a rough estimation of conduction, convection, and radiation. If
the thermal decoupling between the hot plate and the frame is quite low, the thermal resistance
between the hot-plate frame and the mounting device or element, which probably has a constant
temperature, should be taken into account. For a rough estimation of the time transient opera-
tion characteristics, the thermal masses of the parts of the lumped element model can be added
to the model.
The fine design process deals with the optimization of the distribution of the temperature field.
For this purpose, simulation software based on the finite element method (FEM) is ideal to analyze
the problem. In most cases, a homogeneous temperature distribution on the hot plate is required, the
current density in the heater does not have to overcome a critical value (e.g., to avoid electromigra-
tion), and the stress caused by thermal expansion of the micro hot plate and the deposited structures
has to be kept low too.
In the following section, some basic information and design techniques for the development of
micro hot plates will be introduced.

17.2.1Thermal Energy Transfer in Micro Hot Plates


The design of the heater element, the mounting in the housing, or, in the case of micro hot-plate
devices, the substrate design itself have to be developed considering the heat transfer from the
heated region to the ambient region. Heat transfer is the transition of thermal energy. It is a com-
pensation process from an item with temperature T>0 K to one or more cooler items. The heat
transfer is based on three processes: thermal conduction, convection, and thermal radiation. The
time derivative of the total heat quantity Qtot also the total power consumptionis given by the
sum of the time derivatives of the corresponding heat quantities Qcond, Qconv, and Qrad:

Q tot = Q cond + Q conv + Q rad


The consideration of the overall power consumption is necessary for the dimensioning of the
heater. In general, the aim is to reduce the thermal conduction between the heated region and the
environment to reduce the power consumption of the devices. For pulsed emitters, it can be advan-
tageous to increase the thermal coupling to improve their time constants in the cooling process
compared with a design, which is tuned for minimal power consumption.
384 MEMS: Fundamental Technology and Applications

17.2.1.1 Thermal Conduction


Thermal conduction is the transport of thermal energy in matter by electron diffusion or phonon
vibrations. The basic equation for heat flux qheat, which describes the static heat energy flow for a
given specific thermal conductivity and the temperature profile T, is defined by Fouriers law:

qheat = k grad T

For transient analysis, the specific thermal capacity cth has to be introduced. This leads to the
heat conduction equation

qgen = cth rm T div(k grad T )


where m is the mass density and qgen is the heat generation rate per unit volume. For good ther-
mal decoupling of the heated region, it is necessary to use items with low thermal conductivity.
The thermal conductivity is determined by a combination of the specific thermal conductivity and
its geometry. In most cases, the main heat sink is given by the socket. Good thermal decoupling
is reached using suspended approaches for the heated regions. In that case, the suspension ele-
ments require a high mechanical stability. Higher specific thermal conductivities are tolerable if the
mechanical properties allow smaller cross-sections.
If the optimization of the transient characteristics of such suspended devices is required, the
minimization of the thermal mass of the heated region is the main strategy. Additionally, it is pos-
sible to improve the time constantsgiven by the product of the thermal resistance and the thermal
massusing numerical optimization algorithms for a defined operation point (e.g., modulation fre-
quency and temperature hub).

17.2.1.2Convection
In case of convection, the heat energy is transported by particle flow. This implies that convection
only occurs in fluids or gases. There are two kinds of convection: natural convection and forced con-
vection. Natural convection means that the reason for particle flow is a temperature gradient only.
Forced convection implies another source of particle flow, for example, a ventilator.
The natural convection is the dominant convection type in thermal emitters because the emitters
are typically mounted in housings and protected from the ambient flow field. Thermal flow resulting
from natural convection can be estimated by the heat transfer coefficient trans and a temperature
difference between two different materials. T1 is the temperature of material 1 and T2 is the tem-
perature of material 2.

qconv=trans (T2T1)

For an estimation, trans can be considered as a constant (i.e., trans=max(trans(T)). The forced
convection is given by

qconv = a trans (T2 T1 ) qm


where qm is the mass flow rate of the forcing medium. The heat transfer coefficient results from a
linearization of the convection at a specific operation point (temperature, temperature difference,
mass flow rate, etc.) and is only valid in a limited region around this operation point.
The thermal energy loss caused by convection can be minimized using a sealed housing (e.g.,
sealed with a BaF2 window at the top for thermal emitters). Then, the free convection process is
limited to the housing inside. The heat flow from the inner surface to the outer surface of the hous-
ing is a heat conduction process.
MEMS-Based Micro Hot-Plate Devices 385

Minimization of convection by reducing the lateral surface area is counterproductive because the
desired high thermal radiation decreases with this surface area too. The influence of the sidewalls
is insignificant due to the relatively small surface areas.

17.2.1.3 Thermal Radiation


The thermal radiation of any matter can be referred to as blackbody radiation, which defines the
maximum possible thermal radiation emitted by matter depending on its temperature. According
to its name, the blackbody is an object, which absorbs any photon over the complete spectral region
(or in the region where it is defined as a blackbody). A practical example of a blackbody is a small
pinhole in a box with highly absorbent inner surfaces. The probability of an incoming photon of
hitting the pinhole again after many reflections is very low. On the other hand, a blackbody with a
uniform temperature T>0 K emits electromagnetic radiation according to Plancks distribution:

8phc 1
ubb (l, T ) =
l 5 e hc / kBlT 1

where is the wavelength, h the Planck constant, c the speed of light, and kB the Boltzmann
constant.
The spectral energy density u of an arbitrary thermal emitter corresponds to the thermal emis-
sion of a blackbody by its spectral emissivity factor to read

u=e(,T)ubb

The spectral emissivity of a blackbody is defined as =1 over the complete spectrum. Emitters
having the same relative spectral distribution but a lower energy density (<1 and constant) are
named gray emitters. For a worst-case estimation, the heat flow of a micro hot-plate device can be
estimated using an emissivity of 1.
Kirchhoffs law states that the absorptance of a body is equal to its emission ratio . This
implies that there is a steady energy transfer with the ambient objects at a temperature T>0 K.
Typically, micro hot plates are operated in an environment that is around room temperature. In
that case, it is sufficient to consider the energy transfer only from the micro hot plate to the ambi-
ent region and not vice versa. In special cases, like vacuum packaging sealed with caps, which can
reach a temperature higher than the ambient temperature, this may be taken into account.
In most cases, a rough estimation of the energy transfer caused by radiation is sufficient and
mostly detailed information of the spectral emissivity at different temperature is not available. In
this case, the energy transfer can be estimated with the StefanBoltzmann law to read

qrad=esSBT4

where SB is the StefanBoltzmann constant. The StefanBoltzmann constant is defined by

2p 5 kB4
s SB = = 5.670400 10 8 (J /s m 2 K 4 )
c2 h

The thermal resistor Rth and the thermal mass Cth required to make lumped models for rough
estimations in the beginning of new micro hot-plate types are used equivalent to its electrical coun-
terparts [10]. The temperature equals the voltage and the heat flux equals the electrical current.
For a rough estimation, it is sufficient to consider only the main heat flow path as a one-dimen-
sional problem. Like every numerical solution method, it is necessary to discretize the model. This
means that the geometric representation of the sensor has to be divided into i cuboids along the heat
flow path. The transformation of heat conduction equation leads to
386 MEMS: Fundamental Technology and Applications

T0 T1 T2 T3 T4
(b)

(a)
Rthi1 Rthi2 T4
Ti-1 Ti
T3
Cthi
T2

T1

T0

FIGURE 17.2 (a) Quadripole network consisting two thermal resistors and a thermal capacity. The arrange-
ment of the symmetrically placed resistors around the capacity results in mean temperature applied to the
thermal mass. (b) Micro hot-plate structure and the corresponding thermal circuit for quarter of the device.
For devices with extreme high thermal decoupling, the estimation of the convection and radiation also has to
be considered.

1
Cthi T T = hgen
Rthi

where hgen is the heat generation rate, Rthi the thermal resistance of the ith cuboid, and Cthi the ther-
mal mass of the ith cuboid.
A thermal quadripole shown in Figure 17.2a is one possibility for the representation of the
cuboid. For example, a suited representation for a suspended-type hot plate is a serial connection of
one quadripole for the hot plate, one for the suspension bars, one for the frame, and one for the bot-
tom side of the device to the ambient region (Figure 17.2b). The resistor Rthi=Rthi1+Rthi2 depends
on the area Ai of the cuboid cross-section, the length lix, and the specific resistivity i. Rthix and Cthix
can be calculated by

1 I ix
Rthix = ri and Cthi = Cthi mi
2 Ai

where cthi is the specific thermal capacity and mi the corresponding mass of the lumped element.
For a more complex model, the thermal losses caused by radiation and convection can also be
added in the form of and further resistance to the ambient region. For a fixed working point, a
linearization of this thermal resistance could be used. Considering the transient characteristic, this
would be a best-case estimation in the cooling process because the energy transfer is considered
for its maximum value. Besides the possibility of a fast estimation of the power consumption and
the transient characteristic of the lumped model, it is easy to understand which part of the hot plate
has to be changed to achieve the requirements or to make a best-case study with minimum effort.

17.2.2Hot-Plate Design
The geometrical size and shape of the hot plate is determined by the individual application. The
heating and cooling times are closely coupled with the thermal mass of the hot plate and thus with
the hot-plate area. The hot-plate suspension is the thermal decoupling element of the active area. Its
thermal resistor influences the power consumption and also the heating and cooling times.
Dielectric membranes based on Si3N4, SiO2, or a composite of these are widely used as a basis
for the closed-membrane micro hot plates. Suspension bars are typically fabricated in silicon, often
in combination with a dielectric layer that separates the hot-plate silicon from the bulk silicon and
acts also as a etch barrier during fabrication.
MEMS-Based Micro Hot-Plate Devices 387

The material properties are fixed values, but the static and transient characteristics of the
h ot-plate device can be adjusted with its geometrical design. For low power consumption in a
static mode, a high thermal decoupling is required, but the thermal mass is irrelevant. For fast
transient operation, the thermal mass has to be reduced to a minimum, but thermal decoupling
by the suspension bars also influences transient characteristics. For a fast heating process, a high
thermal isolation of the hot plate is the ideal configuration, but this will result in a slow cool-down
characteristic. If the thermal resistance is too low, the complete deviceespecially at the mount-
ing points of the suspension barswill experience an increase in temperature during the heating
process and the thermal capacity of the device will also influence the transient characteristic in the
hot plate area. Therefore, it is not possible to make a general design strategy for the thermal resis-
tor of a suspension bar because the transient characteristic also depends on the thermal capacity of
the hot plate and the amount and division of its heat loss (conduction, convection, and radiation).
To ensure mechanical stability during fabrication and later in the operation, designers also have
to look at the mechanical characteristic in the thermal optimization step. Typical geometry data of
a micro hot plate platforming with several hundred micrometer edge length are

Membrane thickness of around 1m for closed-membrane types


Thickness of several micrometers, width of several tens of micrometers, and a length of
some hundreds of micrometers for suspension bars

A possible mechanical fatigue mechanism during the operation of the thermal emitter could
be caused by buckling. Buckling can occur due to the thermal expansion of the hot plate and the
suspension bars, which are fixed at the more stable silicon frame. The suspension bars and the
hot plate are under compression in this state. Depending on the geometrical design, buckling can
occur at a defined temperature difference between the hot plate and the silicon frame. Additionally,
the combination of different thermally mismatching materials causes thermomechanical stress.
Considering long-term stability, thermomechanical fatigue of the silicon suspension bars can
occur, caused by pulsed operation. A further experimentally observed defect mechanism, which is
also related to the bending of the microstructure, is the mechanical rupture of the platinum heater.
The heater is placed outside the neutral axis of the bending part of the emitter. Bending or buckling
of the structure yields a high stress in the interface of two materials. This could cause a lift-off
of the platinum structure from the silicon or a crack or void formation, superposed with electro-
migration effects. A minimization of the bending of the suspended microstructure will result in a
decrease of these effects.
A strain deformation of the suspension bars and the hot plate is not possible for standard designs
(Figure 17.1). In the case of a membrane-type micro hot plate, there is really no room for improve-
ment. Maybe a deposition with a negative prestress could shift the critical temperature for buckling
a little bit. In the case of the suspension bar micro hot plates, designers have more freedom to shift
the critical temperature for buckling. Two main concepts, which can be adjusted by tuning the shape
of the suspension beams, are important:

1. The suspension beams can be shaped like a meander forming a spring. If the hot-plate
area expands during the heating process, the spring suspension beams can be compressed
without much force.
2. The suspension bars can be connected to the hot-plate area in such a way that a thermal
expansion will result in a rotational movement of the hot plate [22].

Figure 17.3 shows two example layouts for the suspension beam concept, which introduce a
rotational movement of the hot plate, caused by the thermal expansion of the hot plate and the sus-
pension bars. Figure 17.3b shows a variant with an additional 90 arc, which also acts as a spring
structure. This variant allows larger temperature differences between the hot plate and the frame
388 MEMS: Fundamental Technology and Applications

(a) (b)

FIGURE 17.3 Two variants for suspension bars, which introduce a rotational movement during the thermal
expansion of the hot plate and its suspension. In contrast to variant (a), variant (b) has an additional arc in the
suspension beams. This will also contribute a spring characteristic to the suspension beams. An improved
mechanical stress characteristic during operation is the result.

until buckling occurs. The black structures sketched in Figure 17.3 are the ohmic heater, which goes
on the suspension bars to the hot-plate area and back and a meander-shaped temperature sensor on
the frame. The hot-plate temperature can be estimated by measuring the electrical resistance of the
ohmic heater or by an additional temperature sensor on the hot plate. The rim temperature is used
to evaluate the thermal decoupling of the device.

17.2.3Heater and Temperature Sensor Layout


17.2.3.1 Material Considerations
The choice of materials for the heater and temperature sensor structures depends mainly on the
compatibility with the temperature range, the bulk material used, and the fabrication processes. For
operation temperatures up to 600C, sputtered thin-film platinum is well suited for this purpose.
It is possible to deposit thin-film platinum using a tantalum adhesion layer on silicon. The main
advantage of platinum over silicon or metal oxides with high temperature stability is the precise
temperature characteristics. Platinum temperature sensors are standard devices for temperature
monitoring. Also, for the heater, a precise and reproducible thin-film material is advantageous even
if the accuracy is not as important as for the temperature sensor.
Polysilicon is also used sometimes as a heater material. The main advantage here is the avail-
ability and experience of the process in almost any clean room and the complete compatibility
with complementary metal oxide semiconductors. Unfortunately, the thermal conductivity of poly-
silicon is strongly nonlinear and the electrical conductivity at room temperature is low. The use
of doped polysilicon improves these, but it could also give rise to new problems, for example, for
long-term operation at higher temperature. For the realization of high-temperature heater struc-
tures operating at 1000C or even more, semiconducting metal oxides could be an alternative to
thin-film platinum.

17.2.3.2 Heater and Temperature Sensor Design


For the development of a heater design, it is necessary to calculate the heater electrical resistance
Rel. Under the assumption that the electrical power Pel will be completely transformed into the heat-
ing power Pheat, the following equation can be used:

U el2
Pheat = Pel =
Rel
MEMS-Based Micro Hot-Plate Devices 389

where Uel is the electrical voltage. Hereby, electrical resistivity is a function of temperature itself:

Rel(T)=R0 (1+T+T 2+)

where R0 is the resistance at temperature T0 and and are the temperature coefficients.
The resistance of a one-dimensional conductor is defined by length l, width w, height h, and
electrical specific resistance el as

1
Rel (T ) = rel
hw

In addition to the total resistance, which determines the heating power, the lateral heater struc-
ture itself is important with regard to temperature distribution and local current density. A uniform
temperature distribution can be achieved with a meander structure. Here, the size of the radii must
be sufficiently high to ensure that the electrical current density does not increase too much at the
inside of the curves. If the temperature difference in the heated region has to be kept nearly con-
stantthat means in the magnitude of some degrees Celsiusthe meander structure should be
optimized with regard to the position-dependent heat generation. In the case of the thermal emitter,
this optimization process is not required.

17.2.4FEM Analysis of Micro Hot Plates


The two mostly required simulation types in the micro hot-plate design process are electrothermal
and thermal expansion. In principle, all this could be done with one geometrical model, but to
reduce the simulation effort for a buckling analysis, it is sufficient to use a reduced model for this,
which only contains the suspension beams and the hot plate. In most cases, the microstructured hot
plate and suspension region can be geometrically modeled with two-dimensional elements because
there are no big temperature differences between the top and the bottom side of the hot plate.
In the following discussion, the approach for electrothermal FEM analysis of micro hot-plate
devices is exemplarily shown for some devices with an edge length of 3 mm, a hot-plate size of
500m, and a distance of 450 m. The simulations are performed for the suspension designs shown
in Figures 17.3b and 17.4. The considered devices are based on an SOI wafer, which consist of 400-
m bulk silicon, 1-m SiO2, and further 15-m silicon. There are deposits of 400-nm Si3N4 at the
top and the bottom of the wafer. The heater structure consists of 200-nm platinum and a 20-nm-
thick adhesion layer.

(a) (b)

FIGURE 17.4 Two variants for standard suspension bar design. (a) Standard design, which has the same
distance between the micro hot plate and frame, the variant shown in Figure 17.3 (b). Standard design, which
has a strong reduced distance between the micro hot plate and frame, to have an example for a bad thermal
decoupling.
390 MEMS: Fundamental Technology and Applications

To keep the simulation effort at an acceptable complexity, the micromachined part of the emit-
ter is considered as one silicon object. This object is completely modeled in three dimensions and
consists of the 400-m-thick bulk and the 15-m-thin silicon plate. The platinum heater with the
tantalum adhesion layer is embedded as a two-dimensional structure on the surface of the silicon
plate. The CAD files of the photolithographic masks can be used for the model generation, some-
times requiring a manual finishing of the geometryespecially at the curves. The dielectric thin
films at the top and the bottom as well as the one between the silicon substrate and the silicon
platewhich are necessary for the emitter fabricationare not considered in the model. The
device is mounted using a ceramic adhesive. The adhesive is modeled as a 50-m-thick volume
beneath the silicon substrate. The thermal conductivity of air is implemented in the space between
the hot plate and the adhesive. The thermal radiation is also considered at the surfaces of the
emitter. An emissivity of 1 (blackbody) is used as a worst-case estimation with regard to power
consumption. Additionally, a heat transfer coefficient for the linear approximation of the free con-
vection is implemented at the outer surfaces of the emitter. Room temperature is defined at the
bottom of the ceramic adhesive and the heater voltage is varied. Literature values are used for the
temperature-dependent thermal conductivity of silicon and platinum. In the case of the tantalum/
platinum sandwich structure, the electrical conductivity of test structures were measured at differ-
ent temperatures and implemented in the model. Figure 17.5a shows exemplarily the temperature
distribution of a hot plate with standard suspension operated at a voltage of 10 V. A significant
temperature decrease occurs at the silicon suspension bars and the intermediate air. The silicon
rim is almost at room temperature.
The hot-plate temperature as a function of the heater resistance is shown in Figure 17.5b. This
dependence is used to determine the temperature of the micro hot plates. Even if there is a consid-
erable difference in power consumption and hot-plate temperature between simulation and mea-
surements, temperature distribution over the platinum structure for a defined hot-plate temperature
and thus the electrical resistance of the heater will be nearly independent of this difference.
All simulated temperature-heater resistance curves show nonlinear characteristics. The reason
for this property is that the heater is partly on the rim, on the suspension bar, and on the hot plate.

(a)
Uin (20) = 10 Boundary: temperature (K) Max: 1123.682 (b)
1100 1200 Standard design
Roatational movement design
Hot-plate temperature (C)

1000 Standard design, short bars


1000
900
800
800
Uin (1) = 10 Boundary: Temperature (K) Max: 1123.682 700 600
1120
1100 600 400
1080

1060 500
1040 200
1020 400
1000 0
980 300 100 120 140 160 180 200 220 240 260
Min: 973
Min: 298 Heater resistance ()

FIGURE 17.5 (a) Temperature distribution of a micro hot-plate emitter operated at 10 V obtained by a finite
element method simulation. The simulated maximum temperature is 850C. The micro hot plate is thermally
well decoupled from the silicon frame. Only at the fixing of the suspension bars is a larger decrease of the
temperature observable (small picture). (b) Simulated hot-plate temperatures as a function of the electrical
resistance of the heater for three different hot plates. The curve is just shifted by the difference of the electrical
resistance. The variant with the smaller distance between the hot plate and the frame shows a clearly stronger
increase, caused by the worth thermal decoupling.
MEMS-Based Micro Hot-Plate Devices 391

(a) (b) (c)

FIGURE 17.6 First buckling mode shapes of a linear buckling analysis for different support layouts caused
by the thermal expansion. The critical temperature change that leads to the buckling is calculated to T=379K
for (a), T=2507 K for (b), and T=8163 K for (c). The displacement scale reaches from 0 to 1 depending on
the eigenvalue calculation.

The temperature changes in these parts are nonlinear with respect to the hot-plate maximum tem-
perature. The reasons for this are the temperature-dependent thermal conductivities, convection,
and radiation. The curves of the variants with the same distance between the hot plate and the
frame seem only to shift by the difference of the base resistance of the heater. Apart from that, the
curves show no noticeable differences. The variant with a short distance between the hot plate and
frame shows clearly different characteristics. Compared with the others, the thermal decoupling is
decreased and the required heating power is increased.
The stressstrain behavior of micro hot plates operating at several hundred degrees Celsius can
also be studied using FEM analysis. The implementation of prestress caused by the deposition of
the thin-film layers is in principle possible, but quite involving. The prestress of the single layers had
to be investigated previously using test structures fabricated with the planned fabrication process.
The sensitivity for buckling of micro hot plates can also be estimated by FEM analysis. In the case
of micro hot plates based on SOI substrates with suspension bars, the main influence on buckling is
due to the thermal expansion of the hot silicon platform and suspension. There is also a contribution
of the thin-film layers on it, but to obtain a rough value for the first buckling mode, the model can
be simplified to the main material of the hot plate and the suspension bars.
Figure 17.6 shows the simulated shapes of the first buckling mode for three different suspension
bar designs. This linear buckling analysis shows only the critical temperature for the respective
buckling mode. Information on the absolute displacement of the hot plate from these simulations is
not possible. Buckling analysis was applied to the silicon suspension bars and the silicon part of the
hot plate. The position of the ends of the suspension barsthe connection to the silicon frameis
fixed. The standard suspension type, shown in Figure 17.6a, having an axis-symmetric design has
the lowest critical temperature difference of 379 K. The suspension design shown in Figure 17.6b
has a significant improvement of the buckling problem because of its possible degrees of freedom
in translation. This reduces the comprehensive stress caused by thermal expansion. Its critical tem-
perature of buckling is 2507 K. The design in Figure 17.6c also has the rotational degrees of free-
dom and suspension bars with spring characteristics. Even if both supporting points of such bars
are at a fixed position, a thermal expansion is possible. The critical temperature for buckling here is
8163 K. The critical temperature for buckling of the variants with a rotational movement of the hot
plate during operation is significantly above the melting point of silicon and thus above the possible
maximal operation temperature. The length of the suspension bars also has a strong influence on
the critical temperature for buckling.

17.3FABRICATION
Typically, micro hot plates are fabricated using bulk micromachining from the front and the back
side. Most of the material is removed using fast wet-etching processes from the back followed by
a structuring process of the suspension beams from the thin front side. Micro hot-plate fabrication
from only one side is also possible, but then the hot-plate region and its suspension have to be made
392 MEMS: Fundamental Technology and Applications

etch-resistant, for example, by ion implantation of silicon. Using two-side structuring, a dielectric
layer acts in most cases as an etch stops. SOI substrates are well suited and becoming a standard
starting material for micro hot-plate devices. Therefore, in the following discussion, the fabrication
of a micro hot plate device is explained on the basis of an SOI substrate.
The thermal emitter hot plate is based on a common 4-in. SOI wafer with 15 m Si, 1 m
SiO2, and 38015 m Si. The SiO2 layer acts as an etch stop for the KOH etch process. The bulk
silicon has a (100) orientation and is p-doped with boron. On both sides, a 400-nm-thick low-
pressure chemical vapor deposition Si3N4 layer is deposited as a passivation for further processes.
Figure17.7a shows the cross-section of the wafer.
The first part of the process comprises the front-sided structuring of the platinum heater elements
and the platinum temperature sensors. An aluminum layer with a thickness of 280 nm is deposited
and acts as a sacrificial layer in the platinum structuring process. The layout of the platinum struc-
ture is transferred in the following photolithographical process in the photoresist. The resulting
photoresist structure is used for wet etching of the adjacent aluminum layer. Wet etching of the
aluminum yields an undercut of approximately 1 m. This undercut is important for creating a
homogeneous border area of the sputtered platinum elements. The aluminum layer has the negative
shape of the final platinum structures. Before the deposition of the 200-nm-thick platinum layer, a
thin 20-nm tantalum layer is deposited by sputtering to increase the adhesion of the platinum. After
the deposition of platinum, the photoresist structure is stripped with an acetonepropanol cascade
and the aluminum layer is removed by wet etching. The cross-section of the structured platinum
elements is shown in Figure 17.7b.
For the backside definition, the Si3N4 layer is photolithographically structured. The remaining
photoresist acts as a negative mask for the following reactive-ion etch step. After stripping the pho-
toresist with an acetonepropanol cascade, the wafer is prepared for the KOH etch of the backside
cavity. The bare silicon is anisotropically etched in a KOH etch solution at a temperature of 80C
(Figure 17.7c).
To protect the front side, a special wafer holder that allows sealing one side of the wafer is used.
The KOH etch has a much slower etch rate at the Si3N4 mask, at the (111) surfaces in the silicon bulk
material, and at the SiO2 layer. This results in cavities with the shape of truncated pyramids. The
angle between the backside surface and the sidewalls is 54.7. The SiO2, which is the etch stop for
the KOH etch, is finally removed by a backside reactive-ion etch process. This is done uniformly
without any additional passivation.

(a) (c)

(b) (d)

FIGURE 17.7 Fabrication of the thermal hot plate (cross-sectional view). (a) Silicon on insulator substrate
with a thickness of 396 m (380 m silicon, 1 m SiO2, and 15 m silicon). (b) Both sides have a Si3N4 layer
with Pt-heater and PtT-sensor elements on the top side. (c) The bottom has a KOH etch. (d) Top side structur-
ing of the suspension bars.
MEMS-Based Micro Hot-Plate Devices 393

In the current state, silicon membranes with platinum structures are fabricated. Now, these mem-
branes have to be structured. Once again, this is done with an aluminum sacrificial layer process
(thickness 500 nm). The aluminum is structured using a photolithographic process and a wet etch
process. The aluminum hard mask protects the hot-plate region with the platinum structures and
the suspension bars from the dry etch process. Using reactive-ion etching, the 400-nm Si3N4 as well
as the 15-m Si between the hot plate, the rim, and the suspension bars are removed. Finally, the
remaining aluminum hard mask is removed by a wet-etching process (Figure 17.7d).
These fragile devices are diced using a wafer saw. The wafer is applied with its bottom side to an
adhesion foil. The top side is protected with a viscous photoresist that also fills the cavities next to
the hot plates. After an out-gasing of 2 h, the photoresist is baked for 15 min at 50C. This leads to
an improved adhesion between the wafer and the foil. The wafer is cut along dicing marks, which
were deposited together with the platinum heaters and sensors on the front side. After the dicing
process, the adhesion foil with the diced chips and the photoresist is soaked in acetone. The pho-
toresist is removed and the chips are removed from the adhesion foil. After cleaning with propanol
and deionized water, the chips are prepared for packaging. Now, the chips are ready for packag-
ing. Agood possibility is to glue the chips with a ceramic adhesive in suited sockets (e.g., TO5).
Standard wire-bonding techniques can be used for the connection of the device and the package.

17.4 CHARACTERIZATION OF MICRO HOT PLATES


The most important data of micro hot plates are the hot-plate temperature as a function of the heater
voltage or power and the modulation heater temperature as a function of the modulation frequency.
This section will discuss the experimental approach for the determination of these data and their
problems.

17.4.1Static Electric Investigations


The basis of the electrical characterization is the determination of the VI characteristics. The results
of the standard design are shownexemplarily, one curve per designin Figure 17.8a. Owing to
the inhomogeneous temperature along the heater, the VI characteristics are clearly nonlinear. The
platinum regions at the rim are at or close to room temperature, while the platinum regions on the
hot plate have a temperature of several hundred degrees Celsius and the platinum structures on
the suspension bars have a temperature distribution between rim and hot-plate temperature. The

(a) 80 (b) 1100


1000 HP, atmosphere
FR, atmosphere
900
60 HP, vacuum
800
Temperature (C)

FR, vacuum
Current (mA)

700
600
40
500
400
20 Standard design 300
Rotational movement design 200
Standard design, short bars 100
0 0
0 2 4 6 8 10 12 14 0 50 100 150 200 250 300 350 400 450
Voltage (V) Power (mW)

FIGURE 17.8 (a) VI characteristics of the three different micro hot-plate emitters in normal atmosphere.
(b) Hot-plate temperature (HP) and frame temperature (FR) as a function of the heating power in vacuum and
in normal atmosphere.
394 MEMS: Fundamental Technology and Applications

electrical characteristics of the platinum heater can be modeled as a serial connection of resistors
changing their resistance in dependence of the hot-plate temperature, but not uniformly.
Measurements in a vacuum chamber are performed to get information on the influence of the
convection and conduction of air. These results can also be used for the FEM model in a redesign.
In general, the influence of the position emitter in the vacuum chamber should not influence its own
temperature. To assure one that there is no relevant position dependence in the chamber, a series of
test measurements can be performed at different positions. Figure 17.8b shows the hot-plate tem-
perature and frame temperature of the emitter as a function of the heating power. A double heating
power (400 mW) is required in normal atmosphere to achieve a hot-plate temperature of 580C.
In both cases, the hot plates are heated to ~700C. The micro hot plate operated in vacuum shows
almost twice the frame temperature (Tframe,vac=197C; Thot-plate,vac=733C) compared with the micro
hot plate operated in normal atmosphere (Tframe,atm=109C; Thot-plate,atm=662C).

17.4.2Transient Investigations
The micro hot plates are designed for a fast modulation. The response time of the hot-plate tempera-
turethe time span from room temperature to operation temperature in steady-state condition
gives information of the modulation behavior during operation. Typically, a voltage step is applied
to the heater. This implies that the heater currentand thus, the heating poweris changing dur-
ing the transient process because of the temperature dependence of the electrical resistance of the
heater. The response characteristics have an exponential shape. It is not sufficient to determine
theend value during the transient temperature measurements because the temperature change at the
end of the heating or cooling process is relatively small.
For a better comparison of different emitters, it is common to use the t90 time. The t90 time is the
time span during the heating process from the static ambient temperature to 90% of the static opera-
tion temperature and the time span during the cooling process from the static operation temperature
down to 10% of it, respectively.
For the t 90 time measurements, it is useful to have hot-plate devices with an integrated tem-
perature sensor on the hot plate. A constant current of 0.11% of heating current has to be applied
to the sensor and the voltage can be measured with an oscilloscope during the heating and cool-
ing processes, respectively. The sensor current has to be chosen taking into consideration that
the power loss through the temperature should not yield in hot-plate heating of several degrees
Celsius. With the FEM results of the electrothermal simulations (Figure 17.5b), it is possible to
estimate the hot-plate temperature on the basis of heater resistance. The steady-state voltage of
the temperature sensor at a constant current was determined and used as 800C reference in the

(a) (b)
800 800
Hot-plate temperature (C)
Hot-plate temperature (C)

722

39 45
101
23 23

Time (ms) Time (ms)

FIGURE 17.9 Determination of the transient characteristics of the hot-plates during (a) heating process up
to 800C and (b) cooling down to room temperature.
MEMS-Based Micro Hot-Plate Devices 395

modulated operation. Figure 17.9 shows the transient heating and cooling characteristics of one
micro hot plate with a standard suspension. During the heating process, the hot plate reaches 90%
of the steady-state operation temperature after 39 ms. The cooling process down to 10% of the
operation temperature lasts 45 ms.
Alternatively, or even additionally, the frequency dependence of the hot-plate maximum and
minimum temperatures can be determined using an optical detector by measuring the radiated
power. Ideally, the detector has a linear characteristic with the incoming power. The dependence
of the optical power and the hot-plate temperature can be measured in static operation. Now, the
amplitudefrequency response can be determined by modulating the heater current and evaluating
the detector signal. The interesting frequency span of micro hot plates today is around 0.1100 Hz.

17.4.3Further Recommended Investigations


The measurement of the radiation power can be quite interesting for further modeling or better
understanding of the different heat losses to optimize the micro hot plate. The key element for this
is the integrating sphere. The highly diffuse reflective inner surface of an ideal integrating sphere
ensures that each segment of its surface is illuminated with the same light intensity, independent of
where the light source is and how it radiates. The amounted emitted power can be calculated on the
basis of the surface and the measured optical power density.
The most temperature-limiting part of the micro hot plate is its heater. In principle, it is possible to
operate the micro hot plate for a specified time at a fixed operation point, monitoring its heater current
and checking the heater structure using microscopy after this period. But if the heater degradation is
much more than expected, it is helpful to check the temperature influence on the heater structure with-
out a heating current. Here, an oven, which allows the control of the nitrogen, oxygen, and hydrogen
mixture in it, is useful for testing the influence of temperature at various ambient conditions.
The investigation of mechanical failure is important for micro hot plates operating at high tem-
peratures, and especially the types with low critical temperatures for buckling. An external accel-
eration of the hot-plate vibration with significantly larger amplitudes can be used to make highly
accelerated life tests.

17.5 MICRO HOT PLATES FOR METAL OXIDE-BASED GAS SENSORS


Gas sensors based on metal oxides are quite sensitive to oxidizing or reducing gases such as CO,
NOx, and hydrocarbons. Typical metal oxides are SnO2, ZnO, TiO2, and WO3, but the most investi-
gated and commercially used material is SnO2 [9]. The sensing mechanism is based on the availabil-
ity of free electrons of the metal oxide. Used metal oxide has free oxygen vacancies because of its
nonstoichiometric bond. In atmosphere, the metal oxide surface is covered with adsorbed oxygen.
At higher temperatures (100C), most of the adsorbed oxygen exists as O. This single molecule
takes an electron from the metal oxide material for its bond. Thus, the adsorbed O atoms at the
surface resulting in a depletion zone in the metal oxide.
Oxidizing and reducing gases can react at the surface and take or leave an O molecule. If a
CO molecule reacts to CO2 at the surface, an electron will be given back to the metal oxide and its
conductivity will increase. This also means that the sensing principle is an oxygen sensor. Under the
assumption that the partial pressure change in the ambient is constant or at least small compared to
the change of the aim gas, the sensor response is a function of the change in the gas concentration.
To get a high signal change, small metal oxide grains or thin films are used. Here, the fraction of the
depletion zone related to the overall thickness is high or it even covers the complete material. Metal
oxide gas sensors are typically operated at 200400C.
Because of the last cross-sensitivity to other gases and the strong sensor drift, it is not possible to
use the sensors for quantitative analytical measurement. Its advantage is the extremely low price and
its high sensitivity. Applications that require the detection of a high change of a gas concentration,
396 MEMS: Fundamental Technology and Applications

as in smoke gas concentration in buildings or the control system for fresh air quality in cars, are
typical for these sensor types.
The first industrial available sensors were based on a heater embedded in an alumina ceramic
tube. The metal oxide was mounted around this tube, which had two printed electrodes (Taguchi-
type sensor) [2325]. Nowadays, the metal oxides are deposited mostly with a screen printing pro-
cess (thick film) or sputtering (thin film) on a substrate. To achieve a high surface compared with the
thin thickness of a material, nanostructured metal oxides are a major concern for research.
Micro hot plates have two big advantages in metal oxide gas sensing. The realization of operation
temperatures up to 400C with normal filament-like heatable structures or the use of bulk substrates
requires a high amount of electrical power. This allows the reduction of the power consumption
from several Watts to some 100 mW or even less, depending on the required size of the heated area.
Owing to its fast heating and cooling times, power consumption can be reduced further. In many
applications, it is sufficient to have a measurement each minute. The sensor can be turned on for a
time span of some seconds to take the measurement and afterwards turned off. In general, the metal
oxide sensors have a large cross-sensitivity. But there is a temperature- and material-dependent
sensitivity available, which allows getting some information on the gas composition. Micro hot-
plate substrates allow a fast change of the operation temperature. The transient response of the
temperature scan can be used as a gas-type-dependent characteristic. An integration of several dif-
ferent metal oxide elements on one hot-plate is possible. Micro hot-plate concepts can also be used
to build sensor arrays operating at different temperatures in one chip. Then, one micro hot plate per
chip is required.
Owing to low electrical conduction, finger electrodes are used for connecting the sensitive layers.
It is possible to design the heater and electrodes in such a way that it can be fabricated together in
one process step. Concerning the design of micro hot plates for metal oxide gas sensors, it is impor-
tant to ensure a low temperature difference in the metal oxide. It should not exceed some degrees
Celsius. In case of the screen-printed and sputtered approaches, the problem is mainly the lateral
temperature distribution. If the micro hot plate has a quite low thermal conductivity, an additional
heat-spreading layer has to be deposited beneath the sensing region. In the case of nanostructured
metal oxides, temperature distribution along the vertical direction may also become important.
Here, making some calculation to obtain the same figure of merits is recommended. Figure 17.10a
shows an example cut-off from a pore array. The temperature difference between the bottom and the
top for different pore diameters and wall thickness is shown in Figure 17.10b. Structures with very
thin walls and large pore diameters could reach some critical values. A pore with a wall thickness

(a) (b)
Pore diameter Wall thickness 100 nm Wall thickness 200 nm
Temperature difference per 10 m/K

0.20 Wall thickness 300 nm Wall thickness 400 nm


Wall thickness 500 nm Wall thickness 600 nm
0.18 Wall thickness 700 nm Wall thickness 800 nm
Wall thickness 900 nm Wall thickness 1000 nm
0.16
Wall
thickness 0.14
0.12
0.10
0.08
Height 0.06
0.04
0.02
0 2000 4000 6000 8000 10,000 12,000
Pore diameter/nm

FIGURE 17.10 (a) Cutout of a possible nanostructured metal oxide. (b) Estimation of the temperature differ-
ence from the top to the bottom of different wall thickness and pore diameters of the basis of Al2O3 operated
at 300C (bottom temperature). Pore heights of around 100 m can be realized without critical temperature
difference along the vertical direction.
MEMS-Based Micro Hot-Plate Devices 397

of 100 nm, a diameter of 10 m, and a height of 300 m will have a vertical temperature difference
of around 4.8C. Together with a lateral temperature difference over the metal oxide of, for example,
a further 5C, this will result in a total temperature difference of almost 10C. This value is too
high for a metal oxide gas sensor. The height of the structure had to be minimized and/or the lateral
temperature distribution had to be improved.

17.6 MICRO HOT PLATES FOR THERMAL EMITTERS


An increasing number of processes and safety and environmental applications require measurement
systems for gas detection as well as for contamination monitoring of liquids. Examples of such
applications are the monitoring of toxic gases and early detection of leakages. Another field is the
chemical industry, which needs sensor systems for process control. In these applications, absorption
measurements are an important detection technology combining high sensitivity, fast response time,
and high reliability.
In particular, infrared spectroscopy facilitates the selective and sensitive measurement of various
molecules by their specific absorption. It uses the characteristic absorption of the molecules in the
mid-infrared region and allows for the determination of the species and its concentration. Especially
by absorption at longer wavelengths between 8 and 12 m, the so-called fingerprint region, mol-
ecules can be measured with the highest selectivity. In the last few years, infrared detection and
measurement technologies have gained more and more importance.
Small thermal emitters are a key component in nondispersive infrared (NDIR) systems. In con-
trast to dispersive infrared systems that use a wavelength-selecting element like a Michelson inter-
ferometer or a grating, NDIR systems have a detection unit (channel) for a small specific spectral
band. Typical is the combination of an optical filter with a broadband detector or the use of a photo-
acoustic detector. The main advantage of an NDIR system is the significantly low fabrication costs
compared to other infrared spectroscopic systems.
Gas molecules have a defined and typical light interaction characteristic, which allows for the
determination of a gas concentration by measuring the amount of transmitted light through a gas
volume with a known optical path length dependent in the wavelength. The basic setup of such
systems contains a light source emitting light in the specific spectral rangewhere the target
gas is absorbingwith the intensity I 0, a defined length of the optical path d, and a detection
unit for measuring the transmitted light It. Knowing the spectral molar absorption coefficient
molabs() of the gas in the considered spectral range, the molar gas concentration cgas can be cal-
culated using the BeerLambert law [26]. Figure 17.11 shows the basic setup for spectroscopic
transmission gas measurement.
Conventional thermal emitters for use in NDIR spectroscopic measurement systems are oper-
ated in a static mode. However, the modulation of the optical emission allows techniques for noise

At abs absorbing molecules

I0 (abs) It (abs)

Light source Detector


d

FIGURE 17.11 Basic setup for spectroscopic transmission gas measurement. The transmitted light intensity
It() is measured with a detector after the light has passed a volume with absorbing molecules. On the basis
of the absorbing coefficient at the wavelength abs, the amount of light before gas interaction I0 and the spatial
length of the light/gas interaction d, the gas concentration can be determined by BeerLambert law.
398 MEMS: Fundamental Technology and Applications

reduction or the use of pyroelectric detectors. Mechanical modulation with a chopper is a possibility,
but it has the disadvantage of moving mechanical components, and at least it is an additional com-
ponent, which increases the system costs. In the case of medium-priced systems, the cost of this
optical chopper can be in the magnitude of 1030% of the system costsdepending on the system.
Thermal emitters with a low thermal mass are the right choice for this task. One possibility is to
form filaments with a low thermal mass and a large surface area [16,2730]. The use of MEMS-
based micro hot plates allows a further improvement in the modulation property of thermal emit-
ters. Modulation frequencies of around 10 Hz are typical for this application.
MEMS-based commercially available emitters for pulsed operation use thin dielectric mem-
branes to achieve thermal isolation of the micro hot plate (the emitting area) from the supporting
frame of the silicon chip. Nevertheless, the performance of these standard MEMS-based emitters
suffers from inadequate mechanical robustness and a low emission of radiation in the upper part of
the mid-infrared region, due to the use of a closed dielectric membrane for thermal insulation. In
contrast, micromachined infrared emitters based on the suspension bar concept enable a fast tran-
sient temperature operation, higher operation temperatures, and, as a consequence, better emission
characteristics in the mid-infrared spectral region.
Besides the high operation temperature, an improved spectral emissivity of the micro hot plate is
required to increase thermal emission. Emission coatings with low emittance at shorter wavelengths
contribute toward saving heat energy. This is explained by Plancks distribution, where the maxi-
mum of the emitted power is shifted to shorter wavelengths with increasing temperature. At 800C,
the emitted power of a blackbody at 10 m is very low compared to the emitted power at 3 m. If it
is possible to reduce the emissivity in the unused spectral region, the efficiency factor of the emitter
will be improved. Typically, an emissivity enhancement of a surface is achieved by increasing its
roughness. In terms of MEMS devices, black silicon or black platinum are typical examples for such
an emissivity enhancement.
The size of the hot plate is directly related to its thermal mass and to its transient characteristic.
Multichannel detectors are often used in NDIR systems to monitor several gas concentrations and
a reference value simultaneously. Ideally, the used emitter has a similar area, size, and shape as
the detector array, and the optical system images the emitter surface directly on the detector. If the
emission area is smaller than the overall size of the detector array, the detector array has to be posi-
tioned out of the systems focal point and the intensity on the detector surface is reduced. Owing to
the fact that thermal emitter-based spectroscopic systems are in general limited by detector noise,
this arrangement should be avoided.

ACKNOWLEDGMENTS
We thank the Volkswagen Foundation for the generous financial support of the project that yielded
a part of the reported results. We gratefully acknowledge the excellent cooperation and discussions
with the teams at Fraunhofer IPM in Freiburg and Fraunhofer IWM in Halle, where the remainder
of the work was performed. We also thank the Der Andere Verlag, who generously allowed us to use
copyrighted material from the PhD thesis of Jrgen Hildenbrand. Jan Korvink acknowledges the
support through the Excellence Initiative of the German Federal and State Governments.

REFERENCES
1. V. Demarne and A. Grisel. An integrated low-power thin-film CO gas sensor on silicon. Sensors and
Actuators, 13(4):301313, 1988.
2. U. Dibbern. A substrate for thin-film gas sensors in microelectronic technology. Sensors and Actuators
B: Chemical, 2(1):6370, 1990.
3. M. Gall. The Si planar pellistor: A low-power pellistor sensor in Si thin-film technology. Sensors and
Actuators B: Chemical, 4(34):533538, 1991.
MEMS-Based Micro Hot-Plate Devices 399

4. H. S. Park, H. W. Shin, D. H. Yun, H.-K. Hong, C. H. Kwon, K. Lee, and S.-T. Kim. Tin oxide micro gas
sensor for detecting CH3SH. Sensors and Actuators B: Chemical, 25(13):478481, 1995.
5. J. W. Gardner, A. Pike, N. F. De Rooij, M. Koudelka-Hep, P. A. Clerc, A. Hierlemann, and W. Gpel.
Integrated array sensor for detecting organic solvents. Sensors and Actuators B: Chemical, 26(13):135
139, 1995.
6. V. Guidi, G. C. Cardinali, L. Dori, G. Faglia, M. Ferroni, G. Martinelli, P. Nelli, and G. Sberveglieri. Thin-
film gas sensor implemented on a low-power-consumption micromachined silicon structure. Sensors and
Actuators B: Chemical, 49(12):8892, 1998.
7. D. Briand, A. Krauss, B. van der Schoot, U. Weimar, N. Barsan, W. Gpel, and N. F. de Rooij. Design
and fabrication of high-temperature micro-hotplates for drop-coated gas sensors. Sensors and Actuators
B: Chemical, 68(13):223233, 2000.
8. S. Asti, A. M. Gu, E. Scheid, and J. P. Guillemet. Design of a low power SnO2 gas sensor integrated on
silicon oxynitride membrane. Sensors and Actuators B: Chemical, 67(12):8488, 2000.
9. I. Simon, N. Barsan, M. Bauer, and U. Weimar. Micromachined metal oxide gas sensors: Opportunities
to improve sensor performance. Sensors and Actuators B: Chemical, 73(1):126, 2001.
10. J. Hildenbrand, J. Wllenstein, E. Spiller, G. Khner, H. Bttner, G. A. Urban, and J. G. Korvink. Design
and fabrication of a novel low-cost hotplate micro gas sensor. In Proceedings of Design, Test, Integration,
and Packaging of MEMS/MOEMS 2002, Cannes, France, May 68, 2002, SPIE Proceedings Series
4755:191199, 2002.
11. J. Hildenbrand. Mikrostrukturierte Halbleitergassensoren. Leistungsreduzierung durch den Einsatz von
mikrostrukturierten Micro-Hotplates. MessTec and Automation, 11(5):3435, 2003.
12. D. Barrettino, M. Graf, M. Zimmermann, C. Hagleitner, A. Hierlemann, and H. Baltes. A smart sin-
gle-chip micro-hotplate-based gas sensor system in CMOS technology. Analog Integrated Circuits and
Signal Processing, 39(3):275287, 2004.
13. D. Briand, S. Heimgartner, M.-A. Gretillat, B. van der Schoot, and N. F. de Rooij. Thermal optimiza-
tion of micro-hotplates that have a silicon island. Journal of Micromechanics and Microengineering,
12(6):971978, 2002.
14. I. Elmi, S. Zampolli, E. Cozzani, M. Passini, G. Pizzochero, G. C. Cardinali, and M. Severi. Ultra low
power MOX sensors with ppb-level VOC detection capabilities. In Proceedings of the IEEE Sensors
Conference 2007, Atlanta, Georgia, October 2831, 2007, pp. 170173.
15. J. Spannhake, O. Schulz, A. Helwig, G. Mller, and T. Doll. Design, development and operational con-
cept of an advanced MEMS IR source for miniaturized gas sensor systems. In Proceedings of the IEEE
Sensors Conference 2005, Irvine, California, October 30November 3, 2005, 4pp.
16. Scitec Instruments. Series 50, Thin film 0.9 Watt infra-red emitter, data sheet, issue 1.2, www.scitecin-
struments.de/irsources, 2007.
17. T. S. Skotheim, G. G. Kirpilenko, V. K. Dmitriev, P. Ohlckers, and J. Kunsch. Nanoamorphous carbon
miniature thermal infrared source. VDI-Berichte 2047:161, 2008.
18. Axetris. IR source, data sheet, leaflet F25/09.2003/05.06, www.leister.com/axetris, 2007.
19. Axetris. IR source with reflector, data sheet, leaflet F29/03.2006/05.06, www.leister.com/axetris, 2007.
20. J. Spannhake, O. Schulz, A. Helwig, A. Krenkow, G. Mller, and T. Doll. High-temperature MEMS heater
platforms: Long-term performance of metal and semiconductor heater materials. Sensor, 6(4):405419, 2006.
21. J. Spannhake, A. Helwig, A. Mller, G. Faglia, G. Sberveglieri, T. Doll, T. Wassner, and D. Eickhoff.
SnO2:SbA new material for high-temperature MEMS heater applications: Performance and limita-
tions. Sensors and Actuators B: Chemical, 124(2):421428, 2007.
22. J. Hildenbrand. MEMS-Based thermal emitters and beyond Components and investigations for min-
iaturised NDIR systems. Microsystem. Simulation, Design and Manufacture (2). Der Andere Verlag. pp.
5861, 2010.
23. N. Taguchi. Japanese Patent No. 45-38200.
24. N. Taguchi. Japanese Patent No. 47-38840.
25. N. Taguchi. U.S. Patent No. 3 664 795.
26. P. W. Atkins. Physikalische Chemie, 3rd edn. Weinheim: Wiley-VCH, 2001.
27. ICx Photonics. Broadband pulsed infrared light sources, data sheet, http://photonics.icxt.com, 2007.
28. ICx Photonics. PulsirTM high power, multi-element devices, product specifications sheet, http://photon-
ics.icxt.com, 2007.
29. Scitec Instruments. Series 40, Thin film 1.2 and 4 Watt infra-red emitters, data sheet, issue 1.4, www.
scitecinstruments.de/irsources, 2007.
30. Laser Components. Cal-SourceTM infrared emitters, pulsable IR emitters: SVF series, data sheet, www.
lasercomponents.com, 2007.
18 A Wireless Sensor Networks
Enabled Inertial Sensor
Yao-Chiang Kan

CONTENTS
18.1 Inertial Measurement Unit..................................................................................................... 401
18.1.1 Inertial Navigation.....................................................................................................402
18.1.2 MEMS IMU Error Characteristics............................................................................403
18.2 Wireless Sensor Networks.....................................................................................................404
18.2.1 Physical and Medium Access Control Layers...........................................................405
18.2.2 Networks....................................................................................................................406
18.2.3 WSN Gateway............................................................................................................406
18.3 WSN-Enabled Inertial Sensor...............................................................................................407
18.3.1 Hardware Design.......................................................................................................407
18.3.2 Antenna......................................................................................................................408
18.3.3 Software Components................................................................................................409
18.4 Applications........................................................................................................................... 412
18.5 Conclusions............................................................................................................................ 414
References....................................................................................................................................... 414

The inertial measurement unit (IMU) sensing the acceleration and angular velocity of objects
attached is traditionally applied in inertial navigation systems for aircraft and automobiles. In these
applications, the kinetic parameters are measured, thus allowing the position of the vehicle to be
derived. Owing to improvements in micromachined electromechanical systems (MEMS), the size
and weight of the IMU have been substantially reduced and now can be used in size-sensitive
applications such as human body motion analysis (BMA) or physical therapy evaluation. When inte-
grated with a microcontroller (MCU) and data transceiver, the data sensed by the IMU can be read,
processed, and transmitted. This integrated device is referred to as an inertial sensor. Wired inertial
sensors are too awkward to use in many applications; on the contrary, integrating wireless technol-
ogy not only significantly improves convenience, but also enables continuous monitoring features.
This chapter begins with the basic theory of the inertial navigation, the error characteristics of the
MEMS IMU, and the effects of these errors on the calculated position. Radio frequency (RF) tech-
nology is then introduced with an emphasis on antenna issues for different applications followed by
a description of a wireless sensor network (WSN)-enabled inertial sensor node (ISN) developed by
the author. Applications of the proposed WSN-enabled ISN to BMA and valuable asset monitoring
(VAM) are also discussed. Conclusions and future works are addressed at the end of the chapter.

18.1 INERTIAL MEASUREMENT UNIT


IMU senses the linear accelerations and angular velocities of an attached object and is traditionally
used in inertial navigation systems for aircraft and automobiles. Normally, an IMU includes three
orthogonal accelerometers and three orthogonal gyroscopes. External location-aware devices [e.g.,

401
402 MEMS: Fundamental Technology and Applications

global positioning systems (GPS) or star trackers] are usually used for position calculation in these
applications to overcome the inertial drift error resulting from the inherent bias stability. Owing
to advances in MEMS, the size and cost of IMUs have been dramatically reduced. The MEMS
IMU (ADIS16354 [1], Analog Devices) is typical in its dimensions of 23mm23mm23mm,
but this is still a bit thick for some human motion detection applications. InvenSense [2] pro-
duces a 4mm4mm IC-based MEMS IMU providing digital output and simple motion detec-
tion. IC-based three-axis accelerometers or three-axis gyroscopes are also commercially available.
These advances have prompted the development of new IMU-based applications using low-power
wireless transceivers. This section discusses the basic principles of inertial navigation, describes
the error characteristics of MEMS IMU, and presents a well-known method for analyzing the error
types associated with IMUs.

18.1.1Inertial Navigation
Inertial navigation is a technique that measures linear acceleration and angular velocity to derive
the position or location of an object attached to an IMU. The technique was originally developed for
use in rockets, but is now widely employed in spacecraft, guided missiles, and commercial airliners.
Most commercially available MEMS accelerometers and gyroscopes are implemented as strap-
down systems for which the kinetic parameters are measured with respect to the body coordinates
instead of the global frame, as seen in Figure 18.1a.
By measuring both the current local angular velocity and the linear acceleration of the IMU, it
is possible to determine the moving displacement or absolute position in the global inertial refer-
ence frame. Based on particle kinematics for linear motion in Cartesian coordinates, the velocity
is the derivative of the position with respect to time, while the acceleration is the time derivative
of the velocity. The same differentiation is in accordance with rotation angle (or radian), angular
velocity, and angular acceleration in the cylindrical coordinate. The strap-down system shown in
Figure 18.1 comprises these parameters with IMU as the basic mechanism for inertial navigation
and measurement of final position in the global frame. The suffixes b and g of the vectors, respec-
tively, denote the body frame and the global frame. The accelerometer can measure the instant

acceleration vector ab in the body frame, while the gyroscope delivers the vector ofinstantaneous

angular velocity w b. The radian qb of the position can be obtained by an integral of w b with respect

to time. Note that the qb provides relative reference for the global acceleration vector ab by map-

ping ab from the body to global frame. Meanwhile, if the moving object is counted with the initial

(a) (b)
ab
zb
Acceleration
b mapping from
b dt body frame to
zg global frame

vg
Body frame ag dt
sg vg dt
yg xb
Global frame

xg s0g v0g

FIGURE 18.1 The inertial navigation basic: (a) strap-down systems and (b) position calculation.
A Wireless Sensor Networks Enabled Inertial Sensor 403

  
velocity v0 g, the vector shall be involved in the time integral of ag to carry out the velocity vector vb

in the global frame. The current displacement can then be calculated by integrating vg with respect
 
to time. Finally, the global position Sg can be obtained by adding the initial position S0 g. Assuming
there is no error or drift from the gyroscope or accelerometer, the kinematic equations provide the
exact current location of the object attached to the IMU. However, the accelerations or angular
velocities from the MEMS IMU are random variables with certain distributions. Internal errors,
including the bias and thermal fluctuation, do exist for MEMS accelerometers or gyroscopes.
Moreover, any errors from those MEMS components are integrated and position errors increase
quickly. Hence, algorithms bounding the error growth have to be applied to obtain the correct
location information. Errors inherent in MEMS accelerometers or gyroscopes are presented and
analyzed in the next section.

18.1.2 MEMS IMU Error Characteristics


As shown in Table 18.1, the inherent errors [3] of MEMS IMU could produce significant position
deviation and angle error due to the integrals shown in Figure 18.1b as time increases. Note that
s(t) and (t) are the calculated global position and local rotation angle, respectively, of the IMU
at time t, 2 is the standard deviation of the white noise, and t is the sampling time period. The
constant bias indicates the constant difference between the output kinematic parameter from
IMU and its true value in m/s2 or radian/s. This bias can be estimated by measuring the long-
term average of the output when the IMU is stationary and not rotating. Objects attached to the
IMU are kept static long enough to estimate the initial kinematic parameters and the constant
bias. Especially in BMA, the initial static period is important since the positions and orientations
of the wearable IMUs differ from person to person. The bias of an IMU wanders over time due
to the random flickering. This is referred to as bias instability, which is usually modeled as
a random walk, and is usually bounded and specified by the standard variation, 1, of the bias
fluctuation. The flicker noise is usually observed in electronic components operating at low fre-
quencies and will be suppressed by white noise at high frequencies. The zero-mean uncorrelated
white noise results from the thermomechanical noise and interferes with the output values of the
IMU. Another output error of IMU is caused by temperature changes in the IC itself or the envi-
ronment. However, most IMUs contain an internal complementation mechanism to correct for
changes in temperature. The calibration errors are the difference between the output values and
the true values while the IMU is moving or rotating. This error can be measured and corrected;
moreover, new IMUs usually include an autocalibration feature to correct the error. In summary,
bias instability and white noise are the two major sources of noise in the MEMS IMU. One com-
mon technique to bind the position errors due to these errors is the use of the Kalman filter with
other sources of position information [4,5].
The Allan variance, a time domain analysis technique for noise and stability analysis in clock
systems, is used to study the signal noise characteristics of the MEMS IMU. A sequence of data

TABLE 18.1
Errors from the MEMS Accelerometer and Gyroscope Error Sources
Constant Bias Temperature
Bias Instability White Noise 2 Effects Calibration Error
Accelerometer s(t)t2 s (t ) t s(t)t2 s(t)t2 in the duration
5/ 2
s S s t3/2 d t
of acceleration
Gyroscope (t)t q(t ) t 3 / 2 sq s d t t
(t)t (t)t in the duration
of motion
404 MEMS: Fundamental Technology and Applications

102

Allan deviation (m/s2)


103

Gradient = 1
104

Gradient = 0.05

105
101 100 101 102 103
Average time (s)

FIGURE 18.2 Allan deviation of accelerations along three axes of an MEMS accelerometer. (From Y.-C.
Kan and C.-K. Chen, IEEE Sensors Journal, 12(3), 651657, 2012. With permission.)

with length N is divided into M bins of length t. The average of each bin is calculated and then the
Allan deviation (AD) is defined by

M
1
(x xi )
2
s Allan (t ) = (18.1)
2 ( M 1)
i +1
i =1

where xi is the average value of the signal in the ith bin. The loglog plot of the Allan deviation of
an IMU shows the relation of the type of noise and the averaging time, t, of the operation of the
IMU. With a gradient of 0.5, the IMU operation suffers from white noise while a gradient of +0.5
results in the IMU experiencing the rate random walk noise. Also, bias instability of accelerations
along different axes can be identified with the zero gradients. Figure 18.2 shows the Allan deviation
of an IMU proposed in the next section. The IMU acceleration outputs are primarily impacted by
white noise along the x-, y-, and z-axes, with respective average times of less than 25, 25, and 100s.
At 25s and above 600s, the accelerations along the x- and y-axes are perturbed by bias instability,
but those values suffer rate random walk between 25 and 600s. The acceleration along the z-axis
encounters bias instability after 100s. For this IMU, the average time under 25s should be used if
the Kalman filter is used to correct the position error under the assumption that the noise is white
noise with a Gaussian distribution.

18.2 WIRELESS SENSOR NETWORKS


WSNs consist of resource-constrained wireless devices, named sensor nodes or motes, with
designed sensing and control tasks [7]. Data collected or aggregated from the WSN motes are sunk
to the gateway device for data parsing and backup. The filtered or processed data are then sent to
backend servers via the Internet. In those applications with battery-powered motes, the power-effi-
cient communication between motes is usually required. Power issues, including the power source
and the management schemes, depend on the sensors employed in WSN applications. For civil
structure health monitoring (SHM), the sensors are usually the most power-hungry components
and the power line is usually available for the sensors. Motes in an object-tracking application are
battery-powered, thus raising the issue of power management (PM). Usually, the MCU has several
operation modes with different levels of power consumption. For example, the RF transceiver may
A Wireless Sensor Networks Enabled Inertial Sensor 405

provide modes, including idle or sleep, listen, and send (listen and send modes require similar levels
of power c onsumption). Self-organizing networks is another important issue in WSN applications.
In networking, WSN nodes are designed to communicate with neighboring nodes and automatically
construct possible data connectivity from received signals or data. For applications without node
movement or with negligible wireless interference from the environment, the above-mentioned self-
organizing feature may be ignored. For example, deployed WSN nodes are usually stationary in
environmental monitoring for agriculture applications [8]. On the other hand, the self-organizing
network is important for shooter localization in urban terrain.
This section first discusses the hardware architecture of the sensor node. The physical layer and
medium access control (MAC) layers are then described, followed by the network topic. Finally, the
gateway design is discussed.

18.2.1 Physical and Medium Access Control Layers


The WSN motes have limited processing speed, storage space, power supply, and communication
bandwidth and are usually designed to serve as low-power devices for low-speed and short-distance
wireless transmission. As shown in Figure 18.3, a mote consists of an MCU, an RF transceiver, a
PM module, a power source, sensors, and an antenna. RF-only chips and RF-MCU integrated chips
are commercially available and these chips are usually equipped with 60256kB of flash memory.
The most popular standard in the market is the ZigBee [9], which uses MAC services from IEEE
802.15.4 and adds network layer functions, security, and application services.
The physical layer is primarily responsible for managing the RF transceiver, the modulation
scheme, and power consumption. As shown in Table 18.2, the physical layer of IEEE 802.15.4 allo-
cates three ISM bands for wireless transmission and provides three different transmission speeds:
20, 40, and 250kbps. Europe uses 868MHz, while 915MHz is used in the United States and
Australia, and 2.4GHz is used elsewhere. The maximum power allowed for the RF transceiver in
the specifications is 1mW (0dBm) and the minimum transmission power is 0.5mW (3dBm). The
reception sensitivity is 91dBm at 868/915MHz, or 85dBm at 2.4GHz. The modulation scheme
transforms the digital signal into a high-frequency periodic electromagnetic (EM) wave for use in
wireless transmission, and vice versa. The IEEE 802.15.4 standard specifies direct-sequence spread
spectrum (DSSS) with phase shift keying (PSK) as the common modulation mechanism.

433 MHz
PM module 866 MHz
MOD DAC Mixer PA 2.45 GHz
LDO V V V
MCU VCO PA
Power
source
ROM
Temp. PLL VCO
RAM
OSC
ADC Timer Antenna

C
Sensor OSC
MUX DeMOD BPF Mixer LNA
I2C
RF transceiver
MCU

FIGURE 18.3 Hardware architecture of a sensor node. (From C. C. Enz etal., IEEE Computer Magazine,
pp. 6270, Aug. 2004. With permission.)
406 MEMS: Fundamental Technology and Applications

TABLE 18.2
RF Bands and Transmission Speeds in IEEE 802.15.4
Frequency Number of Channel/ Channel
ISM Band Range (MHz) Bandwidth (MHz) Numbered Modulation Bit Rate (kbps)
868MHz 868868.6 1/0.6 0 DSSS/BPSK 20
915MHz 905928 10/2 110 DSSS/BPSK 40
2.4GHz 24002485 16/5 1126 DSSS/O-QPSK 250

The MAC coordinates the times where multiple nodes access a shared wireless channel, allo-
cates short addresses to the node, transmits regular frame beacon packets announcing the network
identifier, and optionally implements the power-control elements. Carrier sense multiple access
(CSMA) with collision avoidance (CA) is the primary channel access scheme in the IEEE 802.15.4
standard. The slotted CSMA/CA is used in beacon-enabled networks, while unslotted CSMA/CA
is used for nonbeacon-enabled networks. Note that the MAC uses only one of the above-mentioned
channels at a time and is not a multichannel protocol.

18.2.2Networks
The primary functions of the network layer are to provide the interface to the MAC layer, a suitable
interface for the application layer, and a routing mechanism. The network topologies supported in
the ZigBee network layer include star, tree, or mesh. Mesh networking provides higher reliability
and expanded coverage. Three types of devices are specified in the ZigBee network: coordinators,
routers, and end devices. The coordinators handle the configuration of new and existing devices,
establish the network, and maintain security. The routers forward the packet to the intended receiver
and extend the range of the network. The sensing and control tasks are usually implemented in the
end devices. In WSN applications, however, it is quite common to implement several network roles
in one sensor node due to the reduced power consumption of system on chip (SoC) technology. The
routing protocol in the WSN network is critical since wireless transmission costs the most in terms
of power consumption. The reactive routing protocol, the dynamic route to a destination only on
demand, is preferred in WSN networks and ad hoc on-demand distance vector routing (AODV) rout-
ing is specified in the ZigBee network layer. To find the destination node, the source node broadcasts
a route request to all of its neighbors, which in turn rebroadcast the request to their neighbors, and
so on. Once the destination is reached, the destination node sends the route reply back to the source
with a unicast transmission via the lowest cost path. After receiving the route reply, the source node
updates its routing table for the destination address with the next hop in the path and the path cost.

18.2.3WSN Gateway
The gateways primary function is to receive packets, process information, and route data between
different networks or segments. WSN is basically a personal area network (PAN) with a multihop
function to extend the geometric coverage of the network. Although the emission power of motes
may be enhanced by an additional power amplifier, the gateway is still needed to route the WSN
data to the backend server for further processing. Given the broad applications of WSN, front-end
sensors are quite diverse. The signal or parameter to be sensed is either already digital or will be
converted to digital from analog. Either in its original format or as the analog-to-digital conversion
(ADC) count, the final digital signal is then encapsulated in a packet and sent directly or indirectly
to the gateway. Generally, some distributed or simple filtering tasks can be implemented on the sen-
sor node, but operations of the sensing data on the senor node are limited to integer manipulation
or other binary operations.
A Wireless Sensor Networks Enabled Inertial Sensor 407

The packets received by the gateway are first parsed by the packet type. Several data types of
environmental monitoring applications were mentioned in Ref. [11], including direct physical data,
linear conversion data, nonlinear mapping data, and random variable data. The direct physical data
(e.g., the rain drop counts) are a simple type that requires no conversion. The sensed environment
parameters of the linear conversion type can be obtained by directly applying a linear formula, pro-
vided by the sensor manufacturer, to the read voltage. Some sensors provide a nonlinear relationship
between output voltage/current and physical data within a specified range with boundaries confined
by nonlinear functions, for example, an output voltage is mapped to a concentration range but not a
constant value. The conversion rules of these sensors are predefined according to the sensors speci-
fications and the physical data are then interpolated from the read voltage with respect to those rules.
For example, an aerosol sensor detects the concentration of micrometer-scale smog particles that can
be mapped to a range. The last data type is the random variable and most MEMS accelerometers
and gyroscopes fall into this category. Usually, random signal-processing technology will be used to
obtain the corresponding physical data, for example, the moving average scheme [12] to calculate the
mean acceleration of an MEMS accelerometer. Note that the denoising procedures are usually used
to remove the unwanted noise listed in Table 18.1 of the acceleration or angular velocities.
The retrieved physical parameters are then checked against the preconfigured threshold and
processed according to the predefined procedures for each parameter. For example, the received
rain drop counts are usually compared to a threshold to determine the severity of rain at the sensor
location. If the counts are greater than the specific threshold, then predefined procedures, includ-
ing the request of underwater level from other sensors, are then evoked. Another example is the
processing of the received means of accelerations along the three axes from the sensor nodes. With
received signal strength (RSS) information, these values can be fed into the Kalman filter and the
inertial navigation formula to calculate node positions. The original or derived physical parameters
are then encapsulated in a new packet and sent to the backend server via the Internet. Further actions
are performed on the backend server according to decisions from the expert system or data min-
ing system. In summary, the gateway functions include packet parsing, physical data conversion,
criterion-based filtering, designed data processing, and data dissemination via wide area network
(WAN). Depending on the WSN applications, the gateway could be a simple bridge between WSN
and WAN or a complex criterion-based one. ARM-based hardware systems with embedded Linux
are preferred solutions for implementing the WSN gateway due to their low power consumption.

18.3 WSN-ENABLED INERTIAL SENSOR


The dramatic reductions in MEMS ISN size and power consumption have prompted the development
of INS applications outside of inertial navigation and incorporating wireless technology, for example,
body motion capture or detection. STT Corp. manufactures a commercial bluetooth wireless-enabled
ISN [13] measuring 41.7mm27mm62mm designed for use in motion capture. Instead of using
the whole commercial package, a WSN-enabled ISN is built by integrating the MCU, an RF trans-
ceiver, an accelerometer, and two gyroscopes onto a four-layer FR-4 substrate. This WSN-enabled
ISN can be applied to inertial navigation applications, deriving location information from the RSS.
The WSN-enabled ISN is small and wearable, making it suitable for body motion detection applica-
tions, which rely on reliable interaction between antennas and the human body. This section explains
the hardware design of the proposed WSN ISN and then discusses antenna design issues for wearable
sensor nodes. A simple moving detection scheme is then proposed to provide the event trigger func-
tion. A PM method combining the trigger and periodic wakeup is described at the end of the section.

18.3.1Hardware Design
As shown in Figure 18.4a, the proposed WSN ISN consists of a Sentilla T mote mini [14], an Analog
Device ADXL3000 trial-axial accelerometer, an InvenSense IDG-400 Dual-Axis gyroscope, and
408 MEMS: Fundamental Technology and Applications

Base Web server/


Monitoring center

Outdoor
sensor
Gateway Data sink/
Multi-hop (Motes) GP Data converter
RS
,3
G Client
Wired ethernet
User
Stored
procedure
Database server/
Indoor Signal Raw data data warehouse
sensor
Base Gateway
Voltage

FIGURE 18.4 WSN gateway model. (Adapted from STT Engineering System, Inertial Sensor STT-IBS
[Online]. Available: http://www.stt.es/index.php?/en/web/captura_de_movimiento/medicina/hardware/inertial_
sensors_stt_ibt.)

an ST LISY300AL single-axial gyroscope. The T mote mini includes a TI MSP430 MCU and a
CC2420 ZigBee-compliant RF chip. As shown in Figure 18.4b, the locations of the accelerometer
and gyroscopes on the printed circuit board (PCB) are arranged such that the acceleration and angu-
lar velocity along the z-axis (az and z) are in the same direction, away from the bottom layer of the
substrate. The ay and y are positioned in the same direction but on opposite sides of the PCB, and
the origins of both contain an offset. Note that the ax and x are positioned in opposite directions
with the origin offset. For this arrangement of kinetic ICs, basis translation between accelerometer
and gyroscope is required to calculate the position in inertial navigation applications. The new ISN
IC from InvenSense [2] could be considered to replace those three kinetic ICs if the basis transla-
tion causes an unrecoverable position error. Nevertheless, the arrangement is appropriate for human
body motion detection since it only tracks changes and distributions in kinetic parameters.
For body motion detection, the WSN ISN is designed to be powered by a small 3.7-V charge-
able Li-ion battery with 100-mAh capacity. The power circuit consists of a reed switch reserved for
some power-critical applications, a line voltage regulator, and a power switch. The RF components
are the most power-hungry while transmitting or receiving data. The gyroscopes also consume
a significant amount of power and, if power consumption issues are critical, a low-consumption
gyroscope should be selected. For wireless transmission, the proposed ISN uses a modified printed
inverted-F antenna (IFA) with matching ground element. The characteristics of the designed IFA
antenna are detailed in the next subsection. The dimensions of the implemented WSN ISN are about
40mm35mm.

18.3.2Antenna
In most WSN node deployments, the locations of the sensor nodes are usually not known in advance.
Thus, a wireless signal is preferred to broadcast in all directions in 3D space. The direction of the
broadcast can be determined by the radiation pattern in antenna terminology. The radiation pat-
tern of the dipole or monopole antenna is similar to the shape of an apple that is close to a sphere
and meets the signal broadcast requirement of WSN. Another consideration in WSN applications
is whether to use an external or built-in antenna. In most environmental or SHM applications, the
A Wireless Sensor Networks Enabled Inertial Sensor 409

(a)

(b)

+x +y Antenna
Substrate 0.8 mm
+ay +ax

+z +az
6 mm 9.5 mm
27 mm

FIGURE 18.5 (a) Photographs of the proposed ISN with an integrated 2.45GHz inverted-F antenna on a
four-layer FR-4 substrate and (b) the locations of the accelerometer and gyroscopes. (From Y.-C. Kan and
C.-K. Chen, IEEE Sensors Journal, 12(3), 651657, 2012. With permission.)

locations of the sensor nodes (and, thus, the attached antennas) seldom change, so external antennas
are sufficient for these applications. In WSN applications, which entail frequent node movement,
built-in or integrated antennas are preferred. In this proposed WSN ISN, a printed IFA is inte-
grated with the mote and kinetic sensors on an FR-4 substrate. In addition to the radiation pattern,
the return loss of the antenna indicates the range of working frequency. This operation frequency
bandwidth is usually defined as the frequency range where the return loss is higher than 10dB
(|S11| less than 10dB). As shown in Figure 18.5b, the operation frequency band of the printed IFA
with a circuit layout named IMU02 ranges from about 2.3 to 2.65GHz, which covers the operation
frequency band of the RF transceiver. The other antenna parameter is antenna efficiency, which
evaluates how well the antenna radiates energy. Antenna efficiency should be greater than 60% in
the operation frequency band for the antenna to be usable. As shown in Figure 18.5c, the efficiency
of the proposed printed IFA on ISN is above 70%. Figures 18.5df show the radiation patterns on
three orthogonal planes, with only two slow notches on the YZ plane.
The radiation pattern, operation frequency range, and antenna efficiency may be affected by the
circuit design or proximity to a human body. For BMA applications, the ISNs are worn on the chest
and thigh, which both serve to block radiation but without affecting communication between the
ISNs and base station. Although the frequency band is shifted, it still covers the desired band if the
distance between the ISN and human body is less than 1mm [15]. The antenna efficiency is reduced
dramatically if the ISN is too close to the human body [15]. Solutions for increasing the efficiency
of wearable antennas are studied using energy bandgap structures [15].

18.3.3Software Components
The basic function of an ISNs software is to transmit sensing data if it is moving and go to sleep
if it is stationary. The developed algorithm tries to perform the sensing task while minimizing the
missing rate and power consumption by integrating the event-trigger and periodic wakeups. All the
software on the ISN is programmed in an open-source environment called Tiny OS [16], developed
at UC Berkeley.
410 MEMS: Fundamental Technology and Applications

The event-trigger is implemented by a moving detection algorithm using Bayesian decision [17]
on the received accelerations. The measured accelerations are assumed to be the Gaussian distribu-
tion denoted by N(,), where x is the measured acceleration, is the mean of the distribution, and
is the standard deviation of the distribution. For n sampled accelerations, two simple hypotheses
are assumed with the same standard deviation and weight H1 : P=N(1,) and H2 : P=N(1,).
H1 states that the node is stationary and H2 states that the node has moved. The derived decision is

H1 :  m1
m

d =
 m1 > (18.2)
H 2 : m

where m is the average of the current set of accelerations and = ( m2 m1 ) / 2. The 1 is then the
mean for the previous stationary bin, and m is the mean for the current bin. For implementation,
every 128 values are divided into four 32-byte bins. The Bayes decision rule is applied to each bin
and to those two 64-byte bins. Then, those six decisions are weighted to determine whether the node
is moving or not.
Figure 18.6 illustrates the duty cycle of each component for the designed periodic wakeup with
movement detection scheme. The time duration of one movement detection cycle is

TMDP = TRA + TMD + TIDLE (18.3)


where TRA is the time for reading accelerations, TMD the time for detection calculation, and TIDLE the
idle time when all components, including MCU, ACCEL, GYRO, and RF, are in idle or off mode.
The period of the periodic wakeup is the integer multiple of the TMDP, that is, TPWU=s*TMDP, with s
being an integer. TIDLE should be set as long as possible, subject to the tolerance missing rate in the
application requirements. The time duration of the movement detection with n continuous move-
ment decisions is

TMDM = TRA + n * (TMD + TRAG + TTX + TRAL ) + TMD (18.4)


where TRAG is the time to read the accelerations and angular velocities together, TTX the time to
transmit a packet, and TRAL the time to read the acceleration for movement detection while the
gyroscopes are on. TRAL is almost equal to TRA but the power consumptions of both durations are
different (Figure 18.7).
Assume that there are m periodic wakeups and q movement events in a given time period T, then
the total energy consumption is

ET = mEPWU + qEMDM
q
q
q
q

q q
= m sERA + 1 +
m
+2
m ni ERA + 1 +
m
+2
m ni ERG
(18.5)
i =1 i =1

q q
q
q


+ sEMD + 1 +
m
ni EMD + 1 +
m
ni ETX + sEIDLE

i =1 i =1

where E stands for energy consumption corresponding to the time duration w.r.t. the subscript.
The ni is the number of duty cycles in the different movement events with i=1 to q, and ERG is the
(a) 25.6 (b) (c)
9
2.5 1 2
100
0
1 5 2 15 7
90
10
2.384
Matching
20 80
element 1.016
Radiator
(Layer 2)
(Top layer) 30 70

|S11| (dB)
40
33

Efficiency (%)
IMU01
40 60 IMU01
IMU02
Ground 50 IMU02
Z plane 2 2.2 2.4 2.6 2.8 3 50
2.4 2.42 2.44 2.46 2.48 2.5
Y (Layer 2) Frequency (GHz)
X Frequency (GHz)
Unit: mm
37

(d) 0 (+x) (e) 0 (+z) (f ) 0 (+z)


30 330 30 330 30
330 0 0 0
A Wireless Sensor Networks Enabled Inertial Sensor

10 10 10
300 20 60 300 20 60 300 20 60
30 30 30
40 40 40
50 50 50
270 90 270 90 270 90
(+y) (+x) (+y)

240 120 240 120 240 120

co-pol co-pol co-pol


210 150 cross-pol 210 150 cross-pol 210 150 cross-pol
180 180 180

FIGURE 18.6 Structure and measured antenna parameters of the integrated inverted-F antenna without (IMU01) and with (IMU02) circuit layout. (a) The dimension,
(b) the working frequency, (c) the antenna efficiency, (d) the radiation pattern on the XY-plane of IMU02, (e) the radiation pattern on the XZ-plane of IMU02, and (f) the
radiation pattern on the YZ-plane of IMU02.
411
412 MEMS: Fundamental Technology and Applications

Moving
detection Read-and-send
cycle cycle Periodic wakeup
cycle
TMD
TMD TRA TTX TMD TRAG
TRAG
Active TPWU
LPM0
MCU

LPM1 TMDP
LPM2
LPM3 TRA
LPM4 TRAL
TIDLE
ON
ACCEL

OFF

ON
GYRO

OFF

ON
RF

OFF

FIGURE 18.7 Duty cycles of each component in the software design of the proposed WSN ISN.

energy consumption required to read the data from the gyroscopes. Most energy consumption terms
in Equation 18.5 are subject to the hardware components used and those values can be assumed to
be constants. Only EMD is dependent on the movement detection algorithm. For the proposed WSN
ISN, ETX is usually about three times ERG, 20 times ERA, and 1000 times EIDEL [18]. In Equation 18.5,
there are three important parameters: s, q/m, and ni. s is the number of movement detection cycles
during TPWU, q/m is the ratio of movement events to periodic wakeups, and ni is the total number
of read-and-send cycles of all movement events. The optimal power-saving scenario is q=0, which
indicates no movement event at all. A test of this scenario using the proposed WSN ISN resulted in
power consumption of about 1.5 mAh. The study of the effects of these parameters, including ETX
and ERG, on the total energy consumption is ongoing.

18.4APPLICATIONS
The proposed WSN ISN can be applied to object-tracking applications by utilizing the kinematic
equations and Kalman filtering technology and to BMA by studying the patterns of received kine-
matic parameters. The typical examples of the former are GPS and INS navigation systems with an
error-state INS-GPS Kalman filter [19] and motion capture systems that track the moving path of
a portion of a human body, for example, the Nintendo Wii. The WSN ISN could be combined with
wireless location estimation for indoor object tracking. For the BMA, the kinematic parameters are
analyzed using nonlinear or machine-learning algorithms, for example, fuzzy control or artificial
neural networks. This section discusses two related applications: VAM and BMA.
The objectives of the VAM include monitoring environmental parameters at the location of the
valuable asset and tracking moving assets both indoors and outdoors. The former is a typical WSN
application and a good example of cultural asset monitoring can be found in Ref. [20]. For out-
door tracking, the latter can be implemented using the WSN node with a built-in GPS device [21].
For indoor areas with other WSN nodes deployed, range-based, range-free, and mobile beacon
A Wireless Sensor Networks Enabled Inertial Sensor 413

localization technologies [22] can be applied to track the WSN node. The range-based schemes
usually use RSS or angles for localization and provide higher accuracy than that obtained with
range-free schemes, but may require more hardware on the sensor node. However, accuracy and
communication overhead are two critical issues for range-free technology, which estimates the loca-
tions of nodes without range information. Mobile beacon schemes require a location-aware mobile
node with a certain degree of locational accuracy to broadcast location beacons to other nodes.
Based on the RSS information, the receiving nodes must calculate their locations relative to the
mobile beacons. For indoor valuable asset tracking, the rough or grid location of the asset is usually
sufficient; hence, range-based technologies provide a good location estimation if other WSN nodes
are deployed in the area. However, indoor tracking becomes difficult if no WSN nodes are located
in the area, leaving ISN as the only choice. In this case, the wireless ISN (with some other location-
aware devices to limit the ISN inertial navigation error and the Kalman filter-based calibration

(a) 4

3 Jogging
Standing Sitting

1
Acceleration (g)

2
Lying
3 Walking

5
0 10 20 30 40 50 60 70
Time (s)

(b) 4
Jogging Sitting
3 Standing

1
Acceleration (g)

3
Lying
4 Walking

5
0 10 20 30 40 50 60 70
Time (s)

FIGURE 18.8 Measured accelerations from the ISN on (a) the chest and (b) the thigh. The solid line, dashed
line, and dotted line are ax, ay, and az, respectively. The ISN is marked by a circle. A series of movements is
performed in sequence: standing, walking, lying, jogging, and sitting.
414 MEMS: Fundamental Technology and Applications

[23,24]) is required to calculate the path of the ISN node. Bird and Arden [25] demonstrated an
interesting implementation for indoor tracking using the ISN with a magnetic sensor and some
error compensation algorithms. For asset tracking applications, the movement-triggered mechanism
mentioned in Section 18.3 is important for the WSN node or ISN since the asset is usually stationary
at the same location.
For BMA, wearable ISN nodes are attached to the chest and the right thigh. The forward or
backward direction is defined as the z-axis, while the updown and rightleft directions represent
x- and y-axes, respectively. Body motion is performed in a specific sequence: standing still, walk-
ing, lying down, jogging, and sitting. Figure 18.8 shows the data received from the two ISN nodes
converted into the accelerations in g. As expected, accelerations along the three axes from both
nodes are nearly identical to that of the standing state. While walking, the chest-based ISN records
smaller variations of acceleration, while variations recorded by the thigh-based unit are greater
due to the relatively greater movement of the thigh during walking. When the motion changes from
standing or walking to lying down, the accelerations along the x- and z-axes from both ISN nodes
show about 1-g variation. Similarly, the accelerations along the x- and z-axes from the thigh-based
ISN change about 1g while the person sits down after jogging. However, the accelerations from
the chest-based ISN barely change. The largest change in acceleration occurs during jogging and
the number of acceleration changes can be counted. Further analysis using the Fuzzy system can
be found in Ref. [26].

18.5CONCLUSIONS
This chapter provides an application-based view of the main components of the WSN-enabled ISN.
Thanks to the development of an IC-based MEMS IMU, the WSN ISN can be implemented on a
40mm37mm four-layer (PCB) with printed antennas and further size reduction can be achieved
by using smaller SoC chips and smaller printed antennas. The small size of this WSN ISN allows
for accurate indoor object tracking by bounding position errors from the inertial navigation calcula-
tion with the RSS-based localization and Kalman filter. Other related applications include debris
flow monitoring and valuable asset tracking. In these applications, the sensors are mostly stationary
and PM is an important consideration, but power consumption can be minimized through using
a suitable moving detection algorithm similar to that presented in Section 18.3.3. The proposed
WSN ISN can be used in evaluating physical therapy progress through BMA on received kine-
matic parameters. The features extracted from the received kinematic parameters can be applied to
machine-learning algorithms to predict or indicate body movement and provide further information
for recovery evaluations.

REFERENCES
1. Analog Devices, High Precision Tri-Axis Inertial Sensor [Online]. Available: http://www.analog.com/
static/imported-files/data_sheets/ADIS16354.pdf.
2. InvenSense, Inertial Measurement IC: MPU-6000/MPU-6050 [Online]. Available: http://invensense.
com/mems/gyro/documents/PS-MPU-6000A.pdf.
3. O. J. Woodman, An introduction to inertial navigation, Computer Lab., University of Cambridge,
Cambridge, UK, Tech. Rep. UCAM-CL-TR-696, Aug. 2007.
4. M. S. Grewal, V. D. Henderson, and R. S. Miyasako, Application of Kalman filtering to the calibration and
alignment of Inertial Navigation systems, IEEE Transactions on Automatic Control, 36(1), 413, Jan. 1991.
5. J. Bird and D. Arden, Indoor navigation with foot-mounted strapdown inertial navigation and magnetic
sensors, IEEE Wireless Communications Magazine, pp. 2835, Apr. 2011.
6. Y.-C. Kan and C.-K. Chen, A wearable inertial sensor node for body motion analysis, IEEE Sensors
Journal, 12(3), 651657, 2012.
7. D. Culler, D. Estrin, and M. Srivastava, Overview of sensor networks, IEEE Computer Magazine, pp.
4149, Aug. 2004.
A Wireless Sensor Networks Enabled Inertial Sensor 415

8. K. Matinez, J. K. Hart, and R. Ong, Sensor network applications: Environmental sensor networks, IEEE
Computer Magazine, pp. 5056, Aug. 2004.
9. ZigBee Alliance [Online]. Available: http://www.zigbee.org.
10. C. C. Enz, A. El-Hoiydi, J.-D. Decotignie, and V. Peiris, WiseNET: An ultralow-power wireless sensor
network solution, IEEE Computer Magazine, pp. 6270, Aug. 2004.
11. H.-C. Lin, Y.-C. Kan, and Y.-M. Hong, The comprehensive gateway model for diverse environmental
monitoring upon wireless Sensor Network, IEEE Sensors Journal, 11(5), May 2011.
12. J. G. Proakis, and D. G. Manolakis, Digital Signal Processing: Principles, Algorithms, and Applications,
2nd Ed., Ontario, Canada: Macmillan, 1992, Ch. 2.
13. STT Engineering System, Inertial Sensor STT-IBS [Online]. Available: http://www.stt.es/index.php?/en/
web/captura_de_movimiento/medicina/hardware/inertial_sensors_stt_ibt.
14. Sentilla Corp., Mote iv Hardware Product Transition NoticeT mote mini datasheet [Online]. Available
http://www.sentilla.com/moteiv-transition.html.
15. Y.-C. Kan, H.-C. Chiu, and P.-K. Huang, The analysis and design of the modified PIFA on the wearable
sensor nodes, International Conference on Electromagnetics in Advanced Applications (ICEAA), pp.
917920, Sept. 2011.
16. UC Berkley, Tiny OS Community Forum [Online]. Available http://www.tinyos.net/.
17. H. Poor, An Introduction to Signal Detection and Estimation. New York: Springer-Verlag, 1985, Ch. 2.
18. Y.-C. Kan, S.-Y. Chiang, and C.-J. Lin, A GPS anchor node for outdoor wireless sensor network appli-
cations, IEEE International Symposium on Radio-Frequency Integration Technology, Singapore, Dec.
911, 2009.
19. J. A. Farrell and M. Barth, The Global Positioning System and Inertial Navigation, New York: McGraw-
Hill, 2001.
20. M. C. Rodriguez-Sanchez, S. Borromeo, and J. A. Hernandez-Tamames, Wireless sensor networks for
conservation and monitoring cultural assets, IEEE Sensor Journal, 11(6), 13821389, May 2011.
21. Y.-C. Kan, H.-C. Lin, and Y.-M. Hong, The design and implementation of the GPS anchor node for wire-
less sensor network, Sensor Letters, 9(5), 19161920, Sept. 2011.
22. K.-F. Ssu, C.-H. Ou, and H. C. Jiau, Localization with mobile anchor points in Wireless Sensor Networks,
IEEE Transactions on Vehicular Technology, 54(3), 11871197, May 2005.
23. M. S. Grewal, V. D. Henderson, and R. S. Miyasako, Application of Kalman filtering to the calibration
and alignment of inertial navigation systems, IEEE Transactions on Automatic Control, 36(1), 412, Jan.
1991.
24. C.-H. Ou and K.-F. Ssu, Sensor position determination with flying anchor in three dimensional wireless
sensor networks, IEEE Transactions on Mobile Computing, pp. 10841097, Sept. 2008.
25. J. Bird and D. Arden, Indoor navigation with foot-mounted strapdown inertial navigation and magnetic
sensors, IEEE Wireless Communications Magazine, pp. 2835, Apr. 2011.
26. S.-Y. Chiang, Y.-C. Kan, Y.-C. Yu, and H.-C. Lin, A Preliminary Activity Recognition of WSN Data on
Ubiquitous Health Care for Physical Therapy, Data Engineering and Internet Technology (DEIT 2001),
Bali, Indonesia, 1517 March 2011.
19 Passive Radio-Frequency
Acoustic Sensors and Systems
for Wired and Wireless
Applications
Sylvain Ballandras, Gilles Martin, Jean-Michel Friedt,
VictorPlessky, Virginie Blondeau-Ptissier, William Daniau,
Thomas Baron, Luc Chommeloux, StphaneTourette, Jean-
Franois Leguen, Bruno Franois, Christophe Droit, Meddy
Vanotti, Marc Lamothe, DavidRabus, Nicolas Chrtien, and
Emile Carry

CONTENTS
19.1 Introduction........................................................................................................................... 417
19.2 Basic Principles of Acoustic-Based RF Sensors................................................................... 418
19.2.1 Surface Acoustic Wave Sensors................................................................................. 419
19.2.2 Bulk Acoustic Wave Sensors..................................................................................... 421
19.2.3 Other Types of Acoustic-Based Transducers for Sensors.......................................... 422
19.2.4 Theory Elements........................................................................................................ 422
19.3 Interrogation Techniques....................................................................................................... 424
19.3.1 Wired......................................................................................................................... 425
19.3.1.1 Delay-Line-Based Approaches................................................................... 425
19.3.1.2 Oscillator Approaches................................................................................ 427
19.3.2 Wireless..................................................................................................................... 428
19.3.2.1 Resonator-Based Systems........................................................................... 428
19.3.2.2 SAW Tag Devices and Interrogation Principles......................................... 430
19.3.2.3 Ultra-Wide-Band Techniques..................................................................... 432
19.4 Effective Implementation of Acoustic-Based RF Sensor Systems........................................ 433
19.4.1 Temperature Measurements....................................................................................... 434
19.4.2 Temperature and Pressure Sensors............................................................................ 434
19.4.3 Example of a Chemical Sensor: Hydrogen Detection............................................... 435
19.5 Conclusion............................................................................................................................. 437
Acknowledgments........................................................................................................................... 437
References....................................................................................................................................... 438

19.1INTRODUCTION
Passive acoustoelectric devices are extensively used for quite a long time now for various radio-
frequency (RF) applications [1]. Today, the most popular development of such devices concerns

417
418 MEMS: Fundamental Technology and Applications

modern telecommunication, and more specifically, filters and sources for cellular phones [2] as they
exhibit remarkable characteristics and a high stability versus the environment. These devices are
mainly based on piezoelectric substrates (or thin films) on which bulk acoustic waves (BAW) or
surface acoustic waves (SAW) can be advantageously excited. Although the first applications were
mainly devoted to filtering and frequency control, the possibility to develop sensors and associated
systems using these devices was soon investigated and yielded numerous academic as well as indus-
trial developments [3].
SAW devices have been extensively used for wireless sensing applications since the demon-
stration by Bao et al. in 1987 [4] that can be operated without on-board power supply using an
adapted interrogation strategy. Meanwhile, the use of BAW and SAW devices for wired sensor
developments [5] was less regarded but still represents an interesting solution for high-accuracy
measurements in various environments for which the wireless aspect is less crucial (e.g., moving
part control). For such applications, single-port and double-port resonators built on standard quartz
or lithium niobate cuts can be implemented and they generally represent the uppermost solutions
because of the possibility to control the temperature sensitivity (mainly for quartz) of the propaga-
tion by an adequate choice of technological parameters. Delay lines and resonators are the most
often implemented structures for sensing purpose. In the latter case, thin-film-based BAW devices
also received some interest in the past few years either because of their potential ultimate sensitivity
(microbalance applications [6]) or because of their compactness and silicon-technology compat-
ibility (when demonstrated).
Whatever the considered approach is, an effort must be made to adapt the device architecture to
a reliable translation of the perturbing effect. In parallel, different strategies can be implemented
for probing the frequency of these devices. The accuracy of the system is therefore dramatically
controlled by the stability of the measurement approach. According to targeted resolution/accuracy,
such developments can address various applications; for example, monitoring temperature [7], pres-
sure [8], stress [9], or any combination of these parameters [10] in industrial or daily-life process.
In this chapter, we first introduce the basic principles of acoustic-based RF devices and the vari-
ous structures usually implemented for sensors. We emphasize the intrinsic qualities of each type
of device and briefly discuss their technological implementation. Some theory is recalled to allow
for understanding how these devices are designed and for describing the engineers usual optimiza-
tion process to maximize or simply tailor the sensitivity to address industrial requirements. Several
examples allow for illustrating the implementation of these devices and a focus is then proposed on
the different electronic systems that devote to the sensor operation control, from both a wired and a
wireless point of view. We particularly present the state of the art concerning accuracy, resolution
and stability, interrogation distance, and long-term robustness of these systems, with a discussion on
further development of such devices and their present and future applications.

19.2 BASIC PRINCIPLES OF ACOUSTIC-BASED RF SENSORS


Continuous or periodic monitoring of parametric variations of various environments provides
the basic information to allow for predictive maintenance, reacting to any abnormal response of
themonitored systems. This requires embedded sensors with life capabilities longer than that of the
host structures. For that purpose, acoustic-based RF sensors built on single-crystal-based substrates
(incorporating thin metallic or dielectric films) represent an attractive solution because of their
robustness, high compactness, and high precision, all these features being achieved at notably small
fabrication costs. These devices may exploit either SAW or BAW or localized-vibration structures
excited by various approaches, although the easier and preferred solution in that matter is based on
piezoelectric principles. Whatever the exploited vibrations are, these are physically manifested by a
mechanical vibration of the crystal lattice at the atomic scale, described using macroscopic equations
as the global vibration results in the combination of a population of phonons coherently propagating.
At first order, their characteristics depend on the physical properties (related to the crystal lattice) of
Passive Radio-Frequency Acoustic Sensors and Systems 419

the substrates supporting the waves, which are generally sensitive to their environmental operating
conditions. Practically, all parts of the sensor (such as electrodes, mounting, and package) do affect
more or less the actual sensor characteristics and effective sensitivity, which makes the device quite
difficult to design, thus requiring advanced design tools for their industrial development.
A physical measurement based on RF acoustic sensors generally consists of the evaluation of
phase velocity or attenuation changes, which principally appear as modifications of

Resonance frequencies
Phase shifts between input and output signals
Magnitude differences between the above-mentioned signals

The origin of these changes are related to

Acoustic coupling: mass loading, acoustic losses, and wave attenuation


Electroacoustic coupling: substrate piezoelectricity generates field effects potentially cou-
pled to environmental conditions
Modification of the physical properties of the substrate by direct coupling with environ-
mental conditionsfor instance, temperature, pressure, and so onbecause of the non-
linear properties of single-crystal materials generally implemented for such components

Numerous parameters can be measured or detected using such devices, depending on the need
for detectors (change detection) or sensors (parameter measurement). An active intellectual prop-
erty activity has not only been generated in these past few years around wireless interrogation of
passive devices, but also concerning the basic principle of the sensors or even the way these devices
may or should be interrogated according to the expected function. Therefore, a variety of new ideas
and techniques are investigated yearly to answer the growing demand of efficient low-cost sensors
weakly impacting the parts they are instrumenting.
To choose and design the most adapted sensor to a given application, one must account for the
specific features characterizing a given sensor and its associated interrogation system following the
hereafter listed criteria:

The nature of the selected materials


The nature of the acoustic wave (such as surface, bulk, interface, and wave polarization)
The nature of the transducer and the general structure of the sensor (such as resonator,
delay line, filter), and the operating frequency (for instance, intermediate (VHF) frequency
(also called IF) allows for high Q device implementation for wireless application, whereas
high (UHF) frequency favors wide-band-delay-line-based sensors)
The nature of the parameter to measure
The environment of the measure itself (indoor, outdoor, metallic walls, humidity, tempera-
ture, etc.)

The main types of acoustic sensors are briefly described in the following sections before address-
ing the way these devices can be implemented and exploited. SAW and BAW are particularly con-
sidered as they represent the principal types of devices implemented for sensing, particularly at an
industrial level, but the arising of several alternatives is mentioned as well.

19.2.1Surface Acoustic Wave Sensors


SAW on piezoelectric substrates have been particularly studied since the invention of the metallic
interdigitated transducer (IDT) 45 years ago [11]; this mature technology is widely used for the
fabrication of RF filters as used in cell phones [1,2]. Billions of such filters are produced each year.
420 MEMS: Fundamental Technology and Applications

Piezoelectric
substrate
(quarts, LiNbO3, Sampled
LiTaO3,...) impulse
response

SAW

Inter-digitated transducers (IDT)

FIGURE 19.1 General principle of a SAW device based on IDTs.

Industrial processes have been developed now for 40 years for the fabrication of these devices and
they are accessible today all over the world. Figure 19.1 recalls the basic principle of SAW devices
and IDT operation.
However, these frequency filters suffer from their parametric sensitivity and from the influence
of external operating conditionstemperature, mechanical stress, and so on. This situation led to
the investigation of the possibility for using devices such as temperature, pressure, acceleration, or
even chemical/biological sensors in a natural engineering investigation process. Therefore, the SAW
sensor industry has become effective together with the rise of new demand for monitoring everyday-
life physical parameters such as tire pressure [12] (tire pressure monitoring system (TPMS) has
become a compulsory equipment in modern cars) and many other recently developed applications.
Several piezoelectric materials can potentially be used for the fabrication of SAW sensors, the
most used still being quartz (although SAW filters are mainly based on lithium tantalate). This mate-
rial actually allows for the sensor property optimization by a proper choice of the crystal cut angles
and propagation direction according to the given requirements and specifications. Furthermore, the
existence of a wide range of piezoelectric materials enables one to develop innovative solutions for
numerous applications; for instance, by taking advantage of the large electromechanical coupling of
LiNbO3 or the capability of given orientations to excite pure modes (longitudinal and shear) trapped
at the substrate surface by proper transducer structures. Table 19.1 shows a collection of materials
and related crystal orientations widely used for SAW application and therefore usable for SAW sen-
sor developments according to their specific properties.
Various crystal cuts allow for adapting the sensor response to different external stimuli. For
quartz, the AT-cut family (YXl)/ with 32<<42 is the most used for temperature or stress/
pressure sensors [13]. Depending on the propagation direction (X or rotated Z-axes), it allows for
Rayleigh-like or pure shear wave excitation, which reveals to be well adapted for specific applica-
tions (elliptic waves are used for physical sensing, whereas shear waves are preferred for chemical/
biological analyses). The so-called Rayleigh waves allow for the fabrication of high-quality factor
(Q) resonators on quartz (Q times the frequency product close to 1013) and for an accurate control
of the first-order temperature coefficient of frequency (TCF) and of the stress sensitivity (from 80
to +80ppm/C and from 30 to +30ppm/MPa, respectively). As mentioned above, these features
nicely meet the specifications for wireless measurement of physical parameters (such as tempera-
ture, pressure, and more generally, mechanical stress, up to a combined phenomena such as tem-
perature/pressure). On the other hand, low-wave devices [14] based on pure shear wave guiding by
an adapted layer (optimal thickness within the wavelength range) specifically reveals compatibility
Passive Radio-Frequency Acoustic Sensors and Systems 421

TABLE 19.1
Selection of Piezoelectric Materials and Related Crystal Cuts for SAW Applications:
Principal SAW Properties and Characteristics
For h/ (Aluminum)=2% and a/p=0.5
Single
Crystal Cut Electrode
(YXwlt) Nature of Velocity Coupling Reflection First-Order TCF
Substrate // () the Wave (m s1) (%) (%) r (ppm/K)
Quartz 0/34/0 Rayleigh 3133.58 0.116 1.21 4.51 0 (35ppb/K2)
0/36/0 3137.54 0.118 1.22 4.51
0/42.75/0 3143.95 0.119 1.14 4.52
0/36/90 STW 5053.78 0.216 1.36 4.56 0 (55ppb/K2)
LiTaO3 90/0/112 Rayleigh 3284.60 0.766 1.25 47.39 18
0/36/0 PSAW 4136.89 6.40 3.98 49.26 35
0/42/0 4140.90 6.06 3.80 48.83
LiNbO3 0/0/90 Rayleigh 3421.61 4.43 1.96 44.98 94
0/128/0 3878.37 5.70 0.8 54.70 74
0/64/0 PSAW 4523.90 11.54 6.77 50.93 72
0/41/0 4472.87 19.08 10.0 60.94 52
Langasite 0/48.5/26.6 Rayleigh 2735.82 0.23 1.04 27.97 0 (60ppb/K2)
Li2B4O7 0/45/90 Rayleigh 3401.0 1.0 7.0 9.6 0 (270ppb/K2)

with liquid sensing by exploiting various approaches (selective adsorption using an additional film,
viscosity effects, and in general, the boundary condition changes).
Langasite [15] was studied in the early 1980s as a substitute for quartz with various specific advan-
tages: a low phase velocity yielding more compact IF devices than on quartz and a higher electrome-
chanical coupling favorable to increase the filter relative to the bandwidth. This material presents the
crystal orientations for which Rayleigh-like waves can be temperature-compensated and therefore
presents significant assets to make quartz out of its place. However, this material is far from meeting
quartz industrial maturity, but high-temperature (above 500C) applications sound particularly acces-
sible for it as it does not suffer from any Curie effect (crystal symmetry change), allowing to make it
operable up to 1100C (in theory, but 900C has already been achieved experimentally [16]).
Finally, lithium niobate (LiNbO3) and lithium tantalate (LiTaO3) intensively used for RF filter
applications in the 8002500MHz frequency range can be implemented for sensor configurations
requiring large electromechanical coupling. More particularly, (YXl)/128 and (YZ) LiNbO3 cuts
are well suited for SAW tags [17] and ultra-wide-band (UWB) interrogation techniques [18] as the
latter require wide-band highly coupled (min ks2 ~5%) transducers operating at high frequency
(2.45GHz).

19.2.2Bulk Acoustic Wave Sensors


BAW have been considered for the fabrication of RF devices after the First World War. The first
patent was deposited in 1919 by Nicolson [19] from the Bell Telephones Laboratory in which a
piezoelectric resonator can be used to help stabilize an electrical oscillator. However, Cady was the
first to propose a clear description of such an oscillator equipped with a quartz resonator used to
select the operating frequency [20]. The development of crystal oscillators during the Second World
War yielded tremendous progress in the fabrication and use of BAW resonators, as well as in mate-
rial growth and manufacture. As soon as engineers explored the possibility for limiting parametric
422 MEMS: Fundamental Technology and Applications

effects on the stability of the resonance frequency of BAW resonators, the idea of using the wave
sensitivity to external operating conditions also gave rise to the development of BAW sensors. The
most celebrated sensor based on BAW devices is actually the so-called quartz crystal microbal-
ance (QCM) [6] widely used for thickness control in thin-film deposition machines (sputtering or
evaporation) and also for biochemical reaction monitoring (such as performed using the Q-sense
apparatushttp://www.q-sense.com/). However, other types of sensors have also been developed
using BAW resonators, particularly for pressure measurements in very harsh environments met in
oil-and-gas industry exploration.
Significant efforts have also been made to exploit new BAW concepts and devices such as film
bulk acoustic resonator [21] (FBAR, as well as the solidly mounted resonator (SMR) structure) using
micron-thick sputtered aluminum nitride (AlN) [22] or zinc oxide (ZnO) [23] films, mainly for grav-
imetric sensing applications. Finally, resonant structures, also taking advantage of thin or thinned
piezoelectric films for the excitation of bulk acoustic waves, namely high-overtone bulk acoustic
resonator (HBAR), are currently developed for sensing application, as they allow for accessing the
highest quality factors in acoustics in solids [24], yielding ultimate frequency resolution, particu-
larly for wireless applications. Recent work has shown the possibility for exploiting these HBARs
built using thinned piezoelectric single crystals to develop temperature-stable pressure sensors [25].
Research investigations are currently engaged to develop this technology and make it usable as an
alternative to RF SAW sensors, as they allow for improving resonator electrical functional charac-
teristics and for significantly reducing the overall sensor size.

19.2.3Other Types of Acoustic-Based Transducers for Sensors


Several solutions have been investigated since the development of the interdigitated transducer.
Avariety of devices can be implemented to take advantage of specific features and properties of the
corresponding modes to face actual operation conditions. For instance, plate modes based on Lamb
waves [26,27] reveal the capability to operate in liquid when using modes with an acoustic imped-
ance smaller than the adjacent liquid (the first antisymmetrical modeA0), and also low-wave
devices based on pure shear waves can be operated for similar applications [28]. Many efforts have
also been recently deployed to exploit the interface waves for filter applications, but such devices
certainly can be exploited for sensor development, particularly when the device must be closely
embedded in the object to the instrument [29]. Also, numerous devices are currently developed and
investigated based on the combination of thin films and silicon micromachining (see, for instance,
Ref. [30]). There is not enough room in this chapter for describing all these devices but the reader is
encouraged to seek in the recent literature to make up his mind about the actual possibilities of all
these innovative structures for effective sensor developments.

19.2.4Theory Elements
The optimization of an acoustic wave device for sensor applications uses several levels of simulation,
from linear analysis of the wave propagation or confinement to nonlinear physics when representing
the wave perturbation owing to parameter changes. The nonlinear behavior of crystal-based sensors
mainly consists of evaluating the induced changes of elastic properties of the substrate by the above-
mentioned parameter change, as crystals do not exhibit any plastic properties (they are subjected
to irreversible damage when meeting the elasticity limit). Mathematical tools based on perturba-
tion approaches [31,32] must be developed to predict wave parametric sensitivities and therefore to
optimize the sensor properties. The basic acoustic wave characteristics must also comply with the
requirements related to the operation of the host electronic system (resonance frequency, coupling,
and quality factors), for wired and wireless applications as well. These aspects are addressed using
matrix methods (the so-called mixed matrix approach for SAW devices [33] or scattering matrices
for BAW [34]) tailored to provide a very reliable prediction of the sensor electrical response.
Passive Radio-Frequency Acoustic Sensors and Systems 423

Using such tools and according to the selected operating points, optimal material cuts have to
be identified by systematic computation of acoustic wave properties versus crystal angles and the
propagation direction. The relative frequencytemperature variations of acoustoelectric devices
generally obeys the cubic law (Equation 19.1), but reduces to quadratic dependence for SAW devices

f q
= a (T T0 ) + qb (T T0 )2 + qg (T T0 )3 (19.1)
f0

where the three temperature coefficients of frequency , , and are representatives of the
temperaturefrequency behavior of a given wave on a given substrate. The latter is assumed to
expand freely in all space directions; therefore, these characteristics are intrinsic to a given wave on
a given substrate and crystal orientation. The temperature coefficients of this equation are computed
considering both the dynamic behavior of the wave propagation and the static contribution of the
substrate as explained above [34]. The basic principle of a SAW temperature sensor then consists
of measuring the difference between the response of a reference signal and a temperature-sensitive
signal to provide an information proportional to the sensor absolute temperature. The design pro-
cedure consists of computing the first- and second-order TCF for the selected acoustic mode. Two
crystal orientations are then defined, allowing for a residual temperature dependence meeting the
sensor requirements (resolution and operating bandwidth) by difference of the respective tempera-
ture/frequency laws. Two examples of sensor configurations are reported in Figure 19.2 to illustrate
the actual implementation of the device (Figure 19.2).
Contrary to temperature, the stress sensitivity of SAW devices depends on the geometry of the
sensor structure as the way the stress is applied on the dice and mounting conditions do impact the
stress distribution along the wave path. Therefore, stressfrequency dependence of acoustic devices
is represented using a tensor relation considering a given static stress distribution computed, for
instance, using analytical models or finite element analysis (FEA) [35].

f
= Saij Tij (19.2)
f0

where the stress sensitivity coefficients sij are only computable for the material for which the third-
order elastic constants are accessible (in the case of quartz and lithium niobate, for instance).
For the selected crystal orientations for SAW applications, a set of parameters indicating the effi-
ciency of SAW excitation, detection, propagation, and diffraction must be computed, accounting for
the acoustic contribution of electrodes, yielding parameter grids [36]. The latter are used in mixed

(a) (b)

FIGURE 19.2 Example of SAW temperature sensor configurations using resonators. (a) Two resonators on
the same chip. (b) Two resonators on separated chips in the same package.
424 MEMS: Fundamental Technology and Applications

Mixed matrix or COM Complete FEA/BEM

Electrodes shape
Sensor
geometry
Test-vehicle Periodic FEA/BEM
measurement

Waves acoustical Electromagnetic


parameters simulator

Complete FEA/BEM
Mixed matrix

Electronics circuit
simulator

Transfer function

FIGURE 19.3 Typical scheme of the design process according to the selected routes; the mixed matrix (or
COM) approach is preferred when starting from scratch with a new material, as numerous analysis steps must
be achieved to acquire more knowledge, allowing for entering a direct FEABEM design.

matrix or coupling of mode (COM) models to simulate the whole SAW devices (such as resonators,
delay lines, and others) and the optimization process is developed to provide the best design accord-
ing to the system specification. The following design loop (Figure 19.3) is applied to achieve reliable
predictions of the sensor operation capability and spectral response.
FEA is coupled with boundary element methods (BEM) to achieve very reliable description of
the wave guiding and excitation, starting from the material physical parameters (such as mass den-
sity, elastic, piezoelectric, and dielectric properties), accounting for the electrode shape and nature.
A periodic version of this tool is used particularly to establish parameter grids for a given material
orientation feeding the mixed matrix design tool. Note that the grid is computed at the actual operat-
ing temperature, then allowing for designing the device according to its final use. A step ahead in the
design loop will consist in importing the SAW device model within an electronic circuit simulator
equipped with an electromagnetic simulator (for instance, ADS from Agilent) to predict the whole
sensor behavior and electrical response, including the antenna and packaging impact on the latter [37].

19.3 INTERROGATION TECHNIQUES


Although the passive acoustic sensor is the heart of the system, the need for a brain is mandatory for
interpreting the information concealed in the electrical signal response of the device submitted to
the effect to characterize. Therefore, significant efforts have been dedicated to the development of
the so-called readers that consist of autonomous electronic systems capable of emitting and receiv-
ing RF signals supplied and transmitted by the sensors, respectively. Many issues have to be dealt
with when interrogating passive sensors, depending on the nature of the device and the interrogation
link used for. However, the most important aspect is to guarantee the stability and the robustness of
the interrogation signal. Particularly, as all the devices concerned here are defined by an operating
frequency, this parameter must be accurately controlled and the reader frequency source must be at
least more stable in terms of frequency deviation than the system resolution. Furthermore, the inter-
rogation channel must not generate measurement interferences, as it may arise when modifying RF
links in wireless measurement protocols, for instance (owing to environmental changes or intermit-
tent sensorreader communication in moving part instrumentation). Although this situation does not
Passive Radio-Frequency Acoustic Sensors and Systems 425

occur for wired measurements, differential measurement will be generally promoted first to lower
unwanted pulling effects and second to get rid of physical parameter cross-talk (vibrations applied to
temperature sensors, for instance). The reader therefore must operate several devices to achieve this
task, but not to the price of accuracy or (more frequently) refreshing time (system bandwidth), which
is a crucial feature for stress monitoring, for instance (in the case of acceleration effects [38]).
Because of the absence of norms and other guiding industry development vectors, there is still
a harsh competition to try and impose one solution among plenty of equally interesting or advanta-
geous approaches for addressing the above-mentioned targets. Therefore, several competing tech-
niques must be accounted for at this early stage to present a comprehensive overview of the state
of the art in passive sensor interrogation. Two different families are discussed here, consisting of
wired and wireless approaches. In both cases, the developed solution will be exemplified to offer an
effective outlook of the corresponding techniques.

19.3.1Wired
As explained above, acoustic wave devices have been extensively used for wireless sensing applica-
tions as they can operate without on-board power supply using an adapted interrogation strategy.
Meanwhile, the use of these devices for wired sensor developments, which was first investigated in
the early 1970s [39], was less regarded but still represents an attractive solution for high-accuracy
measurements. In various environments, the wireless aspect actually is less crucial than for, for
example, moving part control. In the following sections, different approaches are described along
the nature of the sensor and the way they are connected to the reader.

19.3.1.1 Delay-Line-Based Approaches


As explained in Section 19.1, delay lines are one of the forms classically used for the development of
SAW devices (Figure 19.1). They generally consist of two transducers deposited atop a single-crystal
substrate, exchanging energy along a convolution (linear) process. As the spectral transfer function
of a constant aperture IDT is a cardinal sine function (in pascal/volt or vice verse), the delay line
transfer function is a sin[X/X]2 function, characterized by its bandwidth (related to the length of the
longest IDT and the phase velocity of the wave) with related insertion losses (IL) and yielding a
natural out-of-band rejection of 30dB [40]. Other types of delay lines may be implemented for sens-
ing application based on a single IDT combined with reflective structures, yielding the so-called
SAW tag devices. This aspect is developed in Section 19.3.2.2; therefore, only the usual two-port
interdigital transducers (2 IDTs) delay lines will be dealt with in this part.
Also, some authors do use delay lines to stabilize oscillators; the wideband nature of their spec-
trum does not really help in reaching high-stability operating conditions. Even if the common appli-
cations of these devices do not require short-term relative stability better than 107 at 1s (10Hz
stability at 100MHz), it becomes clear that this feature may represent one of the major limitation
of their practical implementation. Synchronous detection approaches are more adequate with delay
line features, as they do operate on fixed frequencies, tracking phase shifts to detect changes in the
device electrical response. One of the principal application of the above-described delay lines con-
sist of the detection of phase velocity changes in the path between the IDTs due to various effects,
the principal one being chemical adsorption or more generally physical changes of a film deposited
between the transducers, capable of trapping or converting physical or chemical phenomena more
or less selectively (see the example described in Section 19.4.3).
The system developed at FEMTO-ST is described hereafter (Figure 19.4) [41]. Probing this kind
of sensors overall requires the synthesis of RF signals. The working frequency is fixed (60133 and
300550MHz ranges), consistent with the use of 40-m wavelength Rayleigh wave or low-mode
sensors. The RF probe signal is generated by an Analog Devices AD9954 direct digital synthesizer,
controlled by an ARM7-core-based ADuC7026 microcontroller through a serial peripheral inter-
face (SPI) link. To perform differential measurements, two transducers are probed simultaneously,
426 MEMS: Fundamental Technology and Applications

ADuC7026

GPIO
GPIO
SPI

AD9954 AD9954

JS4PS-1W JS4PS-1W

RF reference low noise phase detection RF reference low noise phase detection
RF reference I/Q demodulator RF reference I/Q demodulator

GPIO ADuC HMC241QS16 HMC241QS16 GPIO ADuC


(01) (23)

2 SAW 2 SAW 2 SAW 2 SAW


sensors sensors sensors sensors

GPIO ADuC HMC241QS16 HMC241QS16 GPIO ADuC


(01) (23)

(4) GPIO ADuC HMC349 HMC349 GPIO ADuC (5)


Low noise phase measurement I/Q demodulator phase measurement

RF reference AD8367 Magnitude


I/Q demodulator

Attenuator AD8302 SYPD-2 RF reference low noise


phase detection

GPIO ADuC Low noise


(6789) Phase Magnitude amplifier GPIO ADuC
(1011)

Low noise
phase measurement

FIGURE 19.4 Diagram of the electronics based on an open-loop strategy and the two implemented kinds
of phase measurement (coarse and fine)the presented version is capable of operating up to eight sensors in
parallel.

one coated with a sensing layer and the other one kept free as a reference. Since the two devices
exhibit different working conditions, either due to manufacturing differences or due to the sensing
layer coating, the probing signals have to be generated by two independent direct digital synthesiz-
ers (DDSs). Each RF signal is split into a reference channel and a measurement line.
A programmable attenuator is tuned to generate an output signal of the reference line exhibiting
a power close to the signal going through the measurement line. A coarse measurement mode uses
Passive Radio-Frequency Acoustic Sensors and Systems 427

an integrated in-phase/quadrature (I/Q) demodulatorAnalog Devices AD8302with a bandwidth


large enough to provide a noise level of 0.3 on the phase detection output, consistent with the per-
formance of most frequency sweep RF network analyzers, providing an output similar to the S21
parameter classically used to characterize SAW delay lines. Owing to the noise level of the AD8302
demodulator, this coarse scanning approach had to be improved for more accurate measurement by
adding a manual phase-detection processusing the SYPD-2 phase detector by mini circuitswith
programmable, high-gain low-noise operational amplifiers for signal shaping. This low-bandwidth
circuit exhibits a noise level small enough for the signal-to-noise ratio to be independent of the opera-
tional amplifier stage gain, but limited only by the phase velocity fluctuations of the SAW delay line
due to operating condition variations. The programmable gain of the amplifiers is set using an analog
multiplexer. All set-point identification and gain control tasks are controlled by the same ADuC7026
microcontroller. The reader is therefore capable of reaching phase detection down to 8 103 degrees,
that is, frequency stability of about 1Hz in the above-mentioned frequency ranges.

19.3.1.2 Oscillator Approaches


Oscillator-based solutions exploit very narrow-band acoustic devices generally consisting of single-
port or double-port resonators built on standard materials. This approach generally represents the
uppermost solution because of the possibility to control the temperature sensitivity of the propaga-
tion by an adequate choice of technological parameters. Such resonators allow for Qf products
(quality factor times the resonance frequency) close to or even well above 1013, which directly
impacts the phase noise characteristics of the associated oscillator (but this is not the only param-
eter to account for in that matter). Although parametric immunity is generally looked for in high-
stability oscillator developments, sensing systems exploit the possibility to configure the resonator
in such a way that only a single parameter drifts the resonance and therefore the oscillator output
frequency. In most cases, however, a differential circuit is preferred to suppress correlated perturba-
tions and to try and isolate the controlled parameter as much as possible. Whatever the considered
approach is, an effort must be made to adapt the device architecture to a reliable translation of the
perturbing effect. In parallel, different strategies can be implemented for probing the frequency of
the oscillators. The accuracy of the system is therefore dramatically controlled by the stability of the
measurement approach. According to targeted resolution/accuracy, such development can address
various applications, for example, temperature, pressure, or stress monitoring in industrial process.
The general principle of oscillator-based sensing system is illustrated in Figure 19.5.

Proof body Reference

Useful signal

Ampli.
Ampli.

Mixer

PID

Low-pass filter Feedback correction

FIGURE 19.5 Principle of a phase-locked-loop oscillator-based sensing system. The oscillator signals at the
same operating frequencies are mixed, the resulting signal being used to monitor the frequency of the refer-
ence and keep it identical to the drifting oscillator. The feedback control signal is directly proportional to the
frequency shift.
428 MEMS: Fundamental Technology and Applications

The usual oscillator-based sensing system simply consists of looping resonators either in a nega-
tive resistance circuit (single-port devices) or in a feedback amplifying loop (quadripoles). In both
cases, the resonance frequency has to be directly evaluated from the oscillator output signal con-
trary to the previous case (delay lines, Section 19.3.1.1) in which the interrogation frequencies are
fixed a priori and therefore used to deduce the actual phase condition from the device response. As
mentioned above, the differential approach is particularly useful to improve the system accuracy
but imposes the use of two resonators and possibly two oscillators. The measurement then relies on
mixing both oscillator outputs to suppress correlated perturbation contributions. The low frequency
resulting from the mixing can therefore be directly counted by various means (reciprocal counter
will be preferred here) but the possibility to lock a reference oscillator on the drifting one (Figure
19.5) provides the ultimate sensing accuracy, provided the reference oscillator can be pulled enough
without major system defect (i.e., the signals must be conditioned well enough to avoid any measure-
ment biases due, e.g., to nonbalanced signals at the mixer inputs).
An alternative solution is based on the electronic reader developed to remotely interrogate
SAW resonators in the 434-MHz-centered industrial, scientific, and medical (ISM) band (Section
19.3.2.1). As frequency-tracking approaches were specifically developed to improve the accuracy
of this reader (yielding a kind of numerical oscillator), it turned out that such an electronics could
actually monitor the resonance frequencies of SAW devices in wired mode, allowing for frequency
detection resolutions better than 10ppb.
Note that some authors also use wideband acoustic devices (for instance, standard SAW delay
lines) to stabilize the electrical oscillator and monitor the resulting oscillator frequency. Although
this approach can be actually implemented for measuring, it is limited by the width of the device
that impacts the stability of the oscillator.

19.3.2Wireless
One of the major measurement challenges in automation and industrial process control is the inte-
gration of sensors because wiring for signal transmission and power to the sensor is not always
possible or is very limiting. Current wireless solutions are active wireless sensor systems or chip-
based radio frequency identification (RFID) systems. Both require on-board power resources and
are hindered by low read-out distance, high maintenance, and environmental limitations owing to
high-RF power requirements. Totally passive wireless systems are the only approach fulfilling all
the requirements of challenging instrumentation tasks. Piezoelectric-based solutions such as SAW
and BAW sensors are particularly well suited to address the problematic because the sensors are
purely passive and they can operate in very harsh environments where conventional wired and wire-
less sensors, particularly complementary metal-oxide semiconductor (CMOS)-based solutions fail.

19.3.2.1 Resonator-Based Systems


SAW resonators are now widely used for remote monitoring physical quantities such as temperature
or stress. The intrinsic RF nature of these devices makes them ideal for wireless and passive sensor
applications. Two principal approaches can be identified for interrogating and moreover analyzing
the returned sensor signal, namely the one based on fast Fourier transform (FFT) techniques, and
another one following the principle of a frequency sweep network analyzer. The wireless interroga-
tion of the resonance frequency of acoustic resonators induces the additional necessity of switching
on and off the RF emission in a manner similar to that used in RADARs to comply with the ISM
regulations (433.41.7MHz bandwidthfor the European market; 91526MHz bandwidthfor
the American continent). The interrogation range is one of the most important parameter, as no
effective approach and frequency channeling has been implemented yet for identifying such sensors
in the limited bandwidth corresponding to the conditions. Also, the accuracy of the measurement
is a competing issue and notable efforts have been granted to develop and propose high-accuracy
measurement approaches. Figure 19.6 shows the actual response of the SEAS10 temperature sensor
Passive Radio-Frequency Acoustic Sensors and Systems 429

(a) (b)
0.025 0.02
Measure Measure
Simulation Simulation
0.015
0.02

0.01
0.015
0.005
0.01
0

0.005 0.005

0
0.01
432 432.5 433 433.5 434 434.5 435 435.5 436 432 432.5 433 433.5 434 434.5 435 435.5 436

FIGURE 19.6 Comparison between experimental measurements and updated model results of a resonator-
based differential temperature sensor, allowing for an accurate characterization of individual SAW resonator
parameters (Resonator #1: fr 433.45MHz, Q factor 11706, Rres 50, serial resistance Rs 0.2, static capaci-
tance C0 2.13 pFResonator #2: fr 434.32MHz, Q factor 12535, Rres 50.7, serial resistance Rs 0.2, static
capacitance C0 2.13 pF).

from SENSeOR, which illustrates the resonator interrogation challenge: measuring the resonance
frequency of very narrow spectral signature devices in a quite narrow band respecting the ISM rules.
The strategy that has been selected for interrogating these narrow-band devices in our group is a
slow sweep of a frequency source with a spectral response narrower than that of the resonator [42].
This strategy is similar to that used by network analyzers although the wireless link induces an
additional constraint, namely the alternation of emission and reception phases similar to that used
in RADAR systems. The basic components we need are hence a versatile frequency source, an RF
power reception circuit, and switches for activating a deactivating emission and reception phases
during the interrogation cycles.
Generating RF signals with continuously tunable frequency is commonly performed by two
major techniques: frequency multiplication using a phase locked loop, and mixing. Both methods
are compatible with a software control of the emitted frequency using a DDS (Figure 19.7). A 32-bit

LED DDS Emission Duplexer

207 V

Microcontroller
Reception
Power supply

Sensor
Oscilloscope output OFFSET
detection Detection
5V
USB ouput

Serial USB

FIGURE 19.7 Synoptic of the SAW resonator wireless reader developed by FEMTO and SENSeOR.
430 MEMS: Fundamental Technology and Applications

frequency control word generating a 34-MHz signal to be mixed with a 400-MHz reference pro-
vides sub-Hertz accuracy, below the long-term stability of the local reference oscillator.
An Analog Devices AD8362 converter is also used for direct power measurement after filtering
and amplifying the signal received by the antenna, switched toward the reception circuit. The band-
pass filtering is performed by a SAW filter to reject any signal outside the ISM band. This filter is
of particular importance when addressing wide-temperature-range measurements. It is almost not
possible to achieve such measurement and respecting the ISM regulation with a significantly high-
temperature sensitivity (absolute TCFranging from 15 to 30ppmK1 and even more) because of
the narrow bandwidth corresponding to this standard (1.7MHz centered at 433.9MHz).
Several strategies have been developed for interrogating RF acoustic resonators. Closed-loop con-
trol consisting of tracking the sensor resonance(s) allows for ultimate reading resolution, whereas
intermittent visibility of the sensor requires the use of open-loop (scanning) approaches. Time-resolved
strategies are easy and inexpensive to implement and therefore these are preferred here over FFT tech-
niques. The accuracy of the measurements is dramatically increased by averaging data captures.
The conversion of frequency modulation to amplitude modulation by RF resonators is an exam-
ple of an effective approach for accurately determining the resonance frequency of wireless passive
sensors [38]. The sharp sign transition of the amplitude-modulated received signal provides a signal
on which a feedback loop is controlled to monitor the resonance signal. The strategy is demon-
strated using a full software implementation on generic hardware. Resolution down to 25Hz has
been demonstrated along this approach, yielding, for instance, temperature measurement accuracy
of about 10mK.

19.3.2.2 SAW Tag Devices and Interrogation Principles


Figure 19.8 shows schematically the principle of operation of a standard chipless SAW tag. ASAW
tag converts the read-out radio signal from the reader, received by a small antenna, directly into
a nano-scale SAW propagating along the chip surface of the substrate, usually made of a strong
piezoelectric material such as lithium niobate (LiNbO3). The electromagnetic (EM) pulse received
by the antenna is fed into a special transducer (usually an IDT), which subsequently generates a
SAW pulse. The latter travels along the surface, passing through a set of reflectors and is partially
reflected back to the IDT. The IDT converts the acoustic wave back to an electrical signal, which is
fit to the antenna. The antenna reemits the signal. Thus, the response signal of a SAW tag (Figure
19.9), which is finally detected and decoded by the reader, is encoded accordingly by the number of
individual positions and phases of the reflectors.
The code can be written in time positions [43], amplitude, phase, and in other suitable signal
characteristics of the reflected pulses. The reflected pulses represent the symbols of the tag respond
signal and can code for one or more bits. The first commercial SAW tags, designed according to

Radio waves
SAW pulses

Reader
Tag
antenna IDT Code reflectors

FIGURE 19.8 Operation principle of SAW tag interrogation: a short RF excitation burst is sent toward a
large band IDT, which emits the incident SAW and detects reflection of the wave on the obstacle localized on
the wave paththe system is capable of analyzing and identifying the unique signature of each device built
along those concepts. (Courtesy of GVR Trade.)
Passive Radio-Frequency Acoustic Sensors and Systems 431

20
Calibration
30

40

Initial delay
Tag response (dB)
50
Encoding Error
60 control

70

80

Spurious responses
90

100
0 500 1000 1500 2000 2500 3000
Time delay (ns)

FIGURE 19.9 Typical time-domain response of a SAW tag, with calibration bits and coding sequence
rejection of parasite contribution is better than 20dB. (Courtesy of GVR Trade.)

these principles, are currently used in demanding industrial environments and more specifically for
automation of car conveyor lines. The number of unique codes commercially achievable at present
is rather limitedin the order of 10,000. New ideas are currently being developed, aiming at radi-
cally increasing the number of codes in SAW tags to 64 or even 128 bits [44]. The main feature of
SAW RFID tags is that they do not use any autonomous power supply such as batteries. SAW tags
are linear passive devices that operate at any signal levels, even at very low ones (10mW).
The different sections of a SAW tag reader can be described sequentially, as illustrated in Figure
19.10. A digital signal processor (DSP) unit is dedicated to calculations, communication, and sys-
tem configuration. A base-band unit generates the frequency modulation and converts the return
(acquired) signals. Finally, the RF unit transmits and receives the 2.45-GHz-range signals and

2.45 GHz
DSP unit Baseband unit RF unit TX

SRAM Flash Prog.


synthesizer 256

Filter

DSP
A/D LO
converter

I/O LNA
UART
controller AUX input/output
2.45 GHz
RX
RS 232
Interface

FIGURE 19.10 Frequency-modulated continuous wave (FMCW) radar-like electronics for 2.45GHz SAW-
tag interrogation. (Courtesy of Professor L.M. Reindl, V.P. Plessky, Review of SAW RFID tags, IEEE Trans.
Ultrason. Ferroelectr. Freq. Control., 57(3), 654668, 2010.)
432 MEMS: Fundamental Technology and Applications

brings back these signals to the base-band for low-frequency analogdigital conversion (achieved
on the base-band unit).

19.3.2.3 Ultra-Wide-Band Techniques


UWB radio technology concepts consist of emitting very low RF energy levels spread on a large
portion of the radio spectrum for short-range, high-bandwidth communications [46]. The use
of wideband (spread spectrum) and UWB signals in sensors and communication systems has
been discussed by many authors [47]. However, the possibility of using UWB technology [48]
in SAW identification tags has been addressed only recently by Plessky and coauthors [48], who
demonstrated that the device can meet UWB standards. This possibility is especially attractive
because, for SAW tags, the widely available frequency band B is of primary importance. The
number of different codes that can be obtained is determined by the product BT, where T is the
pulse duration, limited in SAW devices to 24s because of propagation loss at GHz frequencies
(Figure 19.11).
Using an ultra-wide frequency band gives significant advantages overcoming the state of the art
in all principal aspects:

A short coding time can be used, for example, T=400ns, and yet obtain large BT=200.
Therefore, the total length of the SAW chip can be shorter than 1mm.
Especially attractive is the possibility of performing signal processing inside a SAW tag
using, for example, a chirp transducer: this allows for deciphering the reflected signals,
modified by the SAW tag signature and which then can be easily differentiated from envi-
ronmental echoes. The signal coming from the SAW tag will be unique. This may result in
further decrease in the dice size.
Short total delay (typically T~0.4s) corresponds to only 510dB propagation losses,
contrary to 2030dB met in the ordinary tag with 1-s initial delay and 2s of coding
time, resulting in reduced loss in SAW tags.
Finally, the total power radiated by a reader in this example will be below 40W, which
is undeniably an attractive level compared to 110W radiated by the reader for integrated
circuit (IC)-based (semiconductor) passive tags.

In standard approaches described above (Section 19.3.2.2), usually, ISM frequency band 2400
2482MHz is used with a rather limited (80MHz) band available globally for many applications.
In UWB technology, frequency bands as large as 500MHz are available that is crucial for SAW
tag devices and allows radical improvement of characteristics as well as manifold decrease of the
tags size. Figures 19.12 and 19.13 show an example of intermediate frequency demonstrator and
the resulting time-compressed signal, respectively, yielding losses better than 16dB (compared to
the 30dB losses of Figure 19.9) [50]. Further work is done to extend these first developments to
higher-frequency standard and to demonstrate the capability of these devices to be interrogated and
identified specifically among a population of similar sensors.

IDT R1 R2 R3

L0 L1 L2

FIGURE 19.11 Principle of an UWB SAW tag combining a chirp delay line (the UWB capability) together
with localized reflectorsthe tag function. (Courtesy of GVR Trade.)
Passive Radio-Frequency Acoustic Sensors and Systems 433

35
40
45
50
Amplitude (dB)

55
60
65
70
75
80
100 150 200 250 300 350 400 450 500
Frequency (MHz)

FIGURE 19.12 Transfer function of a 300-MHz-centered UWB transducer exhibiting a 200-MHz band
width (66% of relative bandwidth).

20

40
Amplitude (dB)

60

80

100

120

140
0 500 1000 1500 2000 2500 3000 3500 4500
Time (ns)

FIGURE 19.13 Pulse compression of signal processing using the UWB spectrum of Figure 19.12the
resulting losses of the compressed peak is about 16dB.

19.4EFFECTIVE IMPLEMENTATION OF ACOUSTIC-BASED


RFSENSORSYSTEMS
Several new emerging applications for acoustic wave devices such as sensors may eventually
equal the demand of the telecommunications market. These include automotive applications
(torque and tire pressure sensors), medical applications (biosensors), and industrial and commer-
cial applications (such as temperature, pressure, acceleration, etc.). In this section, three applica-
tions are described to mainly illustrate the capabilities of SAW devices and systems for sensing
applications. The literature is full of other developments and the reader is encouraged to refer
to the International Frequency Control Symposium or Ultrasonics Symposium proceedings to
increase their knowledge and perception of the very vast spread of ultrasonic passive sensor
applications.
434 MEMS: Fundamental Technology and Applications

19.4.1Temperature Measurements
As already mentioned in the above sections, SAW resonators built on single-crystal piezoelectric
substrates exhibit varying parametric sensitivities along the substrates crystal orientation and are
hence suitable for the design of temperature or stress sensors (and associated pressure and torque
sensors). To reduce the sensitivity of SAW to unwanted parameters and to reduce the requirements
on the local reference oscillator (see Section 19.3, introductory explanations), a differential design is
recommended, in which the frequencies of a reference resonator and a sensing resonator are moni-
tored. In the presented example, the sensor provides usable signals in the 20C to 150C range
while keeping all resonances within 1.7MHz ISM band range: the typical first-order TCF is thus
of the order of 10kHz/C (23ppm/K). This TCF is practically reduced to 2.5kHz/C (6ppm/K) to
account for the differential measurement that is performed under the assumption of the ISM band
division in two equal parts. Although other options such as automatic follow-up of the resonance
frequency can be considered, such channeling is generally preferred particularly for intermittent
sensor/reader communication. To achieve such a function, two resonators have been designed on
the same dice for simplifying packaging developments, yielding a very compact sensor structure in
a 55cm2 ceramic package yielding various sensorantenna configurations according to the end-
user specifications (Figure 19.14).
An example of temperature monitoring is presented in Figure 19.15 showing a food-processing con-
tainer equipped with a standard (wired) thermal probe and the wireless differential sensor of Figure
19.15. As the tank is metallic and operates as a shielded (Faraday) room, one can get rid of the ISM
regulation respect. In the present application, however, the ISM-compliant solution was implemented
as only one sensor was expected to be operated. Both sensors provide the same information, showing
the efficiency of the wireless approach and its robustness even in harsh environments. This latter fea-
ture is an asset of passive RF sensors as many other situations can be faced where standard microelec-
tronic solutions fail to operate (e.g., high temperature or highly radiative environment) (Figure 19.15).

19.4.2Temperature and Pressure Sensors


In this case, the sensor uses the resonators in a way similar to the previously described temperature
sensor. One resonator is still acting as a reference surface acoustic wave (RSAW) with a TCF close
to zero (turnover temperature near 25C). A second one is built on a membrane to make it sensitive
to a pressure surface acoustic wave (PSAW) but exhibiting almost the same feature as the RSAW and
particularly the same TCF (to reduce parameter cross-sensitivity as much as possible). The latter is
built with a propagation direction such that its TCF strongly differentiates the RSAW and the PSAW
(TSAW). Therefore, the general operation of the sensor consists of analyzing the frequency changes of
the three resonators according to Equations 19.1 and 19.2, accounting for all the possible correlations
between temperature and pressure (directly due to the pressure/temperature dependence and also due
to possible temperature-induced stresses). These one-port resonators are electrically connected in par-
allel and are further connected to an RF antenna to allow for the interrogation process [10].
As the proposed design consists of a relative pressure measurement, the PSAW must be sealed
in a hermetic cavity to preserve the reference pressure. Among the different possibilities compatible
with such requirement, an all-quartz package (AQP) based on wafer bonding using glass-frit has
been selected as this approach presents the following advantages: collective process of packaging
yielding low production cost, robustness and air-tightness of the sealing, and finally the very low
level of thermoelastic stress induced by the quartz-to-quartz sealing. Figure 19.16a shows a photo
of the final AQP device on which one can easily identify the PSAW straight onto the membrane, the
RSAW parallel to the PSAW, and finally the TSAW exhibiting a 17 angle with the other two SAW
resonator propagation direction. Figure 19.16b shows the calibration result of the sensor capable of
operating on a 08 bar range (with a resolution of about 1% of the full pressure scale, i.e., 0.1 bar
approximately) [10].
Passive Radio-Frequency Acoustic Sensors and Systems 435

(a)

(b) 4.345e+08
4.34e+08
4.335e+08
4.33e+08
12(Hz)

4.325e+08
4.32e+08
4.315e+08
4.31e+08
100 0 100 200 300 400 500
4.34e+08
4.339e+08
4.338e+08
4.337e+08
4.336e+08
11(Hz)

4.335e+08
4.334e+08
4.333e+08
4.332e+08
4.331e+08
100 0 100 200 300 400 500
1e+06

500000

0
1112 (Hz)

500000

1e+06

1.5e+06

2e+06
100 0 100 200 300 400 500
T (C)

FIGURE 19.14 A differential temperature sensor composed of two resonators on AT-cut quartz: (a) photo of the
two resonators on the same dice, (b) frequency distribution and frequency differencea quadratic dependence
persists as the second-order TCF of the two resonators slightly differ from one another. (Courtesy of SENSeOR.)

19.4.3Example of a Chemical Sensor: Hydrogen Detection


An example of a chemical sensor is reported here to illustrate the capabilities of SAW devices
and also to detect and provide quantitative information on more complicated phenomena such as
molecule adsorption on specific coatings. The increasing shortage of fossil energy resources added
to the increasing concern toward environmental issues have led to consider hydrogen as one of
the most promising energy resource of the future. This odorless and colorless gas being highly
436 MEMS: Fundamental Technology and Applications

(a)

(b)
160

140

Temperature (C)
120

100

80
Antenna of the
reader inside the 60
tank
40

20
0 5000 10,000 15,000 20,000 25,000 30,000
Temps (u.a.)

FIGURE 19.15 Example of wireless SAW differential temperature sensor: monitoring of a food-processing
container: (a) view of the setup; (b) comparison between the standard wired temperature probe and differential
sensor measurements. (Courtesy of SENSeOR.)

explosive over 4% concentration in air, the availability of a fast and accurate detection system close
to storing facilities, and equipping hydrogen-operated machines is mandatory for obvious security
reasons. Such a system must exhibit a significant selectivity as it must detect the presence of gaseous
hydrogen in air with concentrations smaller than the above-mentioned critical limit at standard con-
ditions (room temperature and atmospheric pressure) as well as in harsher environment (very low or
significantly high temperature). SAW sensors have been proposed for detecting gaseous hydrogen in
standard environmental conditions (atmospheric pressure and room temperature) [51,52]. The sen-
sor described hereafter consists of two Rayleigh-wave delay lines built on quartz, one equipped with
a Pd overlay and the other exhibiting a free path between the two IDTs used to excite and detect the
acoustic wave. These IDTs are built using aluminum electrodes as this metal is known to be inert
versus gaseous hydrogen.
Absorption of hydrogen into Pd layers induces modifications of the SAW propagation condi-
tions, as shown in Figure 19.17. The effective physical characteristics of the wave then vary with
the amount of absorbed H2 (especially its phase velocity). It has been shown that the two main phe-
nomena that influence the phase velocity of the SAW are mass and elastic loading [53]. Since only

(a) (b) Frequency differences (kHz)


0
50
PSAW-RSAW
100
TSAW-RSAW
150
200
250
300
350
0 1 2 3 4 5 6 7 8
Pressure (bar)

FIGURE 19.16 All-quartz-package pressure-and-temperature sensor. (a) Sensors at wafer level after wafer
bonding. (b) Pressure sensitivity calibration. (Courtesy of SENSeOR.)
Passive Radio-Frequency Acoustic Sensors and Systems 437

5 [H2] = 2vol% in N2 [H2] = 1vol% in N2


4.5 [H2] = 4vol% in N2 phase shift velocity ( s1) phase shift velocity ( s1)
Differential phase shift ()
phase shift velocity ( s1) 1.98 103 1.03 103
4 3.34 103
3.5
3
2.5
2
1.5
1
0.5
0

0 1 2 3 4 5 6 7 8 9 10 11 12
Time (s) 103

FIGURE 19.17 Detection of hydrogen in the range 41 vol% in N2although saturation was not reached,
dependence of the absorption kinetics versus gas concentration is evidenced.

devices using quartz substrates have been used here, changes in electrodes conductivity are not
considered as a possible origin of the observed phase velocity drift and therefore will not be taken
into account. In the case of hydrogen adsorption on Pd, both the mass density and the elastic con-
stants are decreasing after the phase change from Pd (-phase) to PdH0.66 (-phase), while the C44
elastic constant increases. Numerical calculations of hydrogen absorption in Pd layers [53] predict
an increase of the phase velocity of the Rayleigh wave propagating under such an overlay, in agree-
ment with the experimental observations presented in this chapter. However, particular care must be
taken to perfectly balance TCF of both sensor channels as experimental work shows that this may
be the principal source of the defect of the sensor [52]. However, the reproducibility of the sensor
operation was experimentally emphasized, allowing for trustful measurements.

19.5CONCLUSION
Acoustic wave-based sensing systems have been developed as soon as elementary devices were imple-
mented and capable of being monitored and controlled using dedicated electronic systems. Several
advantages claim for the use of such devices: simplicity, efficiency, size, robustness, and accuracy
are some of the most valuable ones. Very particular features are moreover achievable using such
devices. Currently, a lot of effort is dedicated to address the capability of these systems to operate
under very harsh conditions, and more specifically at high temperature for which no CMOS solution
can be implemented yet. The use of these passive devices for low-cost applications, however, faces a
huge challenge when compared to silicon-based systems, integrating on-board front-end electronics,
that allows for dramatic cost reduction and supplementary functionality out of reach for analogi-
cal devices. Nevertheless, a lot of research is dedicated to marry both technologies, which actually
sounds the most promising approach for advanced low-cost high-accuracy high-robustness devices.
Future work will converge toward that direction, as announced by numerous key players in that field.

ACKNOWLEDGMENTS
All the developments mentioned in this chapter have been supported by the Centre National
dEtudes Spatiales (CNES) under grants #04/CNES/1941/00-DCT094 and #R-S08/TC-0001-
026, by the Direction Gnrale pour lArmement (DGA) under grant #05.34.016, by the FEDER
(DIONYSOS) for the development of bounding/polishing techniques, and by the FCEDGCIS
under grant #092906659/60/61.
438 MEMS: Fundamental Technology and Applications

REFERENCES
1. C.C.W. Rppel, T.A. Fjeldly (eds), Advances in surface acoustic wave technology, systems and applica-
tions, Vol. 1 and 2, In Selected Topics in Electronics and Systems, World Scientific Publishing Company
Private Limited, Hackensack, 2001.
2. K.Y. Hashimoto, Surface Acoustic Wave Devices in Telecommunications: Modeling and Simulation,
Springer-Verlag, Berlin, 2000.
3. D.S. Ballantine, R.M. White, S.J. Martin, A.J. Ricco, E.T. Zellers, G.C. Frye, H. Wohltjen, Acoustic
wave sensors, In Theory, Design, & Physico-Chemical Applications, M. Levy and R. Stern (eds), 1st ed.,
Academic Press, San Diego, Chestnut Hill, 1996.
4. X.Q. Bao, W. Burkhard, V.V. Varadan, V.K. Varadan, SAW temperature sensor and remote reading system,
Proceedings of the IEEE International Symposium on Ultrasonics, Denver, USA, pp. 583585, 1987.
5. D. Hauden, S. Rousseau, J.J. Gagnepain, Sensitivities of SAW oscillators to temperature, force and pres-
sure, Proceedings of the 34th IEEE Annual Frequency Control Symposium, NJ, pp. 312316, 1988.
6. C. Lu, A.W. Czanderna (eds), Applications of Piezoelectric Quartz Crystal Microbalances, Elsevier,
Amsterdam, 1984.
7. L. Reindl, I. Shrena, S. Kenshil, R. Peter, Wireless measurement of temperature using surface acous-
tic waves sensors, Frequency Control Symposium and PDA Exhibition Jointly with the 17th European
Frequency and Time Forum, pp. 935941, 2003.
8. W. Buff, M. Rusko, E. Goroll, J. Ehrenpfordt, T. Vandahl, Universal pressure and temperature SAW sen-
sor for wireless applications, Ultrasonics Symposium, 1997. Proceedings, 1997 IEEE, Toronto, Canada,
vol. 1, pp. 359362, 1997.
9. J. Filipiak, L. Solarz, G. Steczko, Surface acoustic wave stress sensorsDesigning analysis, Mol.
Quantum Acoust. 28, 7180, 2007.
10. R. Lardat, L. Penavaire, P. Menage, L. Chommeloux, H. Huet, C. Lestrat, T. Pastureaud et al., Micro-
machined, all quartz package, passive wireless SAW pressure and temperature sensor, Proceedings of the
IEEE Ultrasonics Symposium, Vancouver, Canada, pp. 14411444, 2006.
11. R.M. White, F. Votlmer, Direct piezoelectric coupling to surface elastic waves, Appl. Phys. Lett. 7, 314316,
1965.
12. T. Li, H. Hu, G. Xu, K. Zhu, L. Fang, Pressure and temperature microsensor based on surface acoustic
wave in TPMS, acoustic waves, In Don Dissanayake (ed.), ISBN: 978-953-307-111-4, In Tech, Available
from: http://www.intechopen.com/books/acoustic-waves/pressure-and-temperature-microsensor-based-on-
surface-acoustic-wave-in-tpms, 2010.
13. H. Scherr, G. Scholl, F. Seifert, R. Weigel, Quartz pressure sensor based on SAW reflective delay line,
Proceedings of IEEE of the Ultrasonics Symposium, San Antonio, USA, pp. 347350, 1996.
14. A.J. Ricco, S.J. Martin, Thin metal film characterization and chemical sensors: Monitoring electronic
conductivity, mass loading and mechanical properties with surface acoustic wave devices, Thin Solid
Films, 206(94), 94101, 1991.
15. S.A. Sakharov, I.M. Larinov, V.A. Issaev, Monolithic filters using strong piezoelectrics, Proceedings
of the 45th Annual Symposium on Frequency Control, Los Angeles, USA, pp. 181183, May 2931,
1991.
16. M.P. da Cunha, R.J. Lad, T. Moonlight, G. Bernhardt, D.J. Frankel, High temperature stability of lan-
gasite surface acoustic wave devices, Proceedings of the IEEE Ultrasonics Symposium, Beijing, China,
pp.205208, 2008.
17. F. Schmidt, O. Sczesny, L. Reindl, V. Magori, Remote sensing of physical parameters by means of
passive surface acoustic wave devices (ID-TAG,) Proceedings of the IEEE Ultrasonics Symposium,
Nice, France, pp.589592, 1994.
18. S. Harma, V.P. Plessky, L. Xianyi, P. Hartogh, Feasibility of ultra-wideband SAW RFID tags meeting
FCC rules, IEEE Trans. Ultrason., Ferroelectr., Freq. Control, 56(4), 812820, 2009.
19. A. McL. Nicolson, The piezoelectric effect in the composite Rochelle salt crystal, Trans. Am. Inst. Electr.
Eng., 38, 14671485, 1919.
20. W.G. Cady, The piezo-electric resonator. In Proceedings of IRE, vol. 10, 1922.
21. K.M. Lakin, Thin film resonator technology, IEEE Trans. UFFC, 52, 707716, 2005.
22. P. Muralt, J. Antifakos, M. Cantoni, R. Lanz, F. Martin, Is there a better material for thin film BAW appli-
cations than A1N? Proceedings of the IEEE Ultrasonics Symposium, Rotterdam, the Netherlands, vol. 1,
pp. 315320, September 1821, 2005.
23. C.C. Chang, Y.E. Chen, Fabrication of high sensitivity ZnO thin film ultrasonic devices by electrochemi-
cal etch techniques, IEEE Trans. Ultrason., Ferroelectr., Freq. Control, 44(3), 624628, 1997.
Passive Radio-Frequency Acoustic Sensors and Systems 439

24. K.M. Lakin, J.S. Wang, UHF composite bulk wave resonators, Proceedings of the IEEE Ultrasonics
Symposium, Boston, USA, pp. 834837, 1980.
25. T. Baron, E. Lebrasseur, J.P. Romand, S. Alzuaga, S. Queste, G. Martin, D. Gachon, T. Laroche, S.
Ballandras, J. Masson, Temperature compensated radio-frequency harmonic bulk acoustic resonators
pressure sensors, IEEE Ultrason. Symp. (IUS), pp. 20402043, 2010.
26. S.W. Wenzel, R.M. White, A multisensor employing an ultrasonic lamb wave oscillator, IEEE Trans.
Electron. Devices, 35, 735, 1988.
27. E. Anders, I. Katardjiev, V.Yantchev, Lamb wave resonant pressure micro-sensor utilizing a thin-film
aluminum nitride membrane, J. Micromech. Microeng., 21(8), 8501085016, 2011.
28. E. Gizeli, N.J. Goddard, C.R. Lowe, A.C. Stevenson, A low plate biosensor utilizing a polymer layer,
Sens. Actuators B, 6, 131137,1992.
29. H. Majjad, D. Gachon, V. Laude, S. Ballandras, Interface acoustic wave devices made by indirect bond-
ing of lithium niobate on silicon, Proceedings of the IEEE Ultrasonics Symposium, Vancouver, Canada,
pp. 11931196, 2006.
30. M. Rinaldi, C. Zuniga, C. Zuo, G. Piazza, GHz range nanoscaled AlN contour-mode resonant sen-
sors (CMR-S) with self-sustained CMOS oscillator, solid-state sensors, actuators and microsystems
Workshop, Hilton Head, pp. 471474, 2010.
31. J.J. Campbell, W.R. Jones, A method for estimating crystals cuts and propagation direction for excitation
of piezoelectric surface waves, IEEE Trans. Sonics Ultrason., 15, 209217, 1968.
32. J.C. Baumhauer, H. F. Tiersten, Nonlinear electroelastic equations for small fields superposed on a bias,
J. Acoust. Soc. Am., 54, 10171034, 1973.
33. G. Tobolka, Mixed matrix representation of SAW transducers, IEEE Trans. Sonics Ultrason., 26(6),
426427, 1979.
34. A. Reinhardt, T.H Pastureaud, V. Laude, S. Ballandras, Scattering matrix method for acoustic waves in
piezoelectric, fluid, and metallic multilayers, J. Appl. Phys., 94, 69236931, 2003.
35. S. Ballandras, E. Bigler, Precise modeling of complex structures using a perturbation method coupled
with finite element analysis, IEEE Trans. UFFC, 45(3), 567573, 1998.
36. S. Ballandras, A. Reinhardt, V. Laude, A. Soufyane, S. Camou, W. Daniau, T. Pastureaud et al., Simulations
of surface acoustic wave devices built on stratified media using a mixed finite element/boundary integral
formulation, J. Appl. Phys., 96(12), 77317741, 2004.
37. S. Tourette, G. Collin, P.H. Le Thuc, C. Luxey, R. Staraj, Small meandered PIFA associated with SAW
passive sensor for monitoring inner temperature of a car exhaust header, IEEE International Workshop
on Antenna Technology, IWAT 2099: Small Antennas and Novel Metamaterials, 2009.
38. J.-M Friedt, C. Droit, S. Ballandras, S. Alzuaga, G. Martin, P. Sandoz, Remote vibration measurement:
A wireless passive surface acoustic wave resonator fast probing strategy, Rev. Sci. Instrum., 83, 055001
(6), 2012.
39. D. Cullen, T. Reeder, Measurement of SAW velocity versus strain for YX and ST quartz, Proceedings of
the IEEE Ultrasonics Symposium, Los Angeles, USA, pp. 519522, 1975.
40. D.P. Morgan, Surface wave devices for signal processing, Stud. Electr. Eng. 19, 1985.
41. D. Rabus, J.-M. Friedt, S. Ballandras, G. Martin, E. Carry, V. Blondeau-Patissier, A high sensitivity open
loop electronics for gravimetric acoustic wave-based sensors, Proceedings of the 2010 EFTF, Noordwijk,
The Netherlands, 2010.
42. C. Droit, G. Martin, S. Ballandras, J.-M. Friedt, A frequency modulated wireless interrogation system
exploiting narrowband acoustic resonator for remote physical quantity measurement, Rev. Sci Instrum.,
81(5), 056103, 2010.
43. P.V. Plessky, N.K. Sergei, WO9614589, EP791180A1: Mobile Transponder Remote Identification
Process, priority: November 8, 1994. CH1994000003339. See also: R. Stierlin, R. Kung, US patent
6630900, 2003.
44. C.S. Hartmann, A global SAW ID tag with large data capacity, Proceedings of the IEEE Ultrasonics
Symposium, Mnich, Germany, vol. 1, pp. 6569, 2002.
45. L.M. Reindl, V.P. Plessky, Review of SAW RFID tags, IEEE Trans. Ultrason. Ferroelectr. Freq. Control.,
57(3), 654668, 2010.
46. D. Porcino, W. Hirt, Ultra-wideband radio technology: Potential and challenges ahead, IEEE Commun.
Mag., 41(7), 6674, 2003.
47. A. Springer, M. Huemer, L. Reindl, C. Ruppel, A. Pohl, F. Seifert, W. Gugler, R. Weigel, A robust ultra-
broad-band wireless communication system using SAW chirped delay lines, IEEE Trans. Microw. Theory
Tech., 46(12), 22132219, 1998.
48. T. Yoshihiko, SAW-ID-tag device using chirp signal, Patent JP11145874.
440 MEMS: Fundamental Technology and Applications

49. S. Hrm, V. P. Plessky, X. Li, and P. Hartogh, Feasibility of ultra-wideband SAW RFID tags meet-
ing FCC rules, IEEE Transactions on Ultrasonics, Ferroelectrics, and Frequency Control, 56, 812820,
2009.
50. M. Lamothe, V. Plessky, J.-M. Friedt, S. Ballandras, UWB SAW sensors and tags, to be published. In
Proceedings of Acoustics, Nantes, France, 2012.
51. A. DAmico, A. Palma, E. Verona, Hydrogen sensor using a palladium coated surface acoustic wave
delay-line, Proceedings of the IEEE Ultrasonics Symposium, San Diego, USA, pp. 308311, 1982.
52. M. Vanotti, V. Blondeau-Ptissier, L. Richard, D. Rabus, S. Ballandras, SAW devices exploiting palla-
dium layer properties for selective detection of hydrogen, to be presented at SENSORDEVICES 2012,
Roma, 2012.
53. V. Anisimkin, I. Kotelyanskii, P. Verardi, E. Verona, Elastic properties of thin-film palladium for surface
acoustic wave (saw) sensors, Sens. Actuators B: Chemical, 23(23), 203208, 1995.
Electrical Engineering

FUNDAMENTAL TECHNOLOGY
AND APPLICATIONS
The book editors have managed to assemble a group of extraordinary
authors to provide their expertise to this book. While giving an excellent
overview of the history and the state of the art of MEMS technology, this
book also focuses on current trends and topics such as gyroscopes that
currently experience significant and increasing popularity in research and in
industry. It is well written and the material is presented in a well-structured
way making it easily accessible to any reader with a technical background.
Boris Stoeber, The University of British Columbia, Vancouver, Canada

The microelectromechanical systems (MEMS) industry has experienced


explosive growth over the last decade. Applications range from accelerom-
eters and gyroscopes used in automotive safety to high-precision on-chip
integrated oscillators for reference generation and mobile phones. MEMS:
Fundamental Technology and Applications brings together groundbreak-
ing research in MEMS technology and explores an eclectic set of novel
applications enabled by the technology. The book features contributions
by top experts from industry and academia from around the world.

The contributors explain the theoretical background and supply practical


insights on applying the technology. From the historical evolution of nano-
microsystems to recent trends, they examine alternative materials, gyro-
scopes, ambient energy harvesting, advanced interconnects, resonators,
micro hot-plate devices, wearable sensor assemblies, wireless microactua-
tors, and much more. Throughout, the contributors identify challenges and
pose questions that need to be resolved, paving the way for new applications.
Offering a wide view of the MEMS landscape, this is an invaluable resource
for anyone working to develop and commercialize MEMS applications.

K15054

You might also like