You are on page 1of 14

INFORME N3

CIRCUITOS COMBINACIONALES

1. OBJETIVOS
Analizar las caractersticas electrnicas de un circuito combinacional MSI
Comprobar en el laboratorio el funcionamiento del decodificador BCD de siete
segmentos 7447, el codificador 74147 y el decodificador de prioridad 74148.
Analizar el comportamiento de los decodificadores, codificadores, multiplexores y
demultiplexores
Adquirir destreza en el montaje de aplicaciones con circuitos combinacionales MSI.

2. MARCO TERICO
Existe una amplia variedad de circuitos lgicos combinacionales construidos para
propsitos concretos. stos se construyen a partir de combinaciones de las puertas
lgicas estudiadas, pero agrupando todo el circuito en un solo chip, de forma que se
pueda integrar en un proyecto de forma rpida y sencilla.

1. DECODIFICADORES
Los decodificadores son circuitos lgicos combinacionales que convierten un cdigo de
entrada codificado en un sistema numrico binario o no binario, en otro formato que
estar sin codificar.

Hay dos tipos de decodificadores, los que generan una sola salida para cada
combinacin de entrada y los que proporcionan distintos formatos de salida, que
representan la combinacin de entrada, de forma legible para el tcnico.

2. CODIFICADORES
Realizan una conversin de un cierto nmero de seales de entrada sin codificar en
otras de salida que estn codificadas, es decir hacen justo lo contrario que los
decodificadores.

Se utiliza ampliamente para introducir datos que provienen de un teclado, por ejemplo
el de una calculadora, para convertir las seales que se generan en ste en un cdigo
binario u otro que pueda entender la mquina que los va a procesar. La mayor parte
de ellos funciona con lgica negativa, es decir, el significado del 0 y el 1 estn
invertidos, de forma que una entrada est activada con cero y desactivada con uno.
3. MULTIPLEXORES
Un multiplexor es un circuito que tiene mltiples entradas y una sola salida. El efecto
que produce es como el de un conmutador, es decir, en la salida se puede obtener la
seal que est presente en una de las entradas. El proceso de seleccin se consigue
mediante unas entradas de seleccin adicionales que, mediante un cdigo binario,
permiten seleccionar la entrada que se desea que aparezca en la salida. La relacin
entre el nmero de las seales de seleccin y el de las seales de entrada viene dado
por la siguiente expresin:

4. DEMULTIPLEXORES
Es el circuito que realiza justo lo contrario que el que se ha visto en el apartado
anterior. Es decir, es un conmutador que parte de una sola entrada, la cual es dirigida a
travs de una de las posibles salidas mediante la seleccin adecuada de un cdigo de
entrada.

El circuito que se trata es el que se presenta en la siguiente figura:

Si se observa detenidamente la figura se puede observar como el demultiplexor se


parece bastante al un decodificador, excepto por la patilla de entrada de datos. sta
puede ser sustituida en el decodificador con la patilla de inhibicin.

5. COMPARADORES
Un comparador de n bits es un circuito que tiene como entradas dos nmeros binarios
de n bits cada uno y como salidas tres indicadores que sealan que nmero, presente
en la entrada, es mayor, menor o igual que el otro.

En los circuitos comerciales adems se introducen otras tres entradas lgicas


adicionales para poder unir varios comparadores, con el fin de poder comparar
nmeros mayores (de ms bits).

La tabla de verdad que indica el funcionamiento de estos circuitos comerciales es:


PROCESO EXPERIMENTAL

EQUIPOS Y MATERIALES

Fuente de Alimentacin DC.


Multmetro
Punta de Prueba Lgica (opcional).
CI-TTL 7485, 74LS147, 74LS148, 74LS151, 74LS04, 74LS00, 74LS10, 74LS11, 74LS32,
74LS139, 74LS47, 74LS48 (o equivalentes) (con sus hojas de datos Datasheet) (Por
lo menos traer dos unidades de cada tipo).
04 DIP Switch de 4 y 8 contactos.
2 Potenciometro 50K Ohm.
10 Resistencias de 220 Ohm.
10 Resistencias de 1K Ohm.
10 Diodos LED de colores variados.
Display 7 Segmentos, anodo comn y catodo comn.
Protoboard
Cables de conexin.
Herramientas (alicate pico de loro, alicate de pinzas, destornillador estrella,
destornillador plano)

PROCEDIMIENTO EXPERIMENTAL

1. Armar el circuito 01
1.1 Para el circuito efectuar todas las combinaciones posibles el dip-switch y
confirmar el resultado en la tabla correspondiente.

A B SALIDA
0000 0000 100
1000 0000 010
1100 0000 010
1110 0000 010
1111 0000 010
0000 1000 100
0000 1100 100
0000 1110 100
0000 1111 100
0100 0000 010
0100 1000 010
0100 1100 010
0100 1110 010
0100 1111 001
0010 0000 010
0010 1000 010
0010 1100 010
0010 1110 010
0010 1111 001
0001 0000 010
0001 1000 010
0001 1100 010
0001 1110 010
0001 1111 001

Circuitos armados con algunas convinaciones:


2. Para el circuito 02 efectuar todas las combinaciones posibles con los dip-switch y
visualizar los segmentos de cada display (AC y KC)
2.1. Llene los resultados obtenidos en las diferentes estados

Circuitos armados con algunas convinaciones:


3. Implementar el circuito 03 referido a un codificador de decimal a BCD, comprobar la
tabla adjunta, implementando una nueva tabla con sus resultados experimentales,
es decir conla informacin que entra en los dipswitch y lo visualizado por cada led.
Circuitos armados :

4. Teniendo como base el conexionado del circuito 04. Verificar experimentalmente la


ampliacin de operacin del circuito integrado 74148 para implementar un
codificador de prioridad de 16 a 4 lneas (adjunte en una hoja aparte su tabla de
verdad).
Circuitos armado en Multisin:

5. Armar el circuito del circuito 5, el cual es un multiplexor de 2 lneas de entrada A y


B, a 1 lnea de salida Y, con lneas de seleccin (S) y habilitacin (G) . Llenar
experimentalmente la tabla de verdad para las diferentes combinaciones.

G S A B Y

1 X X X 0

0 0 0 X 1/0

0 0 1 X 1

0 1 X 0 0

0 1 X 1 0

G1 G2 B A Y3 Y2 Y1 Y0

1 X X X 1 0 0 0

0 0 0 0 0 0 1 0

0 1 0 0 0 1 0 0

0 0 0 1 1 1 0 0

0 1 0 1 1 0 1 0
0 0 1 0 0 0 0 0

0 1 1 0 0 1 1 1

0 0 1 1 0 0 1 1

0 1 1 1 0 0 0 1

6. Armar el circuito de la circuito 06, el cual es un demultiplexor de 1 lnea de


entrada a 4 lneas de salida con lneas de habilitacin (G1) y seleccin (G2). Llenar
la Tabla adjunta para las diferentes combinaciones.

Circuito 06

7. Los multiplexores se pueden utilizar para generar funciones lgicas directamente


desde una tabla de verdad sin necesitar simplificacin. Cuando se usan con este
fin, las entradas de seleccin fungen como variables lgicas y cada entrada de
datos se conectan permanentemente en ALTO o BAJO esto segn se necesite para
satisfacer la tabla de verdad. Comprobar su aplicacin implementando el circuito
del circuito 07
C B A Z C B A Z

0 0 0 1 0 0 0

0 0 1 0 0 0 1

0 1 0 0 0 1 0

0 1 1 0 0 1 1

1 0 0 0 1 0 0

1 0 1 1 1 0 1

1 1 0 1 1 1 0

1 1 1 0 1 1 1

Circuitos armado en Multisin:

CUESTIONARIO FINAL.

1. Un microcontrolador), para un semforo. El semforo puede estar verde, amarillo,


rojo o averiado. En el caso de estar averiado, se activar una luz interna azul, para
que el tcnico sepa que lo tiene que reparar. A cada una de estas luces les vamos a
asociar un nmero. As el rojo ser el 0, el amarillo el 1, el verde el 2 y el azul
(averiado) el 3, vase la siguiente figura.
2. Por qu es necesario la conexin de las resistencias de 330Ohm entre las entradas
del display y las salidas del decodificador 7447, sera posible reemplazarlas por una
nica resistencia de 10KOhm en la conexin comn a ellas y el positivo? Fundamente
su respuesta con un clculo matemtico

El valor de 330 Ohm depende del voltaje dado en el circuito. 330 ohm te da 9 mA con una
fuente de 5V y un display que consume como 2.1V

Estos resistores en serie limitan el valor del voltaje de la fuente. El voltaje de los display debe
ser revisado en el datasheet.

Idisplay = (Vfuente-Vdisplay)/ Rserie


.
Reserie = (Vfuente - Vdisplay) / R series

3. Disear un demultiplexor de 1 a 4 lneas con 2 lneas de seleccin, con puertas AND e


inversores
4. Describir como el decodificador de 4 a 16 74154 puede utilizarse como
demultiplexor.

Este circuito integrado contiene un demultiplexor 1:16, que tambin puede funcionar como
decodificadores 4 a 16.

La relacin de pines de este integrado es la siguiente:

A , B, C y D: entradas de seleccin activas a nivel alto (5V).


G1 y G2: entradas de validacin o datos activas a nivel bajo (0V).
Y0, Y1, Y2, Y3, Y4, Y5, Y6, Y7, Y8, Y9, Y10, Y11, Y12, Y13, Y14, Y15: salidas del
demultiplexor activas a nivel bajo (0V).

La tabla de verdad y el montaje del demultiplexor

Al estar la salida seleccionada a nivel bajo (0V) para visualizar la demultiplexacin o la


decodificacin colocamos el LED de tal manera que se encienda cuando hay 0V a la salida y se
apague con 5V en la salida.
Podemos observar que cuando las entradas de validacin G1 y G2 estn a 0 (nivel bajo 0V), las
entradas de seleccin marcan la salida activa a nivel bajo (0), funcionando como un
decodificador 4 a 16.
Cuando G1=0, podemos introducir el dato por G2 (activo a nivel bajo 0V) y obtenerlo en la
salida seleccionada por A, B, C y D tambin a nivel bajo (0V). Lo mismo ocurre si G2 = 0, ahora
el dato podemos introducirlo por G1 (activo a nivel bajo 0V) y obtenerlo en la salida
seleccionada por A, B, C y D tambin a nivel bajo (0V). Este funcionamiento sera como
demultiplexor 1:16.

3. CONCLUSIONES:
Utilizando los 2 dip-switch en el primer circuito, en cdigo binario, se observa que en
cada dip-switch, nuestro comparador discrimina y distingue segn su informacin
interna de que nmero dado en el dip-switch es mayor, igual o menor que el otro
nmero binario.
En el circuito dado en la prctica, utilizando un codificador podemos concluir que al
introducir en el dip-switch un cdigo en binario, la salida de codificador nos dar un
nmero en el sistema decimal.
Se logr analizar las caractersticas electrnicas de los circuitos combinacionales, estos
circutios denominados como multiplex, decodificador entre otros.
El comportamiento de los circuitos decodificadores, codificadores, multiplexores y
demultiplexores, tienen un comportamiento distinto cada uno funcionada de
diferentes maneras y estos sirven para diferentes circuitos electrnicos.
Se logr adquirir destreza en el montaje de aplicaciones con circuitos combinacionales
MSI, utilizando muchos instrumentos de circuitos electrnicos.

4. OBSERVACIONES Y RECOMENDACIONES:
Se observ que la correcta colocacin de los dips y sus resistencias asegurar el bue
funcionamiento del circuito
La datasheet es especialmente importante cuando usamos circuitos combinacionales
de mediana escala de intensidad.
Se prioriza el orden en el circuito puesto que un error ser muy difcil hallar la
equivocacin si hay muchos cables de manera desordenada.
Se observa que los circuitos combinacionales nos ayudaron a darnos cuenta el
funcionamiento de cosas de la vida diario como por ejemplo semforos, iluminacin
led por tiempo, entre otros.
Se observ que todos los circuitos son necesarios para

You might also like