You are on page 1of 1

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;
entity diri5 is
Port
( s0 : in STD_LOGIC;
s1 : in STD_LOGIC;
d0 : out STD_LOGIC;
d1 : out STD_LOGIC;
d2 : out STD_LOGIC;
d3 : out STD_LOGIC);

end diri5;

architecture Behavioral of diri5 is


begin
d0 <= (not s1) and (not s0);
d1 <= (not s1) and s0 ;
d2 <= s1 and (not s0);
d3 <= s1 and s0 ;
end Behavioral;

You might also like