You are on page 1of 101

1

Lithography
2
Lithography
Lithography is the most complicated, expensive, and critical
process of modern IC manufacturing.

Lithography transforms complex circuit diagrams into pattern
which are define on the wafer in a succession of exposure and
processing steps to form a number of superimposed layers of
insulator, conductor, and semiconductors materials.

Typically 8-25 lithography steps and several hundred
processing steps between exposure are required to fabricate a
packed IC.

The minimum feature size i. e., the minimum line width or line
to line separation that can be printed on the surface, control the
number of circuits that can be placed on the chip and has a
direct impact on circuit speed. The evolution of IC is therefore
closely linked to the evolution of lithographic tools.
Photolithography
Temporarily coat photoresist on wafer
Transfers designed pattern to photoresist
Most important process in IC fabrication
40 to 50% total wafer process time
Determines the minimum feature size
Basic Steps of Photolithography
Photoresist coating
Alignment and exposure
Development

3
4
Historical Development and Basic
Concepts

Patterning process consists of mask design, mask
fabrication and wafer printing.
It is convenient to divide the wafer printing process
into three parts
A. Light source - Shorter wavelength photons
B. Wafer exposure system.
C. Resist.


Photoresist
substance whose properties allow image transfer onto a
printed circuit board.
Resist TonePhoto resist is an organic polymer which changes
its chemical structure when exposed to ultraviolet light.
Photoresist Composition
Polymer
Solvents
Sensitizers
Additives
Polymer
Solid organic material
Transfers designed pattern to wafer surface
Changes solubility due to photochemical reaction when exposed to UV
light.
Positive PR: from insoluble to soluble
Negative PR: from soluble to insoluble
Solvent
Dissolves polymers into liquid thinning PR
Allow application of thin PR layers by spinning
Sensitizers
Controls and/or modifies photochemical reaction of resist during exposure.
Determines exposure time and intensity
Additives
added chemical to achieve desired process results, such as dyes to reduce
reflection.



Resist tone
There are two types of photo resist:
Negative: Prints a pattern that is
opposite of the pattern that is on the
mask.
Positive: Prints a pattern that is the
same as the pattern on the mask.


Requirement of Photoresist
High resolution
Thinner PR film has higher the resolution
Thinner PR film, the lower the etching and ion implantation
resistance
High etch resistance
Good adhesion
Wider process latitude
Higher tolerance to process condition change
9
Photoresist Coating
Island
silicon substrate
oxide
photoresist
Window
Areas exposed to light become
polymerized and resist the develop
chemical.
Resulting pattern after the resist
is developed.
photoresist
oxide
silicon substrate
Ultraviolet Light
Exposed area
of photoresist
Shadow on
photoresist
Chrome island
on glass mask
Negative Lithography
10
silicon substrate
oxide
photoresist
Island
Window
Areas exposed to light
become photosoluble.
Resulting pattern after the resist
is developed.
Shadow on
photoresist
Exposed area
of photoresist
Chrome island
on glass mask
photoresist
silicon substrate
oxide
Ultraviolet Light
Positive Lithography
11
12
Photo resist Composition
The most commonly used positive resist consist of diazonaphtoquinone
(DQ), which is the photoactive compound (PAC), and novolac (N), a matrix
material called resin.
Upon absorption of UV light, the PAC undergoes a structural transformation
which is followed by reaction with water to form a base soluble carboxylic
acid, which is readily soluble in basic developer (KOH, NAOH, TMAH etc.)

The base resin is novolac
a long chain polymer
consisting of hydrocarbon
rings with 2 methyl groups
and 1 OH group attached.

13
Photo mask
A mask is a transparent plate called blank, covered with a
patterned film of opaque material.
The blank is made of soda lime, borosilicate glass, or fused
quartz.
The advantage of the quartz is that it is transparent to deep UV
(s365nm) and has a very low thermal expansion coefficient.

14
15
16
Wafer Exposure Systems

Contact printing is capable of high resolution but has unacceptable defect
densities. Inexpensive, diffraction effects are minimize.

Proximity printing cannot easily print features below a few m (except for x-ray
systems). Poor resolution due to diffraction effects, required 1 X mask.

Projection printing provides high resolution and low defect densities and
dominates today.


Advantages of contact lithography
Fast: capable of exposing the entire wafer at once
Inexpensive:
Mature technology
Disadvantages
Lowest resolution
Pattern defects
Mask wear due to physical contact between mask and
substrate
Hard contact masks are used in
R&D
Mask making
Prototyping
17
Contact and proximity mask printing together is called shadow
printing.
In Projection printing the photo mask is imaged with a high
resolution lens system onto the resist coated
wafer. Stepper photolithography uses a stepper mask, which is not
in touch with the substrate.
These can have
good resolution (e.g., better than ~0.25m resolution is achievable)
Advantages
Higher resolution
Simpler mask design
Superior alignment capabilities
Cleaner than contact alignment
Disadvantages
Much more expensive equipment, complex maintenance
requirements
Lower throughput
18
Photo Lithography Process steps
1. Oxidized wafer is coated with negative photo resist layer.

2. Exposure with a mask on
glass plate, using UV light.

3. Development

4. Wet anisotropic etching
(eg HF or NH4F)
5. stripped off with a Strong
acid ( H2SO4)
19
Ten Basic Steps
of
Photolithography
1. Surface Preparation
2. Photoresist Application
3. Soft Bake
4. Align & Expose
*

5. Develop
6. Hard Bake
7. Inspection
8. Etch
9. Resist Strip
10. Final Inspection
* Some processes may include a Post-exposure Bake

Introduction to the Lithography
Process

20
Basic Steps - Advanced
Technology
Wafer clean
Pre-bake and primer coating
Photoresist spin coating
Soft bake
Alignment and exposure
Post exposure bake
Development
Hard bake
Pattern inspection
PR coating
Development
Track-
stepper
integrate
d system
21
Hard bake
Strip
PR
Etch
Previous
Process
Ion
Implant
Rejected
Surface
preparation
PR coating
Soft bake
Alignment
&
Exposure
Development
Inspection
PEB
Approved
Clean
Track system
Photo Bay
Photo cell
22
Wafer Clean
Remove contaminants
Remove particulate
Reduce pinholes and other defects
Improve photoresist adhesion
Basic steps
Chemical clean
Rinse
Dry

23
Dehydration bake
Remove moisture from wafer surface
Promote adhesion between PR and surface
Usually around 100 C
Integration with primer coating

Primer
Promotes adhesion of PR to
wafer surface
Wildly used: Hexamethyldisilazane (HMDS)
Chill plate to cool down wafer before PR coating
Temp ~ 200 - 250C
Time ~ 60 sec.


Prebake and primer vapour
24
Pre-bake and Primer Vapor Coating





Wafer Cooling
Wafer need to cool down
Water-cooled chill plate
Temperature can affect PR viscosity
Affect PR spin coating thickness

25
Spin Coating
Wafer held onto vacuum chuck
Dispense ~5ml of photoresist
Slow spin ~ 500 rpm
Ramp up to ~ 3000 - 5000 rpm
Quality measures:
time
speed
thickness
uniformity
particles & defects
Photoresist spread by centrifugal force
Evenly coat on wafer surface vacuum chuck
spindle
to
vacuu
m
pump
photore
sist
dispens
er
26
Spin Coater
Automatic wafer loading system from robot of track system
Vacuum chuck to hold wafer
Resist containment and drain
Exhaust features
Controllable spin motor
Dispenser and dispenser pump
Edge bead removal
27
Photoresist Application
Resist spinning thickness T depends on:
Spin speed
Solution concentration
Molecular weight (measured by intrinsic viscosity)


K is a calibration constant
C the polymer concentration in grams per 100 ml solution
h the intrinsic viscosity
w the number of rotations per minute (rpm)
Once the various exponential factors (a,b and g) have been
determined the equation can be used to predict the thickness of
the film that can be spun for various molecular weights and
solution concentrations of a given polymer and solvent system
New.pptx
28
29
Photoresist Coating
30
Spin, Rinse and Dry
Soft Bake
Partial evaporation of photo-resist solvents
Improves adhesion
Improves uniformity
Improves etch resistance
Improves linewidth control
Optimizes light absorbance characteristics of photoresist

31

Evaporating most of solvents in PR
Solvents help to make a thin PR but absorb radiation and
affect adhesion
Soft baking time and temperature are determined by the
matrix evaluations
Over bake: polymerized, less photo-sensitivity
Under bake: affect adhesion and exposure
Methods of Soft Bake
Hot plates
Convection oven
Infrared oven
Microwave oven
Wafer Cooling before Exposure
Need to cool down to ambient temperature
Water-cooled chill plate
Silicon thermal expansion rate: 2.510
6
/C
PR thermal expansion effect

Alignment and Exposure
Transfers the mask image to the resist-coated wafer
Activates photo-sensitive components of photoresist
Mask aligner equipment
Most critical process for IC fabrication
Determines the minimum feature size
Quality measures:
linewidth resolution
particles & defects

34
UV Light Source
Mask
Resist

Post Exposure Bake
PEB normally uses hot plate at 110 to 130 C for about 1 minute.
For the same kind of PR, PEB usually requires a higher
temperature than soft bake.
Insufficient PEB will not completely eliminate the standing wave
pattern,
Over-baking will cause polymerization and affects photoresist
development
Purpose of PEB
Baking temperature higher than the Photoresist glass transition
temperature T
g

Thermal movement of photoresist molecules
Rearrangement of the overexposed and underexposed PR
molecules
Smooth PR sidewall and improve resolution

35

36
Wafer Cooling before Development
After PEB the wafer is put on a chill plate to cool down to the
ambient temperature before sent to the development process
High temperature can accelerate chemical reaction and cause over-
development and PR CD loss

Development
Developer solvent dissolves the softened part of photoresist
Transfer the pattern from mask or reticle to photoresist
Three basic steps:
Development
Rinse
Dry
Development
PR
PR PR
PR
Substrate Substrate
Substrate Substrate
Film Film
Film Film
Mask
Exposure
Development Etching
PR Coating
Development Profiles
PR PR
Substrate Substrate
PR
Substrate
PR
Substrate
Normal Development
Under Development Over Development
Incomplete Development
Developer Solution
+ve PR normally uses weak base solution
The most commonly used one is the tetramethyl ammonium
hydride, or TMAH ((CH
3
)
4
NOH).
Positive PR Negative PR
Developer TMAH Xylene

Rinse DI Water n-Butylacetate
New1.pptx
Hard Bake
Purpose of Hard Bake
Evaporating all solvents in PR
Improving etch and implantation resistance
Improve PR adhesion with surface
Polymerize and stabilize photoresist
PR flow to fill pinhole
Hard Bake Conditions
Hot plate is commonly used
Can be performed in a oven after inspection
Hard bake temperature: 100 to 130 C
Baking time is about 1 to 2 minutes
Hard bake temperature normally is higher than the soft
bake temperature for the same kind of photoresist

Effects of Improper Hard Bake
Under-bake
Photoresist is not filly polymerized
High photoresist etch rate
Poor adhesion
Over-baking
PR flow and bad resolution
Pattern Inspection
Surface irregularities such as scratches, pin
holes,stains,contamination, etc.
Fail inspection, stripped PR and rework
Photoresist pattern is temporary
Etch or ion implantation pattern is permanent.
Photolithography process can rework
Cant rework after etch or implantation.

Resist stripping
Wet stripping complete removal of the photo resist without
damaging the device under construction,
use strong acid H2SO4 or acid oxidant combination:
H2SO4 Cr2O3 attack the resist not the oxide/ Si ,also in use
: organic solvent strippers. eg: acetone.
Dry stripping (ashing)
Fewer disposal problems.
More controllable.
Chemicals used do not disintegrate with time.
Doesnt cause undercutting/ broadening of photoresist
features.
eg: Reactive plasma stripping with oxygen gaseous
chemical reactants (ozone) radiation (UV).
44
Photolithography Requirements
High Resolution PR
High PR Sensitivity PR
Precision Alignment Machine
Precise Process Parameters Control
Low Defect Density
ultra-clean room
46
review
47
Lithography Overview
While the lithography concept is very simple, the actual
implementation is very complex, because of the following
demands placed on this process:
Resolution - demand for smaller device structures
Exposure field - chip size and need to expose at least one
full chip (8 wafer)
Placement accuracy - alignment with respect to the
existing pattern
Throughput - manufacturing cost
Reduction of defects density - yield loss


48
Light Sources

Decreasing feature sizes
require the use of shorter .
Traditionally Hg vapor lamps
have been used which generate
many spectral lines from a high
intensity

plasma inside a glass lamp.

(Electrons are excited to
higher energy levels by
collisions in the plasma.
Photons are emitted when the
energy is released.)

g line - = 436 nm
i line - = 365 nm (used for 0.5
m, 0.35 m)

49
Light Sources: Laser Sources
The most powerful and commonly
used laser sources for deep UV
photolithography are the excimer
lasers.

Kr +NF
3
KrF photon emission
Energy
KrF - = 248 nm (used for 0.25 mm)
ArF - = 193 nm
Issues include finding suitable
resists and transparent optical
components at these wavelengths.

The combination of the high power and
deep UV lines makes ArF and KrF
attractive sources for advance optical
lithography
50
51
electronic
interface
computer
Stepper
E-Beam Lithography
Wafer Exposure Systems

52
Wafer Exposure Systems

53
O. Rohde, M. Reidiker, S. Schaffner, and J. Bateman, Solid State Technology vol. 29 no. 9 (Sept 1986) p. 110
VLSI Silicon Devices
silicon
resist
54
An approach to improve resolution
55
Optics - Basics and Diffraction

Ray tracing (assuming light
travels in straight lines)
works well as long as the
dimensions are large compared
to i. e. particle nature of
the light.

At smaller dimensions,
diffraction effects dominate
i.e., wave nature of the light.
Dimensions on the mask are
comparable to the wavelength
of the light.

If the aperture is on the order of , the light spreads out after
passing through the aperture. (The smaller the aperture, the more it
spreads out.) The light that passes through the aperture (mask)
carries with it the information on the size and shape of that
aperture (device pattern).

In order to understand the capabilities of modern wafer exposure systems we
will need to review some basic concepts about light and optical system.
56
The light diffracted to wider angels carries the information about the finer details
of the Aperture (device pattern), which are lost first when lens of finite size is used.
57
size of the image
(diameter).


58
Projection Systems ( Fraunhofer Diffraction)

These are the dominant systems in use
today.
Performance is usually described in terms of
resolution
depth of focus
field of view
modulation transfer function
alignment accuracy
throughput

59
o

o

sin
61 . 0
) sin 2 (
22 . 1 22 . 1
n f n
f
d
f
R = = =
Where n has been included for generality and is the index of refraction of the
material between the object and the lens and R is the minimum feature size.
Two small adjacent features
on a mask
How close together
can they be and still
be resolved in the
image plan?
o
= K
1

NA
f
NA is the ability of
Lens to gather light.
The formula suggests
That decreasing the
and /or increasing the
NA are ways to print
a smaller image size.
60
61
size of the image
(diameter).


62
Spatial Coherence
A useful definition of the spatial
coherence of practical light
sources for lithography is simply

S= light source diameter
condensed lens diameter

Practical light sources are not
point sources. Therefore, the light
striking the mask will not be plane
waves.
Typically, S ~ 0.5 to 0.7 in modern
systems.

63
Contact and Proximity Systems ( Fresnel
Diffraction)
Contact printing systems operate in the
near field or Fresnel diffraction regime.
There is always some gap between the
mask and resist.
The aerial image can be constructed by
imagining point sources within the
aperture, each radiating spherical waves
(Huygens wavelets).
Interference effects and diffraction
result in ringing
and spreading outside the aperture.
Fresnel diffraction applies when



Within this range, the minimum
resolvable feature size is

W
min
~\kg

Typical value of k is close to 1 and
deepens on resistor process.



Example:
if g =20 m and an g-line light (436nm) source is
used,
W
min
~ 3 m.
This is much larger then the dimension used in
modern VLSI chips. However, for application in
which features size are compatible with them,
proximity printers are an economical solution.


64
Summary of wafer printing
systems
In the contact printing system , a very high resolution image is produced i. e.,
minimum diffraction effect.
In a proximity printing system, the resolution degrade because of near field
Fresnel diffraction effects.
In the projection printing system , diffraction effects are minimized by placing
a lens between mask and the wafer. And focus the aperture on the wafer.
It is clear from the figure that the resolution of the proximity system is
inferior to both of the other systems. This is why projection systems are used
in manufacturing today.
65
The liftoff process for pattern transfer.
66
67
Exposures issues: subsurface reflectivity
68
Exposures issues: subsurface reflectivity
69
Resolution enhancement techniques:
Mask engineering or wavefront
engineering
Sharp features are lost because higher frequencies are lost due
to diffraction. These effects are calculable and can be
compensated for.
The resolution of an optical system can be improved by
increasing the numerical aperture and reducing the wavelength.
Increasing the numerical aperture and reducing the wavelength,
however, decrease the depth of the focus. Further reduction in
the wavelength requires the development of new optical
systems and resist compositions.

70
71
It is known that in the sub 0.5m range, a perfect
image on the mask can, from diffraction effect, result
in a distorted pattern in the resist.
OPC mask attempt to reverse the situation by having
a distorted image on the mask that is design to,
produce a perfect image on the resist. A computer is
used to analyze exposure process conditions.
However, the use of OPC are so difficult that they are
unlikely to be implemented on a large scale in the
near future.
Resolution enhancement techniques: Mask
engineering
(1) Optical proximity corrections (OPC)
72
73
Resolution enhancement techniques:

OPC
74
Optical Proximity
Correction (OPC) can be
used to compensate
somewhat for diffraction
effects.
Sharp features are lost
because higher spatial
frequencies are lost due
to diffraction. These
effects can be calculated
and can be compensated
for. This improves the
resolution by decreasing
k
1
.
Photolithography- OPC
75
Resolution enhancement techniques:
(2) Optical Phase Shifting
Diffraction problem could
be more pronounced as two
mask patterns get closer
together
OPS uses phase shifting to
sharpen printed images.

These techniques can allow
existing exposure tools to
be used in manufacturing at
least one more technology
generation.

Unresolved pattern
76
(2)
77

When the angle of
illumination and the
angle of diffraction
are well matched, the
amount of light
diffracted can be
enhanced and the
contrast of the image
improved.
(3) Off-axis
Illumination
technique
78
Photolithography-
79
Photolithography-NA
At the same time that exposure
wavelengths have been
reduced, improvements in lens
design has led to
improvements in the NA of
exposure systems lens, see
figure . In the mid eighties an
NA value of approximately 0.4
was typical, today 248nm
exposure systems are
available with an NA greater
than 0.8. The physical limit to
NA for exposure systems using
air as a medium between the
lens and the wafer is 1, the
practical limit is somewhere
around 0.9, with recent reports
suggesting that an NA as high
as 0.93 may be possible for
ArF systems in the future .




80
The third element in the Rayleigh
equation is k
1
. k
1
is a complex
factor of several variables in the
photolithography process such
as the quality of the photoresist
and the use of resolution
enhancement techniques such
as phase shift masks, off-axis
illumination (OAI) and optical
proximity correction (OPC).
While exposure wavelengths
have been falling and NA rising,
k
1
has been falling as well, see
figure . The practical lower limit
for k
1
is thought to be about
0.25.

Photolithography- k
1
81
From the discussion to this point, the resolution limit for
193nm exposure systems may be calculated using the
Rayleigh equation with, l = 193nm, NA = 0.93 and k
1
=
0.25 or





From the above a highly optimized ArF exposure system
has an absolute maximum resolution of 52nm, sufficient
for 65nm linewidths forecast in 2005, but not capable of
meeting the 45nm linewidths forecast in 2007.








Photolithography-Immersion
Litho
82
NA is determined by the acceptance angle of the lens and the index of
refraction of the medium surrounding the lens. The physical limit for an air
based system is clear, but what if a medium with a higher index of
refraction is substituted for air? Microscopy has for years used oil between
the lens and the sample being viewed for resolution enhancement and it is
somewhat surprising that the semiconductor industry has taken this long
to seriously consider the merits of replacing air with an alternative.




Photolithography-Immersion
litho
83
Photolithography-Immersion
Litho

The medium between the lens and the wafer being exposed needs to
have an index of refraction >1, have low optical absorption at 193nm,
be compatible with photoresist and the lens material, be uniform and
non-contaminating. Surprisingly, ultrapure water may meet all of these
requirements. Water has an index of refraction n = 1.47, absorption of
<5% at working distances of up to 6mm, is compatible with photoresist
and lens and in its ultrapure form is non-contaminating.




84
The primary factor limiting resolution in
optical lithography is diffraction. However,
because of advancement in excimer lasers,
photoresist chemistry and resolution
enhancement techniques , optical lithography
will remain the main stream technology , at
least to the 100nm generation.
85
Next Generation lithographic
methods
Why is optical lithography so widely used and what makes it such a
promising method?
It has high throughput, good resolution, low cost and ease in operation.
However, due to deep submicron IC process requirements, optical
lithography has limitation that have not yet been solved.
Therefore, it is required to find alternatives to optical lithography. The
possible promising techniques are:
Electron beam lithography
Extreme Ultraviolet Lithography
X-ray lithography
Ion beam lithography

Next Generation Lithography
(NGL)
86
87
88
Schematic of an
electron beam
lithography machine.
Advantages:
Generation of submicron
Resist geometries
Greater depth of focus
Direct patterning on a
Semiconductor without
Using a mask.
Currently EBL is the
Technology of choice for
Mask generation due to
Its ability to accurately
define small features.
Disadvantage:
Low throughput



Next Generation Lithography: E-
Beam
oDiffraction is not a limitation on resolution ( < 1 for 10-50 keV electrons)
oResolution depends on electron scattering and beam optics the size of the beam, can
reach ~ 5 nm
oTwo modes of operation:
oDirect writing with narrow beam
oElectron projection lithography using a mask :EPL
oIssues:
oThroughput of direct writing is very low : research tool or low pattern density
manufacturing
oProjection stepper (EPL) is in development stage only (primarily by Nikon).
oMask making is the biggest challenge for the projection method
oBack-scattering and second electron result in proximity effect reduce
resolution with dense patterns there is also the proximity effect
oOperates in high vacuum (10
-6
10
-10
torr) slow and expensive
89
90
The advantages of electron
lithography are:
(1) Generation of micron and
submicron resist geometries
(2) Highly automated and
precisely controlled
operation
(3) Greater depth of focus
(4) Direct patterning without
a mask


Next Generation Lithography: E-
Beam
The biggest disadvantage of
electron lithography is its low
throughput (approximately 5
wafers / hour at less than 0.1
resolution). Therefore,
electron lithography is
primarily used in the
production of photomasks
and in situations that require
small number of custom
circuits.


91
electronic
interface
computer
Stepper
E-Beam Lithography
Wafer Exposure Systems

92
In raster scan system
The beam scan sequentially
over every possible location
(pixel) on the mask and is
Turned off where no exposure
Is required.

In a vector scan system
The beam is directed only to the
Requested pattern features
and jumps from features to
Features.
93
(a) Raster scan writing scheme. (b) Vector scan writing
schemes. (c) Shapes of electron beam: round, variable, and
cell projection.
94
Issue associated with EBL: Proximity effect
In EBL the resolution is not
limited by diffraction
In EBL backscattering
causes the electron beam
to broaden and expose a
large volume of resist then
expected.
The proximity effect places
a limit on the minimum
spacing between pattern
feature.

95
SCALPEL

(SCattering with Angular Limitation Projection


Electron-beam Lithography)

EPL is e-baem with a mask for high-throughput
The aspect of SCALPEL which differentiates it
from previous attempts at projection electron-
beam lithography is the mask. This consists of a
low atomic number membrane covered with a
layer of a high atomic number material: the
pattern is delineated in the latter. While the
mask is almost completely electron-transparent
at the energies used (100 keV), contrast is
generated by utilizing the difference in electron
scattering characteristics between the
membrane and patterned materials. The
membrane scatters electrons weakly and to
small angles, while the pattern layer scatters
them strongly and to high angles.
An aperture in the back-focal (pupil) plane of the
projection optics blocks the strongly scattered
electrons, forming a high contrast aerial image
at the wafer plane

96
Possible solution of low throughput: Scattering
with angular limitation projection electron beam
lithography (SCALPEL)
This approach has not yet been in a full scale manufacturing environment, but
It appears to have significant promise for future lithography needs.
97
Uses very short 13.4 nm
light
All reflective optics (at this
wavelength all materials
absorb!)
Uses reduction optics (4 X)
Step and scan printing
Optical tricks seen before all
apply: off axis illumination
(OAI), phase shift masks and
OPC
Vacuum operation
Laser plasma source
Very expensive system
Next Generation Lithography : EUV
98
Challenges:
EUV is strongly absorbed
In all materials.
Lithography process must be performed in vacuum
Mask blank must also be multilayer coated to minimize
Its reflection.


An extreme ultraviolet (EUV) lithography
system.
99
Schematic representation of a proximity x-ray lithography
system.
1nm
Problems:
Masks are the most
Difficult and critical
Element of an XRL system
lacking of photoresist
1:1 printing
High energy x-ray destroy conventional optics
Advantages:
Low diffraction
Shorter exposure time
Scattering is minimum
X rays pass through spots
100
101
Although all non optical lithography techniques have
100 nm or better resolution , each process has its
own limitations:
Proximity effect in electron beam lithography
Mask blank production difficulties in EUV
lithography
Mask fabrication complexity in X-ray lithography
Random space charge effect in ion beam lithography

You might also like