You are on page 1of 3

`include "cnt_255.

v"
`timescale 1ns/100ps
module cnt_255_tb;
reg a;
reg clk;
reg reset_n;
wire
wire
wire
wire

[7:0]cnt_reg;
cnt_start;
cnt_reset;
[7:0]cnt_next;

cnt_255

a1

always
#10 clk=~clk;
initial
begin
a=0;
reset_n=0;
clk=0;
#10
a=0;
reset_n=1;
#20
a=0;
reset_n=1;
#20
a=0;
reset_n=1;
#20
a=0;
reset_n=1;
#20
a=0;
reset_n=1;
#20
a=0;
reset_n=1;
#20
a=0;
reset_n=1;
#20
a=0;
reset_n=1;
#20

( a,
cnt_reg,
cnt_start,
cnt_reset,
cnt_next,
clk,
reset_n);

a=0;
reset_n=1;
#20
a=0;
reset_n=1;
#20
a=0;
reset_n=1;
#20
a=0;
reset_n=1;
#20
a=0;
reset_n=1;
#20
a=0;
reset_n=1;
#20
a=0;
reset_n=1;
#20
a=0;
reset_n=1;
#20
a=0;
reset_n=1;
#20
a=0;
reset_n=1;
#20
a=1;
reset_n=1;
#20
a=1;
reset_n=1;
#20
a=0;
reset_n=1;
#20
a=0;
reset_n=1;
$stop;
$finish;
end
endmodule

You might also like