You are on page 1of 13

ELECTRONIQUE APPLIQUEE

LES CIRCUITS LOGIQUES PROGRAMMABLES

LES CIRCUITS LOGIQUES LOGIQUES PROGRAMMABLES


1. PRESENTATION.
1.1. INTRODUCTION.

Il y a quelques annes la ralisation dun montage en lectronique numrique impliquait lutilisation dun nombre important de circuits intgrs logiques. Ceci avait pour consquences un prix de revient lev, une mise en oeuvre complexe et un circuit imprim de taille importante. Le dveloppement des mmoires utilises en informatique fut lorigine des premiers circuits logiques programmables (PLD : programmable logic device). Ce type de produit peut intgrer dans un seul circuit plusieurs fonctions logiques programmables par lutilisateur. Sa mise en oeuvre se fait trs facilement laide dun programmateur, dun micro-ordinateur et dun logiciel adapt. 1.2. STRUCTURE DE BASE DUN PLD. DUN

La plupart des PLDs suivent la structure suivante : Un ensemble doprateurs ET sur lesquels viennent se connecter les variables dentre et leurs complments. Un ensemble doprateurs OU sur lesquels les sorties des oprateurs ET sont connectes. Une ventuelle structure de sortie (Portes inverseuses, logique 3 tats, registres...). Les deux premiers ensembles forment chacun ce quon appelle une matrice. Les interconnexions de ces matrices doivent tre programmables. Cest la raison pour laquelle elles sont assures par des fusibles qui sont grills lors de la programmation. Lorsquun PLD est vierge toutes les connexions sont assures. Un exemple de ce type de structure est prsent par la figure 2. On peut remarquer que la reprsentation dune telle structure est complexe alors que le nombre de portes intgres est peu important. Les constructeurs ont donc trs rapidement adopts un autre type de reprsentation rendant les schmas beaucoup plus lisibles. On remarquera que la norme adopte est amricaine (normal, la plupart des constructeurs sont amricains). Un exemple de cette reprsentation est donn par la figure 3.

S.T.I ELECTRONIQUE

PAGE 1

ELECTRONIQUE APPLIQUEE

LES CIRCUITS LOGIQUES PROGRAMMABLES

Entres

Entres Fusible

Porte "ET" Porte "OU"


- Figure 1 : symbolisation des portes logiques pour les PLDs b a

&

&

&

&

>1

>1

Q0

Q1

- Figure 2 : Structure de base dun PLD -

S.T.I ELECTRONIQUE

PAGE 2

ELECTRONIQUE APPLIQUEE
b a

LES CIRCUITS LOGIQUES PROGRAMMABLES

: Fusible intact

Q0

Q1

- Figure 3 : Structure de base avec les normes des constructeurs La figure 3 reprsente la structure interne dun PLD ayant ses fusibles intacts. Les quations logiques de Q0 et Q1 sont : Q 0 = Q1 = a.b.a.b + a.b.a.b + a.b.a.b + a.b.a.b = 0 Si on veut obtenir les fonctions Q0 = a.b + a. b et Q1 = a. b + a. b , on grillera des fusibles de faon obtenir le schma suivant :

: Fusible intact

Q0

Q1

- Figure 4 : PLD programm -

S.T.I ELECTRONIQUE

PAGE 3

ELECTRONIQUE APPLIQUEE
1.3. LES DIFFERENTES FAMILLES DE PLD.

LES CIRCUITS LOGIQUES PROGRAMMABLES

Il existe plusieurs familles de PLD qui sont diffrencies par leur structure interne. Le tableau suivant prsente certaines de ces familles. TYPE PROM PAL GAL EPLD FPLA Nombre de portes intgres 2 000 500 000 10 100 10 100 100 3000 2000 3000 Matrice ET Fixe Programmable Programmable Programmable Programmable Matrice OU Programmable Fixe Fixe Fixe Programmable Effaable Non Non Electriquement Aux U-V Electriquement

REMARQUES : Certaines de ces familles possdent en plus des matrices ET et OU , de la logique squentielle (Bascules D , JK ...) place aprs les entres ou avant les sorties du PLD. Les PROMs sont des circuits utiliss en informatique pour mmoriser de faon dfinitive des donnes : ce sont des Mmoires mortes . Il existe des versions effaables comme les UVPROM (aux U-V) et les EEPROM (lectriquement). Dans la suite de ce document nous nous intresserons seulement aux PLDs de type PALs et GALs .

2.

LES PALs (PROGRAMMABLE ARRAY LOGIC). PALs (PROGRAMMABLE


2.1. PRESENTATION.

Ce sont les premiers circuits programmables tre utiliss pour raliser des fonctions logiques. Le constructeur AMD a dvelopp ce type de circuit il y a prs de 20 ans. Ils possdent des matrices ET programmables et des matrices OU fixes. La fusion des fusibles est obtenue en appliquant leurs bornes une tension de 11,5 V pendant 10 50 S (leur tension de fonctionnement est environ de 5V). Cette opration est bien sr effectue en utilisant un programmateur adapt. La structure de base de ce PLD est prsente par le schma suivant.

- Figure 5 : structure de base dun PAL -

S.T.I ELECTRONIQUE

PAGE 4

ELECTRONIQUE APPLIQUEE

LES CIRCUITS LOGIQUES PROGRAMMABLES

Certaines broches de ces circuits peuvent tre utilises aussi bien en entre quen sortie grce un systme de logique 3 tats. La commande de cette dernire est configure au moment de la programmation. La structure de sortie permet aussi de rinjecter les sorties en entre (Feed-back).

Porte trois tats permettant de dconnecte la broche de la matrice "E T"

I/O

- Figure 6 : Configuration des entres/sorties Selon le type de PAL la structure de sortie peut tre constitue dune porte NON, dune porte OU Exclusive , dune bascule D ou dune combinaison des trois. Le nombre dentres et de sorties est lui aussi li la rfrence du PAL. 2.2. REFERENCE DES PALS (DAPRES AMD). REFERENCE Les diverses possibilits de ces circuits et leur standardisation ont conduit les constructeurs dfinir une nomenclature permettant de dcoder assez facilement la rfrence des PALs. PAL (CE) XX AB YY C ZZ DEF Type de botier Vitesse Consommation Nombre de sorties Structure de sortie Nombre dentres CE pour version CMOS PAL Lettre(s) Code(s) L H C R RA X V Structure de sortie Combinatoire active bas Combinatoire active haut Combinatoire complmentaire Registre synchrone (D) Registre asynchrone Registre et OU exclusif Versatile

S.T.I ELECTRONIQUE

PAGE 5

ELECTRONIQUE APPLIQUEE

LES CIRCUITS LOGIQUES PROGRAMMABLES

Remarques : Le nombre dentres varie entre 10 et 22. Le nombre de sorties varie entre 1 et 10. La puissance est indique par une lettre code. La vitesse indique le temps de propagation en nS. Les versions versatiles ont une cellule de sortie programmable permettant dobtenir nimporte quel autre type de structure de sortie (L, H, R ...). Les versions CMOS (CE) sont effaables lectriquement. Les fusibles sont remplacs par des transistors de type MOS FET. Ce ne sont ni plus ni moins que des GALs .

Exemple :

PAL 16 L 8 H 15 PC Type de botier : DIL plastique civile Vitesse : 15 nS Consommation : puissance Nombre de sorties : 8 Structure de sortie : Combinatoire active Bas Nombre dentres : 16

2.3.

EXEMPLES DE PAL.

Nous ntudierons pas toutes les sortes de PAL car leur nombre est assez consquent, mais nous intresserons ceux qui sont couramment utilis. 2.3.1. Le PAL 16L8. Ce type de circuit est uniquement constitu de logique combinatoire. Il possde 20 broches (figure 8) agences de la faon suivante : - 10 broches configurables uniquement en entre - 2 broches configurables uniquement en sortie - 6 broches configurables en entre et en sortie - 2 broches dalimentation. Lensemble des sorties provient de portes 3 tats inverseuses (figure 6). Ltat haute impdance peut tre commande par lensemble des entres. Chaque porte de la matrice OU possde 7 entres. Ceci signifie que chaque sortie peut rsulter, au maximum, dune fonction OU entre 7 termes produits. Chaque porte de la matrice ET possde 32 entres. Ceci signifie que chaque terme produit peut rsulter, au maximum, dune fonction ET entre 16 variables et leurs complments.

- Figure 7 : Configuration partielle interne du PAL 16L8 -

S.T.I ELECTRONIQUE

PAGE 6

ELECTRONIQUE APPLIQUEE

LES CIRCUITS LOGIQUES PROGRAMMABLES

- Figure 8 : Brochage du PAL 16R6 -

- Figure 9 : Brochage du PAL 16L8 -

2.3.2. Le PAL 16R8. Ce type de circuit est constitu de logique combinatoire et squentielle. Il possde 20 broches (Doc. annexe) agences de la faon suivante : 8 broches (n 2 9) configurables uniquement en entre 1 broche (n 1) dentre dhorloge de lensemble des 8 bascules D 1 broche (n 11) de validation des 8 sorties (output enable) 8 broches (n 12 19) configurables en sortie et pouvant tre rinjecter en entre 2 broches dalimentation (n 10 et 20).

Lensemble des sorties provient de portes 3 tats inverseuses provenant elles-mmes de bascules D (figure 9). Ltat haute impdance est commande par lentre OE (broche n11). Chaque porte de la matrice OU possde 7 entres. Ceci signifie que chaque sortie peut rsulter, au maximum, dune fonction OU entre 7 termes produits. Chaque porte de la matrice ET possde 32 entres. Ceci signifie que chaque terme produit peut rsulter, au maximum, dune fonction ET entre 16 variables et leurs complments. En rsum on peut dire que les huit sorties de ce circuit proviennent dun registre trois tats. La mise en haute impdance de ce dernier est commande par la broche OE et la mmorisation est active par les fronts montants de lhorloge CLK.

S.T.I ELECTRONIQUE

PAGE 7

ELECTRONIQUE APPLIQUEE

LES CIRCUITS LOGIQUES PROGRAMMABLES

- Figure 10 : Configuration partielle interne du PAL 16R8 -

3.

LES GALS (GENERIC ARRAY LOGIC). ARRAY


3.1. PRESENTATION.

Linconvnient majeur des PALs est quils ne sont programmables quune seule fois. Ceci impose un gaspillage important de ces circuits lorsquon veut dvelopper un nouveau produit. La firme LATTICE a donc pens, il y a un peu plus de 10 ans, remplacer les fusibles irrversibles des PALs par des transistors MOS FET pouvant tre rgnrs. Ceci a donc donn naissance aux GALs que lon pourrait traduire par Rseau logique Gnrique . Ces circuits peuvent donc tre reprogramms volont sans pour autant avoir une dure de vie restreinte. On peut aussi noter que dans leur structure interne les GALs sont constitus de transistor CMOS alors que les PALs classiques sont constitus de transistors bipolaires. La consommation des GALs est donc beaucoup plus faible. Depuis dautres constructeurs fabriquent ce type de produit en les appelant PAL CMOS . Par soucis de remplacer les PALs, LATTICE a quip la plupart de ses GALs de macro-cellules programmables permettant dmuler nimporte quel PAL. Ces structures de sortie sont donc du type Versatile (V). 3.2. PROTECTION CONTRE LA DUPLICATION. Les GAL sont dots dun bit de scurit qui peut tre activ lors de la programmation empchant ainsi toute lecture du contenu du circuit. Ce bit est remis zro seulement en effaant compltement le GAL. Il est aussi constitu dun ensemble de huit octets, appel signature lectronique, pouvant contenir des informations diverses sur le produit. 3.3. REFERENCE DES GAL.

Le nombre de types de GAL est de 8. Les deux 2 derniers-ns prsentent une structure plus particulire que nous naborderons pas dans ce document. Les six plus anciens sont diffrencis par leurs nombres dentres et de sorties. Ils possdent une structure de sortie soit du type Versatile soit du type Registre asynchrone . Rfrence : GAL XX AB YY ZZ C DEF G Version : , Commerc. - I , Indus. Botiers : P , DIP - J , PLCC Consommation : L , P - Q , P Vitesse (nS)

Nombre de sortie Structure de sortie Nombre dentres

S.T.I ELECTRONIQUE

PAGE 8

ELECTRONIQUE APPLIQUEE

LES CIRCUITS LOGIQUES PROGRAMMABLES

Tableau rsumant les diffrents types de GAL : Rfrence Nombre de broches 20 20 24 24 24 28 24 24 Vitesse (nS) 10, 15 ou 20 15 ou 20 10, 15 ou 25 15 ou 20 15, 20 ou 25 15 ou 20 30 ou 35 20 ou 25 Consommatio n (mA) 55 ou 115 115 55 ou 115 115 130 130 150 90 Remarque Macro-cellule (1) // // Registre asynchrone (1) Macro-cellule (1) // Macro-cellule (1) - Type FPLA (2) Macro-cellule (1) - Programmable en circuit (3)

GAL 16V8 GAL 18V10 GAL 20V8 GAL 20RA10 GAL 22V10 GAL 26V12 GAL 6001 ispGAL 16Z8

* (1) : structure de sortie. * (2) : Matrices OU et ET programmables. * (3) : Circuit reprogrammable tout moment par liaison srie. 3.4. MACRO CELLULE DE SORTIE (OLMC). SORTIE

Comme cela a t spcifi auparavant, ces structures de sortie sont programmables et permettent dmuler nimporte quelle autre structure de sortie. Elles possdent en tout 2 bits de programmation communs toutes les cellules (CG1 et CG0) et 2 bits spcifiques chaque cellule (CL0x et CL1x).

- Figure 11 : macro-cellule OLMC du GAL 16V8 S.T.I ELECTRONIQUE PAGE 9

ELECTRONIQUE APPLIQUEE

LES CIRCUITS LOGIQUES PROGRAMMABLES

Configurations possibles de la macro-cellule pour le GAL 16V8 : Configuration de la structure de sortie Registre synchrone - sortie 3 tats (C1) Entre / Sortie combinatoire - sortie 3 tats (C2) Entre et/ou Sortie combinatoire (C3) Entre combinatoire (C4) Entre / Sortie combinatoire - sortie 3 tats (C2) Circuit PAL mul 16R8 16R4 - 16R6 10L8 - 12H6 12L6 16L8 - 16H8

. Remarque : En configuration Registre et en nutilisant pas ltat haute impdance des portes 3 tats, il faut relier la broche OE (n11) VCC.

- Figure C1 : registre synchrone / sortie 3 tats -

- Figure C2 : E/S combinatoire / sortie 3 tats -

S.T.I ELECTRONIQUE

PAGE 10

ELECTRONIQUE APPLIQUEE

LES CIRCUITS LOGIQUES PROGRAMMABLES

- Figure C3 : E/S combinatoire -

- Figure C4 : Entre combinatoire Remarques : La programmmation des cellules de sortie est transparente pour loprateur. Cest le logiciel de dveloppement qui, en fonction de certaines indications (sortie / entre registre ou combinatoire), effectue la configuration des structures de sortie. Pour le GAL 16V8, Les broches 15 et 16 ne peuvent pas tre configures en entres combinatoires 3.5. EXEMPLE DE BROCHAGE DE CIRCUIT.

- Figure 10 : brochage du 16V8 -

S.T.I ELECTRONIQUE

PAGE 11

ELECTRONIQUE APPLIQUEE

LES CIRCUITS LOGIQUES PROGRAMMABLES

- Figure 1 : brochage du 22V10 -

4.

PROGRAMMATION DES PLDS. PLD

La programmation des PLDs ncessite un logiciel adapt pour le dveloppement du programme et un programmateur permettant de griller le circuit. En outre il est conseill de suivre la dmarche dcrite par lorganigramme suivant : Cahier des charges

Mise en quation du problme Rsolution du problme sous forme dquation logique, de logigramme ou dalgorithme

Choix du PLD en fonction du nombre dentres et de sorties

Saisie des quations logiques, de la table de vrit, du logigramme ou de lalgorithme avec le

Simplification logique Ces tapes sont effectues par le logiciel

Gnration dun fichier au format JEDEC

Simulation S.T.I ELECTRONIQUE PAGE 12

ELECTRONIQUE APPLIQUEE

LES CIRCUITS LOGIQUES PROGRAMMABLES

Programmation du PLD laide du fichier JEDEC et du programmateur

PLD programm

* Le logiciel de dveloppement permet de simplifier les quations et de gnrer un fichier JEDEC partir des donnes rentres par loprateur. Il simule aussi le fonctionnement du PLD avec le programme obtenu. Le logiciel PALASM dvelopp par AMD permet deffectuer ces oprations pour la programmation de PAL ou de GAL. * Le fichier JEDEC est un ensemble de donnes binaires indiquant au programmateur les fusibles griller . * Le programmateur permet de griller les fusibles du PLD en fonctions des donnes du fichier JEDEC. Il est en gnral associ un logiciel de pilotage. Les programmateurs utiliss sont les mmes que ceux permettant la programmation des EPROM.

S.T.I ELECTRONIQUE

PAGE 13

You might also like