You are on page 1of 244

Gio trnh in t s

GII THIU CHUNG


Cng vi s tin b ca khoa hc v cng ngh, cc thit b in t ang v s tip tc c ng dng ngy cng rng ri v mang li hiu qu cao trong hu ht cc lnh vc kinh t k thut cng nh i sng x hi. Vic x l tn hiu trong cc thit b in t hin i u da trn c s nguyn l s. Bi vy vic hiu su sc v in t s l iu khng th thiu c i vi k s in t hin nay. Nhu cu hiu bit v k thut s khng phi ch ring i vi cc k s in t m cn i vi nhiu cn b k thut chuyn ngnh khc c s dng cc thit b in t. Ti liu ny gii thiu mt cch h thng cc phn t c bn trong cc mch in t s kt hp vi cc mch in hnh, gii thch cc khi nim c bn v cng in t s, cc phng php phn tch v thit k mch logic c bn. Ti liu bao gm cc kin thc c bn v mch cng logic, c s i s logic, mch logic t hp, cc trig, mch logic tun t, cc mch pht xung v to dng xung, cc b nh thng dng. Ti liu gm c cc chng nh sau: Chng 1: H m Chng 2: i s Boole v cc phng php biu din hm Chng 3: Cng logic TTL v CMOS Chng 4: Mch logic t hp. Chng 5: Mch logic tun t. Chng 6: Mch pht xung v to dng xung. Chng 7: B nh bn dn. Chng 8: Chuyn i tng t - s v chuyn i s - tng t.

GV: Th Hng Thm

Gio trnh in t s CHNG 1

H M
Khi ni n s m, ngi ta thng ngh ngay n h thp phn vi 10 ch s c k hiu t 0 n 9. My tnh hin i khng s dng s thp phn, thay vo l s nh phn vi hai k hiu l 0 v 1. Khi biu din cc s nh phn rt ln, ngi ta thay n bng cc s bt phn (Octal) v thp lc phn (HexaDecimal). m s lng ca cc i lng l mt nhu cu ca lao ng, sn xut. Ngng mt qu trnh m, ta c mt biu din s. Cc phng php m v biu din s c gi l h m. H m khng ch c dng biu din s m cn l cng c x l. C rt nhiu h m, chng hn nh h La M, La Tinh ... H m va c tnh a dng va c tnh ng nht v ph bin. Mi h m c u im ring ca n nn trong k thut s s s dng mt s h b khuyt cho nhau. Trong chng ny khng ch trnh by cc h thp phn, h nh phn, h bt phn, h thp lc phn v cn nghin cu cch chuyn i gia cc h m. 1.1. BIU DIN S Nguyn tc chung ca biu din l dng mt s hu hn cc k hiu ghp vi nhau theo qui c v v tr. Cc k hiu ny thng c gi l ch s. Do , ngi ta cn gi h m l h thng s. S k hiu c dng l c s ca h k hiu l r. Gi tr biu din ca cc ch khc nhau c phn bit thng qua trng s ca h. Trng s ca mt h m bt k s bng ri, vi I l mt s nguyn dng hoc m. Bng 1.1 l lit k tn gi, s k hiu v c s ca mt vi h m thng dng. Tn h m H nh phn (Binary) H bt phn (Octal) H thp phn (Decimal) H thp lc phn (Hexadecimal) S k hiu 0, 1 0, 1, 2, 3, 4, 5, 6, 7 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F C s (r) 2 8 10 16

Bng 1.1 Ngi ta cng c th gi h m theo c s ca chng. V d: H nh phn = H c s 2, H thp phn = H c s 10... Di y, ta s trnh by tm tt mt s h m thng dng. 1.1.1 H thp phn Cc k hiu ca h nh nu bng 1.1. Khi ghp cc k hiu vi nhau ta s c mt biu din. L h m trong s dng 10 ch s t 0 n 9 biu din tt c cc con s v cc i lng. H thp phn l mt h thng theo v tr v trong gi tr ca mt ch s ph thuc vo v tr ca n. hiu r iu ny ta xt v d sau: xt s thp phn 345. Ta bit rng ch s 3 biu th 3 trm, 4 biu th 4 chc, 5 l 5 n v. Xt v bn cht, 3 mang gi tr ln nht trong ba ch s, c gi l

GV: Th Hng Thm

Gio trnh in t s ch s c ngha ln nht (MSD - Most Significant Bit bit c ngha ln nht). Ch s 5 mang gi tr nh nht, gi l ch s c ngha nh nht (LSD- Least Significant Bit bit c ngha nh nht). din t mt s thp phn l ngi ta dng du chm thp phn chia phn nguyn v phn phn s. ngha ca mt s thp phn c m t nh sau:

H thp phn cn kt hp vi h nh phn c cch biu din khc gi l BCD (Binary Code Decimal). Khi biu din bng m BCD, mi s thp phn c biu din ring bit bng nhm 4 bit nh phn. V d: 1265,34 l biu din s trong h thp phn: 1265.34 =1103+2102+ 6101 + 5100 + 3101 + 4102 V d: (2310)10 = (0010 0011 0001 0000)BCD Trong phn tch trn, 10n l trng s ca h; cc h s nhn chnh l k hiu ca h. Nh vy, gi tr biu din ca mt s trong h thp phn s bng tng cc tch ca k hiu (c trong biu din) vi trng s tng ng. Mt cch tng qut:
10-1 + + d1101 + d0100 + d-110-1 + d-m10-m N10 = d10-110

= d i 10 i
n 1

trong , N10: biu din bt k theo h 10, d : cc h s nhn (k hiu bt k ca h), n : s ch s phn nguyn, m: s ch s phn phn s.
* u im: ca h thp phn l tnh truyn thng i vi con ngi. y l h m con ngi d nhn bit nht. Ngoi ra, nh c nhiu k hiu nn kh nng biu din ca h rt ln, cch biu din gn, tn t thi gian vit v c. * Nhc im chnh: ca h l do c nhiu k hiu nn vic th hin bng thit b k thut s kh khn v phc tp. Biu din s tng qut:

GV: Th Hng Thm

Gio trnh in t s

Vi c s bt k r v d bng h s a tu ta s c cng thc biu din s chung cho tt c cc h m:


N = an-1rn-1 + + a1r1 + a0r0 + a-1r-1 + a-mr-m
m

= ai r i
n 1

Trong mt s trng hp, ta phi thm ch s trnh nhm ln gia biu din ca cc h. V d: 3610, 368, 3616 .
* Cng BCD:

Khi tng nh hn hoc bng 9 th ta thc hin php cng BCD nh cng nh phn bnh thng. V d: xt php cng 6 v 2, dng m BCD biu din mi k s

mt v d khc, cng 45 vi 33

Tng ln hn 9, ta xt php cng 5 v 8 dng BCD:

Tng ca php cng trn l 1101 khng tn ti trong m BCD. iu ny xy ra do tng ca hai k s vt qu 9. Trong trng hp ny ta phi hiu chnh bng cch cng thm 6 (0110) vo nhm tnh n vic b qua 6 nhm m khng hp l. V d:

GV: Th Hng Thm

Gio trnh in t s

Mt v d khc:

1.1.2 H nh phn 1.1.2.1. T chc h nh phn

H nh phn (Binary number system) cn gi l h c s hai, gm ch hai k hiu 0 v 1, c s ca h l 2, trng s ca h l 2n. Cch m trong h nh phn cng tng t nh h thp phn. Khi u t gi tr 0, sau ta cng lin tip thm 1 vo kt qu m ln trc. Dy s nh phn c nh s t phi sang tri, bt u t bit 0, k n l bit 1, ri n bit 2. C nh vy cho n bit th n l bit ngai cng bn tri. Nguyn tc cng nh phn l : 0 + 0 = 0, 1 + 0 = 1, 1 + 1 = 10 (102 = 210). Trong h nh phn, mi ch s ch ly 2 gi tr hoc 0 hoc 1 v c gi tt l "bit". Nh vy, bit l s nh phn 1 ch s. S bit to thnh di biu din ca mt s nh phn. Mt s nh phn c di 8 bit c gi 1 byte. S nh phn hai byte gi l mt t (word), s 32 bit gi l doubleword, 64 bit gi l quadword. Bit tn cng bn phi gi l bit b nht (LSB Least Significant Bit) v bit tn cng bn tri gi l bit ln nht (MSB - Most Significant Bit). Tt c cc pht biu v h thp phn u c th p dng c cho h nh phn. H nh phn cng l h thng s theo v tr. Mi nh phn u c gi tr ring, tc trng s, l lu tha ca 2. biu din mt s nh phn l ta cng dng du chm thp phn phn cnh phn nguyn v phn l. ngha ca mt s nh phn c m t nh sau:

tm gi tr thp phn tng ng ta ch vic tnh tng cc tch gia mi s (0 hay 1) vi trng s ca n.

GV: Th Hng Thm

Gio trnh in t s

Bit nh phn th n c trng s l 2n 0 hoc 1, trong n l th t ca bit trong dy s nh phn, 0 hoc 1 l gi tr ca bit . Gi tr ca dy s nh phn bng tng trng s ca tng bit trong dy. Biu din nh phn dng tng qut : N2 = bn1bn2....b1b0.b1b2....bm Trong , b l h s nhn ca h. Cc ch s ca h s ng thi cng bng ly tha ca trng s tng ng. V d 1 : 1 1 0. 0 0 s nh phn phn s 22 21 20 21 22 trng s tng ng. V d2 : 1100.1012 = (1x 23) + (1x 22) + (0x21) + (0x20) + (1x2-1) + (0x2-2) + (1x 2-3 ) = 8 + 4 + 0 + 0 + 0.5 + 0 + 0.125 = 12.125

Ta c dng tng qut ca biu din nh phn nh sau:


N2 = bn-12n-1 + + b121 + b020 + b-12-1 + b-m2-m
m

= bi 2 i
n 1

Trong , b l h s nhn ly cc gi tr 0 hoc 1. Ly tha ca 210 = 1024 c gi tt l 1K (c K hay kilo), trong ngn ng nh phn 1k l 1024 ch khng phi l 1000. Nhng gi tr ln hn tip theo nh: 211 = 21 . 2 10 = 2K 212 = 22 . 210 = 4K 220 = 210 . 210 = 1K . 1K = 1M (Mega) 224 = 24 . 220 = 4. 1M = 4M 230 = 210 . 220 = 1K. 1M = 1G (Giga) 232 = 22 . 230 = 4.1G = 4G

GV: Th Hng Thm

Gio trnh in t s

1.1.2.2. Cc php tnh trong h nh phn

a. Php cng Qui tc cng hai s nh phn 1 bit nu trn. 0+0=0 0+1=1+0=1 1 + 1 = 1 0 = 0 + nh 1 cng v ct k tip bn tri. 1 + 1 + 1 = 1 1 = 1 + nh 1 cng v ct k tip bn tri Php cng l php ton s hc quan trng nht trong h thng k thut s. Nh ta s thy, cc php tr, nhn v chia c thc hin hu ht my vi tnh v my tnh bm tay hin i nht thc ra ch dng php cng lm php ton c bn ca chng. V d:

V d: 101101 (45) + 1111 (15) 111100 (60) b. Php tr Qui tc tr hai bit nh phn cho nhau nh sau : 0 - 0 = 0 ; 1 - 1 = 0 ; 1 - 0 = 1 ; 0 - 1 = 1 (mn 1) 11000111 (199) - 1101101 (109) 01011010 (90)

GV: Th Hng Thm

Gio trnh in t s

Khi tr nhiu bit nh phn, nu cn thit ta mn bit k tip c trng s cao hn. Ln tr k tip li phi tr thm 1. V d : Tr hai s nh phn nhiu bit

c. Php nhn

Qui tc nhn hai bit nh phn nh sau: 0x0=0,0x1=0,1x0=0,1x1=1 Php nhn s nh phn c thc hin tng t nh nhn s thp phn. Qu trnh tht ra n gin hn v k s ca s nhn ch l 0 v 1, v vy ta ch nhn cho 0 hay 1. Ch : Php nhn c th thay bng php dch v cng lin tip. V d:

GV: Th Hng Thm

Gio trnh in t s

V d: 1010 (10)
101 (5)

1010 + 0000 1010 110010 (50) Khi s b nhn c m ch s l (sau du phy) v s nhn c n ch s l th ta b du phy ca 2 tha s trn v thc hin nhn theo quy tc nh trn. Kt qu tch s tn phn, du phy c t v tr trc ct th (m+n) tnh t phi qua tri nh vi h 10. d. Php chia Php chia mt s nh phn (s b chia) cho mt s khc (s chia) c thc hin ging nh php chia s thp phn. Tin trnh thc t cn n gin hn do khi kim tra xem c bao nhiu ln s chia i vo s b chia, ch c hai kh nng l 0 v 1. Qu trnh chia c minh ha bng v d sau:

V d: Thc hin php chia 101101 cho 101 101101 -101 000101 -000 00101 -000 0101 -101 0 0 0 (Phn d) * Php chia s c du c thc hin nh php nhn. S m c bin thnh s dng bng php b, sau mi thc hin php chia. Nu s b chia v s chia c du ngc nhau, thng s i sang s m bng cch ly b 2 n v gn bit du l 1. Nu s b chia v s chia cng du, thng s s l s dng v c gn bit du l 0. GV: Th Hng Thm 9 101 1 0 0 1 (Thng s)

Gio trnh in t s u im chnh ca h nh phn l ch c hai k hiu nn rt d th hin bng cc thit b c, in. Cc my vi tnh v cc h thng s u da trn c s hot ng nh phn (2 trng thi). Do , h nh phn c xem l ngn ng ca cc mch logic, cc thit b tnh ton hin i ngn ng my. Nhc im ca h l biu din di, mt nhiu thi gian vit, c. 1.1.3 H bt phn v thp lc phn 1.1.3.1 H bt phn 1a. T chc ca h: Nhm khc phc nhc im ca h nh phn, ngi ta thit lp cc h m c nhiu k hiu hn, nhng li c quan h chuyn i c vi h nh phn. Mt trong s l h bt phn (hay h Octal, h c s 8).

H ny gm 8 k hiu: 0, 1, 2, 3, 4, 5, 6 v 7. C s ca h l 8. Vic la chn c s 8 l xut pht t ch 8 = 23. Do , mi ch s bt phn c th thay th cho 3 bit nh phn.

Dng biu din tng qut ca h bt phn nh sau:


N8 = On-18n-1 + + O181 + O080 + O-18-1 + O-m8-m = Oi 8i
n 1 m

Lu rng, h thp phn cng m tng t v c gii rng hn h bt phn, nhng khng th tm c quan h 10 = 2n (vi n nguyn).
2a. Cc php tnh trong h bt phn

a. Php cng
Php cng trong h bt phn c thc hin tng t nh trong h thp phn. Tuy nhin, khi kt qu ca vic cng hai hoc nhiu ch s cng trng s ln hn hoc bng 8 phi nh ln ch s c trng s ln hn k tip.

b. Php tr Php tr cng c tin hnh nh trong h thp phn. Ch rng khi mn 1 ch s c trng s ln hn th ch cn cng thm 8 ch khng phi cng thm 10. Cc php tnh trong h bt phn t c s dng. Do , php nhn v php chia dnh li nh mt bi tp cho ngi hc.
1.1.3.2 H thp lc phn

a. T chc ca h H thp lc phn (hay h Hexadecimal, h c s 16). H gm 16 k hiu l 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F.

GV: Th Hng Thm

10

Gio trnh in t s

Trong , A = 1010 , B = 1110 , C = 1210 , D = 1310 , E = 1410 , F = 1510 . C s ca h l 16, xut pht t yu t 16 = 24. Vy, ta c th dng mt t nh phn 4 bit (t 0000 n 1111) biu th cc k hiu thp lc phn. ngha ca h thng s thp lc phn c m t bng bng sau:

Dng biu din tng qut:


N16 = Hn-116n-1 + + H1161 + H0160 + H-116-1 + H-m16-m
m

= H i 16 i
n 1

b. Cc php tnh trong h c s 16 b1. Php cng Khi tng hai ch s ln hn 15, ta ly tng chia cho 16. S d c vit xung ch s tng v s thng c nh ln ch s k tip. Nu cc ch s l A, B, C, D, E, F th trc ht, ta phi i chng v gi tr thp phn tng ng ri mi cng. b2. Php tr Khi tr mt s b hn cho mt s ln hn ta cng mn 1 ct k tip bn tri, ngha l cng thm 16 ri mi tr. c. Php nhn Mun thc hin php nhn trong h 16 ta phi i cc s trong mi tha s v thp phn, nhn hai s vi nhau. Sau , i kt qu v h 16.
1.2. CHUYN I C S GIA CC H M 1.2.1. Chuyn i t h c s 10 sang cc h khc

thc hin vic i mt s thp phn y sang cc h khc ta phi chia ra hai phn: phn nguyn v phn s.
i vi phn nguyn: ta chia lin tip phn nguyn ca s thp phn cho c s ca h cn chuyn n, s d sau mi ln chia vit o ngc trt t l kt qu cn tm. Php chia dng li khi kt qu ln chia cui cng bng 0.

GV: Th Hng Thm

11

Gio trnh in t s

V d: i s 5710 sang s nh phn. Bc 1 2 3 4 5 6 V d : Chia 57/2 28/2 14/2 7/2 3/2 1/2 c 28 14 7 3 1 0 D 1 LSB 0 0 1 1 1 MSB

Vit o ngc trt t, ta c : 5710 = 1110012

i vi phn phn s : ta nhn lin tip phn phn s ca s thp phn vi c s ca h cn chuyn n, phn nguyn thu c sau mi ln nhn, vit tun t l kt qu cn tm. Php nhn dng li khi phn phn s trit tiu. V d: i s 57,3437510 sang s nh phn.

Phn nguyn ta va thc hin v d trn, do ch cn i phn phn s 0,375. Bc 1 2 3 Nhn 0,375 x 2 0,75 x 2 0,5 x 2 Kt qu 0.75 1.5 1.0 Phn nguyn 0 1 1

GV: Th Hng Thm

12

Gio trnh in t s

0,0 x 2

Kt qu : 0,37510 = 0,01102 S dng phn nguyn c v d 1 ta c : 57,37510 = 111001.01102 * Phng php th hai l cch i ngc li qu trnh i nh phn sang thp phn, l : s thp phn c trnh by di dng tng cc ly tha ca 2, sau ghi cc k s 0 v 1 vo v tr bit tng ng. V d:

1.2.2. i mt biu din trong h bt k sang h thp phn

Mun thc hin php bin i, ta dng cng thc :


N10 = an-1rn-1 + + a1r1 + a0r0 + a-1r-1 + a-mr-m

Thc hin ly tng v phi s c kt qu cn tm. Trong biu thc trn, ai v r l h s v c s h c biu din.
1.2.3. i cc s t h nh phn sang h c s 8 v 16

V 8 = 23 v 16 = 24 nn ta ch cn dng mt s nh phn 3 bit l ghi 8 k hiu ca h c s 8 v t nh phn 4 bit cho h c s 16. Do , mun i mt s nh phn sang h c s 8 v 16 ta chia s nh phn cn i, k t du phn s sang tri v phi thnh tng nhm 3 bit hoc 4 bit. Sau thay cc nhm bit phn bng k hiu tng ng ca h cn i ti.
V d: a. i s 110111,01112 sang s h c s 8

Tnh t du phn s, ta chia s ny thnh cc nhm 3 bit nh sau : 110 111 , 011 100

Kt qu: 110111,01112 = 67,348. ( Ta thm 2 s 0 tin bin i).


b. i s nh phn 111110110,011012 sang s h c s 16

Ta phn nhm 4 bit v thay th nh sau : 0001 1111 0110, 0110 1000

GV: Th Hng Thm

13

Gio trnh in t s

Kt qu: 111110110,011012 = 1F6,6816


1.2.4 Chuyn i thp phn sang BCD v ngc li

Ngi ta biu th cc s thp phn t 0 n 9 bi s nh phn 4 bit c gi tr nh bng di y. Chng ta nn ch rng: m BCD phi c vit 4 bit v s tng ng ch c p dng cho s thp phn t 0 n 9, nn s nh phn t 1010 (= 1010) n 1111 (= 1510) ca s nh phn 4 bit khng phi l m BCD.

Khi chuyn i qua li gia thp phn v BCD ta lm nh v d minh ha sau y: V d : i 48910 sang m BCD

V d : i 00110100100101012 (BCD) sang s thp phn

1.2.5 i t thp phn sang bt phn.

C th dng phng php lp li php chia i mt s nguyn thp phn sang bt phn tng ng, vi s chia l 8. V d 9: i s thp phn 36510 thnh s bt phn tng ng

GV: Th Hng Thm

14

Gio trnh in t s

Ch mt iu l: s d u tin l s c gi tr nh nht (LSB) ca s bt phn, s d cui cng l s c gi tr ln nht (MSB) ca s bt phn.


1.2.6 i t bt phn sang nh phn

Php i t bt phn sang nh phn uc thc hin bng cch i tng k s bt phn sang s nh phn 3 bit tng ng. Tm k s bt phn c i nh bng sau y:

V d : i s 3468 sang nh phn Nh vy s bt phn 3468 tng ng vi s nh phn 0111001102

1.2.7 i t thp lc sang thp phn

Mt s thp lc phn c th c i thnh s thp phn tng ng da vo d liu mi v tr k s thp lc phn c trng s l ly tha 16. LSD c trng s l 160, k s thp lc phn v tr tip theo c s m tng ln. Qu trnh chuyn i nh sau: V d ta i mt s thp lc phn 45616 sang s thp phn tng ng ta lm nh sau: 45616 = 4x162 + 5x161 + 6x160 = 4x256 + 5x16 + 6x1 = 1024 + 80 = 111010 Mt v d khc i s thp lc phn 4BE16 thnh s thp phn tng ng 4BE16 = 4x162 + 11x161 + 14x160 = 1024 + 176 + 14 + 6

GV: Th Hng Thm

15

Gio trnh in t s

= 121410 Ch , trong v d th 2 thay 11 vo B v 14 vo E khi i sang thp phn.


@ Phi hp cc h thng s

Cc h thng s trnh by c mi tng quan nh bng sau y:

TM TT CC PHP CHUYN I GIA CC H THNG S: Khi thc hin php bin i t h nh phn (hoc bt phn hay thp lc phn), ta ly tng trng s ca tng v tr k s. Khi i t h thp phn sang h nh phn (bt phn hay thp lc phn), ta p dng phng php lp li php chia cho 2 (8 hay 16) v kt hp cc s d. Khi i t s nh phn sang bt phn (hay thp lc phn), ta nhm cc bit thnh tng nhm 3 (hoc 4) bit v i tng nhm ny sang k s bt phn (hay thp lc phn) tng ng. Khi i t s bt phn (hay thp lc phn) sang nh phn, ta i mi k t thnh s nh phn 3 (hoc 4) bit tng ng. Khi i t s bt phn sang thp lc phn (hay ngc li), ta i sang nh phn trc, sau i sang h thng s mong mun.
1.3 S NH PHN C DU

Do a s my tnh x l c s m ln s dng nn cn c du hiu no biu th du ca s ( + hay - ). Thng th ngi ta thm vo mt bit ph gi l bit du. Thng thng chp nhn bit 0 l bit du biu th s dng, bit 1 l bit du biu th s m.
1.3.1 Biu din s nh phn c du

C ba phng php th hin s nh phn c du sau y.

GV: Th Hng Thm

16

Gio trnh in t s 1.3.1.1. S dng mt bit du. Trong phng php ny ta dng mt bit ph, ng trc cc bit tr s biu din du, 0 ch du dng (+), 1 ch du m (-). 1.3.1.2. S dng php b 1. Gi nguyn bit du v ly b 1 cc bit tr s (b 1 bng o ca cc bit cn c ly b). c b 1 ca s nh phn, ta thay mi bit 0 thnh bit 1 v mi bit 1 thnh bit 0. Ni cch khc, ta thay i mi bit trong s nh phn cho thnh bit b (o) tng ng.

V d :

1.3.1.3. S dng php b 2

B 2 ca mt s nh phn c hnh thnh bng cch ly b 1 ca s v cng 1 vo v tr nh nht. L phng php ph bin nht. S dng th hin bng s nh phn khng b (bit du bng 0), cn s m c biu din qua b 2 (bit du bng 1). B 2 bng b 1 cng 1. C th biu din s m theo phng php b 2 xen k: bt u t bit LSB, dch v bn tri, gi nguyn cc bit cho n gp bit 1 u tin v ly b cc bit cn li. Bit du gi nguyn. V d 3: Tm dng b 2 ca s 1101012 = 5310

1.3.2 Cc php cng v tr s nh phn c du

Nh ni trn, php b 1 v b 2 thng c p dng thc hin cc php tnh nh phn vi s c du.
1.3.2.1. Biu din theo bit du

a. Php cng Hai s cng du: cng hai phn tr s vi nhau, cn du l du chung. Hai s khc du v s m c tr s nh hn: cng tr s ca s dng vi b 1 ca s m. Bit trn c cng thm vo kt qu trung gian. Du l du dng. Hai s khc du v s m c tr s ln hn: cng tr s ca s dng vi b 1 ca s m.

Ly b 1 ca tng trung gian. Du l du m. b. Php tr. Nu lu rng, - (-) = + th trnh t thc hin php tr trong trng hp ny cng ging php cng.
1.3.2.2. Cng v tr cc s theo biu din b 1

a. Cng GV: Th Hng Thm 17

Gio trnh in t s

b. Tr

Hai s dng: cng nh cng nh phn thng thng, k c bit du. Hai s m: biu din chng dng b 1 v cng nh cng nh phn, k c bit du. Bit trn cng vo kt qu. Ch , kt qu c vit di dng b 1. Hai s khc du v s dng ln hn: cng s dng vi b 1 ca s m. Bit trn c cng vo kt qu. Hai s khc du v s m ln hn: cng s dng vi b 1 ca s m. Kt qu khng c bit trn v dng b 1.

thc hin php tr, ta ly b 1 ca s tr, sau thc hin cc bc nh php cng.
1.3.2.3. Cng v tr nh phn theo biu din b 2

a. Cng Hai s dng: cng nh cng nh phn thng thng. Kt qu l dng. Hai s m: ly b 2 c hai s hng v cng, kt qu dng b 2. Hai s khc du v s dng ln hn: ly s dng cng vi b 2 ca s m. Kt qu bao gm c bit du, bit trn b i. Hai s khc du v s m ln hn: s dng c cng vi b 2 ca s m, kt qu dng b 2 ca s dng tng ng. Bit du l 1.

b. Php tr Php tr hai s c du l cc trng hp ring ca php cng. V d, khi ly +9 tr i +6 l tng ng vi +9 cng vi -6.
TM TT

Trong chng ny chng ta gii thiu v mt s h m thng c s dng trong h thng s: h nh phn, h bt phn, h thp lc phn. V phng php chuyn i gia cc h m . Ngoi ra cn gii thiu cc php tnh s hc trong cc h .

GV: Th Hng Thm

18

Gio trnh in t s CHNG 2

I S BOOLE V CC PHNG PHP BIU DIN HM


Trong mch s, cc tn hiu thng cho hai mc in p, v d 0V v 5V. Nhng linh kin in t dng trong mch s lm vic mt trong hai trng thi, v d transistor lng cc lm vic ch kha (tt), hoc thng (dn). Do vy, m t hot ng ca cc mch s, ngi ta dng h nh phn (Binary), hai trng thi ca cc linh kin trong mch c m ha tng ng thnh 1 v 0. Mt b mn i s c pht trin t cui th k 19 mang tn chnh ngi sng lp ra n, i s Boole, cn c gi l i s logic rt thch hp cho vic m t mch s. i s Boole l cng c ton hc quan trng thit k v phn tch mch s. Cc k s, cc nh chuyn mn trong lnh vc in t, tin hc, thng tin, iu khin.. u cn phi nm vng cng c ny c th i su vo mi lnh vc lin quan n k thut s. 84 nm sau, i s Boole c Shannon pht trin thnh l thuyt chuyn mch. Nh cc cng trnh ca Shannon, v sau ny, cc nh k thut dng i s Boole phn tch v thit k cc mch vi tnh. Trng thi "ng", "sai" trong bi ton logic c thay th bng trng thi "ng", "ngt" ca mt chuyn mch (CM). Mi quan h nhn qu trong bi ton logic c thay bi mi quan h gia dng in trong mch vi trng thi cc CM gn trn on mch y. Mi quan h ny s c th hin bng mt hm ton hc, c tn l hm chuyn mch. Khi , cc trng thi ca CM : "ng" = 1 v "ngt" = 0. Hnh 2-1 m t iu va ni. y, trng thi ca CM c k hiu bng ch ci A. V thc cht, hm chuyn mch l mt trng hp c th ca hm logic. Do , i s Boole ng vi trng hp ny cng c gi l i s chuyn mch. Mc d vy, trong mt s ti liu ngi ta vn thng gi n l i s logic hay i s Boole. Ngy nay, i s Boole khng ch gii hn trong lnh vc k thut chuyn mch m cn l cng c phn tch v thit k cc mch s, c bit l lnh vc my tnh. Cu kin lm chuyn mch c thay bng Diode, Transistor, cc mch tch hp, bng t... Hot ng ca cc cu kin ny cng c c trng bng hai trng thi: thng hay tt, dn in hay khng dn in... Do , hai gi tr h nh phn vn c dng m t trng thi ca chng. i s logic ch c 3 hm c bn nht, l hm "V", hm "Hoc" v hm "o". c im ni bt ca i s logic l c hm ln bin ch ly hai gi tr hoc 1 hoc 0. Trong chng ny, ta s cp n cc tin , nh l, cc cch biu bin hm Boole v mt s phng php rt gn hm. Ngoi ra, chng ny cng xt cc loi cng logic v cc tham s chnh ca chng.
2.1 I S BOOLE

i s logic (i s Boole) c hiu l tp hp ch gm cc i tng c 2 trng thi khng hoc c, mnh ng hoc sai, cc i tng ny c biu din bng bin logic. Khi trng thi i tng l tn ti (c) ta gn cho n bin logic c gi tr quy c l 1 (k hiu l A); cn khi trng thi i tng l khng tn ti (khng c) ta gn cho n bin logic c gi tr quy c l 0 (k hiu l A ).

GV: Th Hng Thm

19

Gio trnh in t s

Bi v cc i lng ch c hai trng thi nn i s Boole rt khc i s thng v d tnh ton hn. i s Boole khng c phn s, s thp phn, s o, s phc, cn s m ch thc hin ch yu 3 php tnh ton c bn sau: Php OR Php AND Php ph nh NOT Cc php tnh trn khi p dng cho logic 0 v 1:

2.1.1. Cc nh l c bn:

STT 1 2 3 4 5 6 7 8 9 10

Tn gi ng nht Phn t 0,1 B Bt bin Hp th Ph nh p Giao hon Phi hp Phn phi nh l De Morgan

Dng tch X.1=X X.0 = 0 X.X = 0 X.X = X X+X.Y = X X =X X.Y = Y.X (X.Y).Z = X.(Y.Z) (X+Y).Z = XZ + Y.Z

Dng tng X+0 = X X+1=1 X+X = 1 X+X = X X.(X+Y) = X

X + Y = Y +X (X+Y)+Z = X+(Y+Z) (X+Y).(Z+V) = X.Z +YV + Y.Z + X.V

( X .Y .Z ...) = X + Y + Z + ...

( X + Y + Z + ..) = X .Y .Z ...

Bng 2.1. Mt s nh l thng dng trong i s chuyn mch

GV: Th Hng Thm

20

Gio trnh in t s 2.1.2. Mt s ng thc hu dng

2.2 CC PHNG PHP BIU DIN HM BOOLE

Nh ni trn, hm logic c th hin bng nhng biu thc i s nh cc mn ton hc khc. y l phng php tng qut nht biu din hm logic. Ngoi ra, mt s phng php khc cng c dng biu din loi hm ny. Mi phng php u c u im v ng dng ring ca n. Di y l ni dung ca mt s phng php thng dng.
2.2.1 Bng trng thi

Lit k gi tr (trng thi) mi bin theo tng ct v gi tr hm theo mt ct ring (thng l bn phi bng). Bng trng thi cn c gi l bng s tht hay bng chn l. i vi hm n bin s c 2n t hp c lp. Cc t hp ny c k hiu bng ch mi, vi I = 0 n 2n -1 (xem bng 2-2) v c tn gi l cc hng tch hay cn gi l mintex. V mi hng tch c th ly 2 gi tr l 0 hoc 1, nn nu c n bin th s hm m bng trng thi c th thit lp c s l: n N= 2 2 Bng trng thi gm c (n+1) ct v 2n hng; n l s bin. m A B C f 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 0 0 0 0 0 0 1

m0 0 m1 0 m2 0 m3 0 m4 1 m5 1 m6 1 m7 1

Bng 2.2: Bng trng thi hm 3 bin

GV: Th Hng Thm

21

Gio trnh in t s 2.2.2 Phng php bng Cc n (Karnaugh)

T chc ca bng Cc n: Cc t hp bin c vit theo mt dng (thng l pha trn) v mt ct (thng l bn tri). Nh vy, mt hm logic c n bin s c 2n . Mi th hin mt hng tch hay mt hng tng, cc hng tch trong hai k cn ch khc nhau mt bin. Tnh tun hon ca bng Cc n: Khng nhng cc k cn khc nhau mt bin m cc u dng v cui dng, u ct v cui ct cng ch khc nhau mt bin (k c 4 gc vung ca bng). Bi vy cc ny cng gi l k cn. Mun thit lp bng Cc n ca mt hm cho di dng chun tng cc tch, ta ch vic ghi gi tr 1 vo cc ng vi hng tch c mt trong biu din, cc cn li s ly gi tr 0 (theo nh l DeMorgan). Nu hm cho di dng tch cc tng, cch lm cng tng t, nhng cc ng vi hng tng c trong biu din li ly gi tr 0 v cc khc ly gi tr 1. V d:

2.2.3 Phng php i s

C 2 dng biu din l dng tuyn (tng cc tch) v dng hi (tch cc tng). + Dng tuyn (Dng tng cc tch cc bin): Mi s hng l mt hng tch hay mintex, thng k hiu bng ch "mi". + Dng hi (Dng tch cc tng cc bin): Mi tha s l hng tng hay maxtex, thng c k hiu bng ch "Mi". Nu trong tt c mi hng tch hay hng tng c mt cc bin, th dng tng cc tch hay tch cc tng tng ng c gi l dng chun. Dng chun l duy nht. Tng qut, hm logic n bin c th biu din ch bng mt dng tng cc tch: f(Xn-1,.,X0) =
2 n 1 i =0

a .m
i

hoc bng ch mt dng tch cc tng: f(Xn-1,.,X0) =

(a
i =0

2 n 1

+ mi )

Bng 2.3: Cc mi v Mi ca hm 2 bin v 3 bin nh sau:

GV: Th Hng Thm

22

Gio trnh in t s

Bin A 0 0 1 1 B 0 1 0 1

Mintex mi
A B = m0

Maxtex Mi

Bin A B 0 0 1 1 0 0 1 1 C 0 1 0 1 0 1 0 1

Mintex mi

Maxtex Mi

A+ B = M3

0 0 0 0 1 1 1 1

A BC = m0

A+ B + C = M7
A+ B +C = M6 A+ B + C = M5

AB = m1 AB = m2 AB = m3

A+ B = M2 A + B = M1 A+ B = M0

ABC = m1 ABC = m2
ABC = m3

A+ B +C = M4
A+ B + C = M3

A BC = m 4
ABC = m5 ABC = m6

A+ B +C = M2 A + B + C = M1 A+ B + C = M0

ABC = m7

y, ai ch ly hai gi tr 0 hoc 1. i vi mt hm th mintex v maxtex l b ca nhau.


2.3 CC PHNG PHP RT GN HM 2.3.1. Phng php i s

Da vo cc nh l hc a biu thc v dng ti gin. V d: Hy a hm logic v dng ti gin: f = AB+ A C+BC p dng nh l, A+ A =1, X+XY=X ta c: f = AB + A C + BC.( A+ A ) = AB + ABC + A C + A BC = AB + A C V d: Rt gn cc biu thc sau:

GV: Th Hng Thm

23

Gio trnh in t s

AB + C + AC D + BC D = = AB + C + C ( AD + BD) =

+ Rt gn hm sau:

F (A,B,C,D) = AB + C.1 + C ( AD + BD) =


= AB + C + D( A + B) = AB + C + D AB

V cc tnh cht : 1.C = C v A + AB = A+B V ( A + B ) = A.B ) + Rt gn hm sau: F(A,B,C) = ABC + A BC + A B C+ AB C

Ap dng tnh cht X + X = X cho ABC ta c: F = ABC + A BC + ABC + A B C + ABC + AB C Nhm tng 2 s hng vi nhau, ta c: F = (A + A )BC + ( B + B)AC + (C+ C )AB = BC + AC + AB. Vy nu trong tng cc tch, xut hin mt bin v o ca bin trong hai s hng khc nhau, cc tha s cn li trong hai s hng to thnh tha s ca mt s hng th ba th s hng th ba l tha v c th b i. Ngoi vic rt gn biu thc logic bng i s boole, cn s dng i s boole n gin mch logic. n gin mch logic ta lm cc bc sau: - T mch logic xc nh biu thc cho ng ra ca mch - Sau khi xc nh c hm ng ra, tin hnh rt gn biu thc bng cch dng cc nh l ca i s boole, c bit l s dng nh l De Morgan. - Sau khi c biu thc mi, chng ta c c mch logic mi tng ng vi mch logic cho. V d: n gin mch hnh sau:

GV: Th Hng Thm

24

Gio trnh in t s

Hnh 2-1 Gii: Trc tin ta vit biu thc logic cho ng ra:

Rt gn biu thc ta c:

T biu thc va rt gn c ta thnh lp c mch logic mi nh hnh (b)


2.3.2 Phng php bng Cc n (Karnaugh)

Phng php ny thng c dng rt gn cc hm c s bin khng vt qu 5. Cc bc ti thiu ha: 1. Gp cc k cn c gi tr 1 (hoc 0) li thnh tng nhm 2, 4, ...., 2i . S trong mi nhm cng ln kt qu thu c cng ti gin. Mt c th c gp nhiu ln trong cc nhm khc nhau. Nu gp theo cc c gi tr 0 ta s thu c biu thc b ca hm. 2. Thay mi nhm bng mt hng tch mi, trong gi li cc bin ging nhau theo dng v ct. 3. Cng cc hng tch mi li, ta c hm ti gin. V d: Hy dng bng Cc n gin c hm : f(A,B,C)= (1, 2, 3, 4, 5) Gii:

GV: Th Hng Thm

25

Gio trnh in t s

Hnh 2-2

+ Xy dng bng KN tng ng vi hm cho. + Gp cc c gi tr 1 k cn li vi nhau thnh hai nhm (hnh 2-2) Li gii phi tm : f = f1+f2 = B + A C Nu gp cc c gi tr 0 li theo hai nhm, ta thu c biu thc hm b f : f = AB + B C
2.4 CNG LOGIC V CC THAM S CHNH

Cng logic c s l mch in thc hin ba php tnh c bn trong i s logic, vy ta s c ba loi cng logic c s l AND, OR v NOT.
2.4.1 Cng logic c bn 2.4.1.1 Cng AND

Cng AND thc hin hm logic f = f(A,B)= A.B hoc nhiu bin: f(A,B,C,D,...)= A.B.C.D...

a) Theo tiu chun ANSI

b) Theo tiu chun IEEE

Hnh 2-3a,b. K hiu ca cng AND. Nguyn l hot ng ca cng AND:

GV: Th Hng Thm

26

Gio trnh in t s

Bng trng thi 2.5a,b l nguyn l hot ng ca cng AND (2 li vo).

Bin vo A 0 0 1 1 B 0 1 0 1

Hm ra F = A.B 0 0 0 1

Bin vo A L L H H B L H L H

Hm ra F = A.B L L L H

a) Ghi theo gi tr logic

b) Ghi theo mc logic

Bng 2.5 a,b. Bng trng thi m t hot ng ca cng AND 2 li vo.

Theo qui c, logic 1 c thay bng mc in th cao, vit tt l H (High) cn logic 0 c thay bng mc in th thp, vit tt l L (Low) (bng 2-5b). Cng AND c n li vo s c 2n hng tch (dng) trong bng trng thi. Khi tc ng ti li vo cc chui xung s xc nh, u ra cng s xut hin mt chui xung nh ch hnh 2-4. th ny thng c gi l th dng xung, th dng sng hay th thi gian.

T th, ta nhn thy rng, ch ti cc thi im t2 n t3 v t7 n t8 trn c hai livo u c logic 1 nn li ra cng ly logic 1. ng vi cc khong thi gian cn li v hoc c hai li vo bng 0, hoc mt trong hai li vo bng 0 nn li ra ly logic 0. Hot ng ca cng AND nhiu li vo cng xy ra tng t. C th gii thch d dng mt vi ng dng ca cng AND qua th dng xung.
V d : Dng cng AND to "ca" thi gian. Trong ng dng ny, trn hai li vo ca cng AND c a ti 2 chui tn hiu s X, Y c tn s khc nhau. Gi s tn s ca X ln hn tn s ca Y. Trn u ra cng AND ch tn ti tn hiu X, gin on theo tng chu k ca Y. Nh vy, chui s Y

GV: Th Hng Thm

27

Gio trnh in t s

ch gi vai tr ng, ngt cng AND v thng c gi l tn hiu "ca". Hot ng ca mch c m t bng hnh 2-5.

Ty theo iu kin cho trc, c th ng dng mch theo cc mc ch khc nhau. Nu bit rng xung ca Y ( thng ly bng 1s ) th s xung xut hin u ra chnh bng tn s ca X. Ngc li, nu tn s ca X cho, chng hn bng 1 Hz ( Tx = 1s ) th ch cn m s xung trn u ra ta c th tnh c rng xung ca Y. y chnh l phng php o tn s v thi gian c ng dng trong k thut hin nay.
2.4.1.2 Cng OR

Cng OR thc hin hm logic: f(A,B) =A+B hoc vi hm nhiu bin: f(A,B,C,D...)= A+B+C+D+... Hm FOR = 1 khi c bt k u vo ca n c gi tr 1. Hm FOR = 0 khi c tt c u vo ca n c gi tr 0. K hiu ca cng OR c biu din hnh 2-6a, b. A F= A+B
B

a) Theo tiu chun ANSI


Hnh 2-6 a, b. K hiu ca cng OR.

b) Theo tiu chun IEEE

Bin vo A 0 0 1 B 0 1 0

Hm ra F = A+B 0 1 1

GV: Th Hng Thm

28

Gio trnh in t s

Tng t nh cng AND, nguyn l hot ng ca cng OR c th c gii thch thng qua bng trng thi (Bng 2.6a,b) v th dng xung - hnh 2-7.

Hnh 2-7. M hnh dng cng AND to ca thi gian

Mt cng OR c n li vo s c 2n hng tch trong bng trng thi ca n.


2.4.1.3. Cng NOT

Cng NOT lun ch c 1 u vo v 1 u ra vi gi tr bin vo v hm ra lun l gi tr o ca nhau. Biu thc logic: Bng gi tr: Bin vo A 0 1 Hm ra F= A 1 0 F= A

K hiu ca cng NOT c ch ra trn hnh 2-8 a, b.

a) Theo tiu chun ANSI.


Hnh 2-8a,b. K hiu ca cng NOT

b) Theo tiu chun IEEE.

Hot ng ca cng NOT kh n gin, nu li vo: A=0 th A=1, GV: Th Hng Thm 29

Gio trnh in t s

nu A=1 th A=0 Nguyn l ny c minh ho bng th dng xung hnh 2-9.

Hnh 2 - 9
2.4.1.4. Cng NOR (IC 7402; IC 4001):

Hm FNOR = 1 khi tt c cc u vo ca n c gi tr 0. Hm FNOR = 0 khi c t nht 1 u vo ca n c gi tr 1. K hiu: Bng gi tr: A


B

F= A + B

Bin vo A 0 0 1 1 B 0 1 0 1

Hm ra F = A+ B 1 0 0 0

2.4.1.5. Cng NAND (IC 7400; IC 4011):

Hm FNAND = 1 khi c t nht 1 u vo ca n c gi tr 0. Hm FNAND = 0 khi c tt c u vo ca n c gi tr 1. K hiu:


A B F = A.B

Biu thc logic:

F = A.B

GV: Th Hng Thm

30

Gio trnh in t s

Bng gi tr: Bin vo A 0 0 1 1 B 0 1 0 1 Hm ra F = A.B 1 1 1 0

2.4.1.6. Cng EXOR (IC 7486) = Cng khc du

Cng khc du cn c mt s tn gi khc: cng Cng Modul-2, cng XOR. Cng OR cn c gi l cng hoc bao gm v khi c 2 ng vo c mc logic 1 th ng ra cng c mc logic 1. Cng EXOR cng c gi l cng hoc loi tr, v ng ra ch bng 1 khi ch c 1 trong 2 ng vo bng 1. K hiu: A
B

F= A B = A.B + A.B

Biu thc logic:


F = A B = A.B + A.B

Bng gi tr: Bin vo A 0 0 1 1 B 0 1 0 1 Hm ra F 0 1 1 0

GV: Th Hng Thm

31

Gio trnh in t s 2.4.1.7. Cng EXNOR (IC 74266) = Cng ng du (XNOR)

Cng EXOR theo sau bi cng NOT to thnh cng EXNOR K hiu: A
B

F= A B = A.B + A.B

Biu thc logic: Bng gi tr: F= A B = A.B + A.B Bin vo A 0 0 1 1 B 0 1 0 1 Hm ra F 1 0 0 1

2.4.2 S chuyn i gia cc loi cng logic

Cc cng logic c th chuyn di qua li ln nhau t cng ny thnh cng khc. thun tin cho vic thit k mch logic nn phi chuyn i gia cc cng vi nhau, ch yu l chuyn i AND thnh OR v ngc li, chuyn i AND OR thnh NAND NAND. a s cc bi ton thit k logic u yu cu s dng cng NAND (vic ch to cng NAND n gin hn cc cng khc). thun li cho vic chuyn i cn phi nm vng cc nh l ca i s Boole v c bit l nh l De Morgan. Sau y l mt s chuyn i gia cc cng vi nhau:

GV: Th Hng Thm

32

Gio trnh in t s

Hnh 2-10: Mt s chuyn i gia cc cng vi nhau

GV: Th Hng Thm

33

Gio trnh in t s 2.4.3. Logic dng v logic m

Logic dng l logic c in th mc H lun ln hn in th mc L (Hnh 2-11).

Hnh 2-11a,b. th dng xung ca logic dng

Logic m th ngc li, logic 1 c in th thp hn mc 0. Khi nim logic m thng c dng biu din tr cc bin. Logic m v mc m ca logic l hon ton khc nhau.
2.4.4 Cc tham s chnh 2.4.4.1 Mc logic

Mc logic l mc in th trn u vo v u ra ca cng tng ng vi logic "1" v logic "0", n ph thuc in th ngun nui ca cng (VCC i vi h TTL (Transistor Transistor Logic) v VDD i vi h MOS (Metal Oxide Semiconductor)). Lu rng, nu mc logic vo vt qu in th ngun nui c th gy h hng cho cng.

a) i vi h TTL
Hnh 2-12a, b. Mc logic ca cc h cng TTL v CMOS Mc TTL

b) i vi h CMOS

Mc TTL l mt chun quc t, trong qui nh: - in th ngun nui VCC , VDD bng + 5 vn hoc bng - 5,2 vn; GV: Th Hng Thm 34

Gio trnh in t s

- Mc in th tng ng vi logic H v L trn u vo, u ra ca cng nh ch hnh 2-12a,b. Nhn xt: + Mc vo ra i vi cng TTL v CMOS (Complementary Metal Oxide Semiconductor) khc nhau rt nhiu; + Mc vo ra s nh hng n phng v nhiu ca cng.
2.4.4.2 chng nhiu

chng nhiu (hay phng v nhiu) l mc nhiu ln nht tc ng ti li vo hoc li ra ca cng m cha lm thay i trng thi vn c ca n.

a) Tc ng nhiu khi mc ra cao

b) Tc ng nhiu khi mc ra thp

Hnh 2-13a, b. M t tc ng nhiu n cc cng logic

nh hng ca nhiu c th phn ra hai trng hp : + Nhiu mc cao: u ra cng I ly logic H (hnh 2-20a), tt nhin, u ra cng II l logic L, nu cc cng vn hot ng bnh thng. Khi tnh ti tc ng ca nhiu, ta c: VRHmin+VNHVVHmin VNHVVHminVRHmin Vi cng TTL: VNL 2V2,4V=0,4V Vi cng CMOS: VNL3,5V4,9V= 1,4V + Nhiu mc thp: u ra cng I ly logic L (hnh 2-20b), tng t ta c: VRLmax+VNLVVLmax VNLVVLmaxVRLmax Vi cng TTL: VNL 0,8V0,4V=0,4V Vi cng CMOS: VNL1,5V0,1V=1,4V
2.4.4.3 H s ghp ti K

Cho bit kh nng ni c bao nhiu li vo ti u ra ca mt cng cho. H s ghp ti ph thuc dng ra (hay dng phun) ca cng chu ti v dng vo (hay dng ht) ca cc cng ti c hai trng thi H, L.

GV: Th Hng Thm

35

Gio trnh in t s

a) Mc ra ca cng chu ti l H
Hnh 2-14a,b. M t v h s ghp ti. 2.4.4.4. Cng sut tiu th

b) Mc ra ca cng chu ti l L

Theo thng k, tn hiu s c t l bit H / bit L khong 50%. Do , dng tiu th trung bnh ICC c tnh theo cng thc : ICC = (ICCH + ICCL)/ 2 Cng sut tiu th trung bnh ca mi cng s l : P0 = ICC . VCC
2.4.4.5. Tr truyn lan

Tn hiu i qua mt cng phi mt mt khong thi gian, c gi l tr truyn lan.

Hnh 2-15. Minh ho tr truyn lan ca tn hiu

Tr truyn lan xy ra ti c hai sn ca xung ra. Nu k hiu tr truyn lan ng vi sn trc l tTHL v sn sau l tTLH th tr truyn lan trung bnh l: tTtb = ( t THL + t TLH )/2 Thi gian tr truyn lan hn ch tn s cng tc ca cng. Tr cng ln th tn s cng tc cc i cng thp.
TM TT

Trong chng 2 chng ta gii thiu v cc phng php biu din v rt gn hm Boole. Ngoi ra cn gii thiu mt s cng logic thng dng v cc tham s chnh ca chng.

GV: Th Hng Thm

36

Gio trnh in t s CHNG 3

CNG LOGIC TTL V CMOS


Xt v mt c bn th c hai loi linh kin bn dn l lng cc v n cc. Da trn cc linh kin ny, cc mch tch hp c hnh thnh v c sn trn th trng. Cc chc nng k thut s khc nhau cng c ch to trong nhiu dng khc nhau bng cch s dng cng ngh lng cc v n cc. Mt nhm cc IC tng thch vi cc mc logic ging nhau v cc in p ngun thc hin cc chc nng logic a dng phi c ch to bng cch s dng cu hnh mch chuyn bit c gi l h mch logic. Cc yu t chnh ca mt IC lng cc l in tr, it v cc transistor. C hai loi hot ng c bn trong cc mch IC lng cc:
Bo ho. Khng bo ho.

Trong mch logic bo ho, cc transistor c vn hnh trong vng bo ho, cn trong cc mch logic khng bo ho th cc transistor khng lm vic ti vng bo ho. Cc h mch logic lng cc c bo ho l:
Mch logic in tr - Transistor (RTL). Mch logic it Transistor (DTL). Mch logic Transistor Transistor (TTL).

Cc h mch logic lng cc khng bo ha l:


Schottky TTL. Mch logic ghp cc pht (ECL).

Cc linh kin MOS l cc linh kin n cc v ch c cc MOSFET c vn hnh trong cc mch logic MOS. Cc h mch logic MOS l:
PMOS. NMOS. CMOS

Trong chng 3 s trnh by cc h cng logic ch yu v c dng ph bin hin nay. Phn cui ca chng trnh by mt s mch cho php giao tip gia cc h logic TTL v CMOS.
3.1. CC H CNG LOGIC 3.1.1. H DDL

DDL (Diode Diode Logic) l h cng logic do cc diode bn dn to thnh. Hnh 3-1a,b l s cng AND, OR 2 li vo h DDL.

GV: Th Hng Thm

37

Gio trnh in t s

Hnh 3-1a,b: S cng AND, OR 2 li vo h DDL. Bng trng thi sau th hin nguyn l hot ng ca mch thng qua mc in p vo/ra ca cc cng AND v OR h DDL
AND OR

A(V) 0 0 3 3
u im ca h DDL:

B(V) 0 3 0 3

F(V) 0,7 0,7 0,7 4,7

A(V) 0 0 5 5

B(V) 0 5 0 5

F(V) 0 4,3 4,3 4,3

Mch in n gin, d to ra cc cng AND, OR nhiu li vo. u im ny cho php xy dng cc ma trn diode vi nhiu ng dng khc nhau; Tn s cng tc c th t cao bng cch chn cc diode chuyn mch nhanh; Cng sut tiu th nh. Nhc im : phng v nhiu thp (VRL ln) H s ghp ti nh.

ci thin phng v nhiu ta c th ghp ni tip mch ra mt diode. Tuy nhin, khi VRH cng b st i 0,6V.
3.1.2. H DTL

GV: Th Hng Thm

38

Gio trnh in t s

thc hin chc nng o, ta c th u ni tip vi cc cng DDL mt transistor cng tc ch kho. Mch cng nh th c gi l h DTL (Diode Transistor Logic). V d, hnh 3-2a, b l cc cng NOT, NAND thuc h ny.

Hnh 3-2. S mch in ca h cng TDL.

Trong hai trng hp trn, nh cc diode D2, D3 chng nhiu trn li vo ca Q1 c ci thin. Mc logic thp ti li ra f gim xung khong 0,2 V ( bng th bo ho UCE ca Q1). Do IRHmax v IRLmax ca bn dn c th ln hn nhiu so vi diode nn h s ghp ti ca cng cng tng ln. Bng cch tng t, ta c th thit lp cng NOR hoc cc cng lin hp phc tp hn. V ti ca cc cng l in tr nn h s ghp ti (c bit i vi NH) cn b hn ch, mt khc tr truyn lan ca h cng ny cn ln. Nhng tn ti trn s c khc phc tng phn cc h cng sau.
3.1.3. H RTL

H RTL (Resistor Transistor Logic) l cc cng logic c cu to bi cc in tr v transistor. Hnh 3-3 l s ca mt mch NOT h RTL. Khi in p li vo l 0 V, in p trn base ca transistor s m nn transistor cm nh vy li ra trn collector ca transistor s mc cao. Do li ra ny c ni ln ngun +5 V thng qua diode D nn gi tr in p li ra lc ny khong 5,7 V, nhn mc logic cao. Khi in p li vo l 5 V do hai in tr li vo c gi tr ln lt l 1 k v 10 k, nn in p ti base s ln lm transistor thng lm cho in p li ra l 0 V. Nh vy logic li ra s l o ca logic ca tn hiu li vo. Tng t nh mch hnh 3-3, nu mt in tr c ni thm li vo nh hnh 3-4 sau mch s tr thnh mch NOR h RTL.

Hnh 3-3. Cng NOT h RTL

GV: Th Hng Thm

39

Gio trnh in t s

Bng 3-2 th hin quan h in p ca cng NOR h RTL, ch khi c hai li vo A v B cng gi tr 0 V th transistor mi cm v li ra nhn logic cao. Cc trng hp khc u dn n transistor thng v lm gi tr logic li ra mc thp. A(V) 0 0 5 5 B(V) 0 5 0 5 F(V) 5,7 0 0 0

Bng 3-2. Bng trng thi ca cng NOR h RTL


3.1.4. H TTL

Do hn ch v tc , h DTL tr nn lc hu v b thay th hon ton bi h mch TTL. Hn ch tc ca DTL c gii quyt bng cch thay cc it u vo thnh transistor a lp tip gip BE. a. Cng NAND TTL

Hnh 3-4. S mch in mt cng NAND 2 li vo.

Hnh 3-4 l s nguyn l ca mch NAND TTL. N c th c chia ra thnh 3 phn. Transistor Q1, tr R1 v cc diode D1, D2 to thnh mch u vo, mch ny thc hin chc nng NAND. Transistor Q2, cc tr R2, R4 to thnh mch gia Q3, Q4, R3 v diode D3 to thnh mch li ra nh phn tch trn. Khi bt k mt li vo mc thp th Q1 u tr thnh thng bo ho, do , Q2 v Q4 ng, cn Q3 thng nn u ra ca mch s mc cao. Li ra s ch xung mc thp khi tt c cc li vo u mc logic cao v lm transistor Q1 cm. Diode D3 c s dng nh mch dch mc in p, n c tc dng lm cho Q3 cm hon ton khi Q2 v Q4 thng. Diode ny nhiu khi cn c mc vo mch gia collector Q2 v base ca Q3. b. Cng OR TTL GV: Th Hng Thm 40

Gio trnh in t s

Hnh 3-5: s ca mt cng OR h TTL Hnh 3-5 l s ca mt cng OR h TTL tiu chun hai li vo. Trong trng hp ny, mch vo s dng cc bn dn n. Tuy nhin, nguyn l hot ng ca mch vo ny cng ging vi cng NAND hnh 3-4. c. Cng collector h Nhc im ca h cng TTL c mch ra khp kn l h s ti u ra khng th thay i, nn nhiu khi gy kh khn trong vic kt ni vi u vo ca cc mch in t tng sau. Cng logic collector h khc phc c nhc im ny. Hnh 3-6 l s ca mt cng TTL o collector h tiu chun. Mun a cng vo hot ng, cn u thm tr gnh ngoi, t cc collector n +Vcc.

Hnh 3-6. Mch in ca mt cng NOT collector h.

Mt nhc im ca cng logic collector h l tn s hot ng ca mch s gim xung do phi s dng in tr gnh ngoi.
3.1.5. H MOS FET

Bn dn trng (MOS FET) cng c dng rt ph bin xy dng mch in cc loi cng logic. c im chung v ni bt ca h ny l:
Mch in ch bao gm cc MOS FET m khng c in tr Di in th cng tc rng, c th t +3 n +15 V tr thi gian ln, nhng cng sut tiu th rt b

GV: Th Hng Thm

41

Gio trnh in t s

Mch s dng MOSFET c chia thnh 3 nhm l: - PMOS dng MOSFET knh P - NMOS dng MOSFET knh N tng cng - CMOS (MOS b) dng c 2 thit b knh P v knh N u im chnh ca MOSFET l d ch to, ph tn thp, c nh, tiu hao rt t in nng. K thut lm IC MOS ch rc ri bng 1/3 k thut lm IC lng cc (TTL, ECL,...). Thm vo , thit b MOS chim t ch trn chip hn so vi BJT, thng thng, mi MOSFET ch cn 1 mili vung din tch chip, trong khi BJT i hi khong 50 mili vung. Quan trng hn, IC s MOS thng khng dng cc thnh phn in tr trong IC, vn chim qu nhiu din tch chip trong IC lng cc. V vy, IC MOS c th dung np nhiu phn t mch trn 1 chip n hn so vi IC lng cc. Bng chng l ta s thy MOS dng nhiu trong vi mch tch hp c LSI, VLSI hn hn TTL. Mt tch hp cao ca IC MOS lm chng c bit thch hp cho cc IC phc tp, nh chip vi x l v chip nh. Sa i trong cng ngh IC MOS cho ra nhng thit b nhanh hn 74, 74LS ca TTL, vi c im iu khin dng gn nh nhau. Do vy, thit b MOS c bit l CMOS c s dng kh rng ri trong mch MSI mc d tc c thua cc IC TTL cao cp v d b h hng do b tnh in. Cc IC s PMOS v NMOS c mt ng gi ln hn (nhiu transistor trong 1 chip hn) v do kinh t hn CMOS. NMOS c mt ng gi gn gp i PMOS. Ngoi ra, NMOS cng nhanh gn gp 2 ln PMOS, nh d kin cc in t t do l nhng ht ti dng trong NMOS, cn cc l trng (in tch dng chuyn ng chm hn) l ht ti dng cho PMOS. CMOS rc ri nht v c mt ng gi thp nht trong cc h MOS, nhng n c im mnh l tc cao hn v cng sut tiu th thp hn. IC NMOS v CMOS c dng rng ri trong lnh vc k thut s, nhng IC PMOS khng cn gp mt trong cc thit k mi na. Tuy nhin MOSFET knh P vn rt quan trng bi v chng c dng trong mch CMOS.
3.1.5.1. Loi PMOS

Mch in ca h cng ny ch dng MOSFET c knh dn loi P. Cng ngh PMOS cho php sn xut cc mch tch hp vi mt cao nht. Hnh 3-7 l s cng NOT v cng NOR loi PMOS. y MOSFET Q2, Q5 ng chc nng cc in tr.

a) Cng NOT

b) Cng NOR

Hnh 3-7. Mch in ca cng NOT v NOR theo cng ngh PMOS.

GV: Th Hng Thm

42

Gio trnh in t s 3.1.5.2. Loi NMOS Hnh 3-8. Mch in cng NAND v NOR theo cng ngh NMOS.

a) Cng NAND

b) Cng NOR

Hnh 3-8 l s cng NAND v NOR dng NMOS Hnh 3-8 l s cng NAND v NOR dng NMOS. Du + trn cc li vo mun ch cc tnh ca tn hiu kch thch. Trong trng hp ny, Q1 cng ng chc nng l mt in tr. i vi cng NAND, ta nhn thy rng ch khi trn c hai li vo A v B u ly mc cao th u ra mi c mc thp. ng vi 3 t hp bin vo cn li, li ra f u c logic thp. Hot ng ca cng NOR cng c gii thch tng t.
Mt s c im ca NMOS :

Tc chuyn mch: chm hn so vi loi TTL do in tr u vo kh cao ng thi b nh hng bi ti dung tnh m n thc Gii hn nhiu khong 1,5V vi ngun 5V v s tng t l khi ngun cp tng. Nh vy l tnh khng nhiu km hn TTL H s ti: v l thuyt l rt ln do tr u vo ca mch rt ln, tuy nhin, nu tn s hot ng cng cao (trn 100KHz) th in dung sinh ra c th lm suy gim thi gian chuyn mch ko theo gim kh nng giao tip ti. So vi TTL th NMOS vn c h s ti cao hn hn trung bnh l 50 cng cng loi. Cng sut tiu tn: y l u im ni bt ca logic MOS. Tht vy, chng hn vi cng NOT trn khi u vo thp RQ1 = 100k, RQ2 = 1010ohm nn dng tiu th I = V/R = 0,5nA => P =U.I = 2,5nW Khi u vo cao RQ1 = 100k, RQ2 = 1k nn dng tiu th I = V/R = 50uA 0,25mW Vy cng sut trung bnh ch cao hn 0,1 mW mt cht, so vi TTL th n qu nh. Chnh nh u im ny m CMOS c th tch hp c LSI v VLSI, ni m nhiu cng, nhiu flip flop, nhiu mch khc c tch hp trong mt chp m khng sinh ra nhit ln lm hng chip. Cng cn lu l logic MOS do u c xy dng t cc transistor MOSFET nn rt nhy tnh in, phn sau ta s cp chi tit n vn ny.
3.1.5.3. Cng CMOS

GV: Th Hng Thm

43

Gio trnh in t s

CMOS l vit tt cc t ting Anh Complementary MOS. Mch in ca h cng logic ny s dng c hai loi MOS FET knh dn P v knh dn N. Bi vy c hin tng b dng in trong mch. Chnh v th m cng sut tiu th ca h cng, c bit trong trng thi tnh l rt b. Hnh 3-9 l mch in ca cng NOT v NAND thuc h CMOS. im ni bt trong mch in ca h cng ny l khng tn ti vai tr cc in tr. Chc nng logic c thc hin bng cch thay i trng thi cc chuyn mch c cc tnh ngc nhau. Du tr v du cng trn cc ca cc MOSFET ch ra cc tnh iu khin chuyn mch. Nh c im cu trc mch, mc VRL, VRH t c gn nh l tng. minh ho, ta c th tm hiu hot ng ca cng NOT. T hnh 3-9a, d thy rng, nu tc ng ti li vo A logic thp th Q1 s thng, Q2 kho. Li ra f gn nh c ni tt ti VDD v cch ly hn vi t, ngha l VRH VDD. Ngc li, khi A ly mc cao, Q1 m v Q2 ng. Do , li ra f gn nh ni t v cch ly vi VDD. Ni khc i, VRL 0.

a) Cng NOT a. Phn loi

b) Cng NAND

Hnh 3-9. Mch in ca h cng CMOS.

C nhiu loi IC logic CMOS vi cch ng v (package) v chn ra ging nh cc IC loi TTL. Cc IC c quy m tch hp nh SSI v DIP (dual inline package): vi hai hng chn thng hng 14 hay 16 c dng ph bin. CMOS c h 4000, 4500 Hng RCA ca M cho ra i loi CMOS u tin ly tn CD4000A. V sau RCA c ci tin cho ra lot CD4000B c thm tng m ra, sau ny hng li b sung thm lot CD4500, CD4700. Hng Motorola (M) sau cng cho ra lot CMOS MC14000, MC14000B, MC14500 tng thch vi sn phm c ca RCA. c im chung ca lot ny l :
9 9 9

in p ngun cung cp t 3V n 18V m thng nht l t 5 n 15 V. Chng c cng sut tiu hao nh Ring loi 4000B do c thm tng m ra nn dng ra ln hn, khng nhiu tt hn m tc cng nhanh hn loi 4000A trc .

GV: Th Hng Thm

44

Gio trnh in t s
9

Tuy nhin cc loi trn v tc th t ra kh chm chp v dng cng nh hn nhiu so vi cc loi TTL v CMOS khc. Chnh v vy chng khng c s dng rng ri cc thit k hin i. Loi 74CXX

y l loi CMOS c sn xut ra tng thch vi cc loi TTL v nhiu mt nh chc nng, chn ra nhng khon ngun nui th rng hn. Cc c tnh ca loi ny tt hn loi CMOS trc mt cht tuy nhin n li t c s dng do c nhiu loi CMOS sau thay th loi CMOS tc cao 74HCXX v 74HCTXX. y l 2 loi CMOS c pht trin t 74CXX. 74HCXX c dng ra ln v tc nhanh hn hn 74CXX, tc ca n tng ng vi loi 74LSXX, nhng cng sut tiu tn th thp hn. Ngun cho n l t 2V n 6V. Cn 74HCTXX chnh l 74HCXX nhng tng thch vi TTL nhiu hn nh ngun vo gn ging TTL : 4,5V n 5,5V. Do 74HCTXX c th thay th trc tip cho 74LSXX v giao tip vi cc loi TTL rt bnh thng. Ngy nay 74HC v 74HCT tr thnh loi CMOS hay dng nht m li c th thay th trc tip cho loi TTL thng dng. Loi CMOS tin tin 74AC, 74ACT Loi ny c ch to ra c nhiu ci tin cng ging nh bn TTL, n s hn hn cc loi trc nhng vic s dng cn hn ch cng vn l do gi thnh cn cao. Chng hn cu trc mch v chn ra c sp xp hp l gip gim nhng nh hng gia cc ng tn hiu vo ra do chn ra ca 2 loi ny khc vi chn ra ca TTL. Khng nhiu, tr hon truyn, tc ng h ti a u hn hn loi 74HC, 74HCT. K hiu ca chng hi khc mt cht nh 74AC11004 l tng ng vi 74HC04. 74ACT11293 l tng ng vi 74HCT293. Loi CMOS tc cao FACT y l sn phm ca hng Fairchild, loi ny c tnh nng tri hn cc sn phm tng ng c. Loi CMOS tc cao tin tin 74AHC, 74AHCT y l sn phm mi c nhng ci tin t loi 74HC v 74HCT, chng tn dng c c 2 u im ln nht ca TTL l tc cao v ca CMOS l tiu tn thp do c th thay th trc tip cho 74HC v 74HCT. Bng sau cho php so snh cng sut tiu tn v tr hon truyn ca cc loi TTL v CMOS ngun cp in 5V.

GV: Th Hng Thm

45

Gio trnh in t s

Ngoi cc loi trn cng ngh CMOS cng pht trin mt s loi mi gm: BiCMOS y l sn phm kt hp cng ngh lng cc TTL vi cng ngh CMOS nh tn dng c c 2 u im ca 2 cng ngh l tc nhanh v cng sut tiu tn thp. N gim c 75% cng sut tiu tn so vi loi 74F trong lc vn gi c tc v c im iu khin tng ng. N cng c chn ra tng thch vi TTL v hot ng p ngun 5V. Tuy nhin Bi CMOS thng ch c tch hp quy m va v ln dng nhiu trong giao din vi x l v b nh, nh mch cht, b m, b iu khin hay b thu pht. Loi CMOS in th thp y l loi CMOS kh c bit c p ngun gim xung ch cn khong 3V. Khi p gim s ko theo gim cng sut tiu tn bn trong mch nh mt tch hp ca mch tng ln, ri tc chuyn mch cng tng ln iu ny rt cn thit trong cc b vi x l b nh ... vi quy m tch hp VLSI. Cng c kh nhiu loi CMOS p thp, v y l xu hng ca mai sau, y ch ni qua v mt s loi ca hng Texas Instruments 74LV (low voltage) : l lot CMOS in th thp tng ng vi cc vi mch s SSI v MSI ca cc cng ngh khc. N ch hot ng c vi cc vi mch 3,3V khc 74LVC (low voltage CMOS ) : gm rt nhiu mch SSI v MSI nh lot 74. N c th nhn mc 5V cc ng vo nn c th dng chuyn i cc h thng dng 5V sang dng 3,3V khc. Nu gi dng in ng ra thp in th ng ra nm trong 1 gii hn cho php, n cng c th giao tip vi cc ng vo TTL 5V. Tuy nhin p vo cao ca cc CMOS 5V nh 74HC hay 74AHC khin chng khng th iu khin t cc vi mch LVC 74ALVC (advanced low voltage CMOS ) : l lot CMOS in th thp, ch yu dng cho cc mch giao din bus hot ng 3,3V 74LVT (low voltage BiCMOS) : ging nh 74LVC c th hot ng logic 5V v c th dng nh mch s chuyn mc 5V sang 3V Bng sau so snh mt s c tnh ca cc loi CMOS p thp

GV: Th Hng Thm

46

Gio trnh in t s

CMOS cc mng h, CMOS ra 3 trng thi v CMOS ny schmitt trigger Tng t nh bn TTL, cc cng CMOS cng c cc loi ra h mng, ra 3 trng thi v ny schmitt trigger, v c nhiu loi CMOS c sn xut tng thch v thay th cho loi TTL tng ng. CMOS ra cc mng h
Do dng MOSFET nn ng ra khng phi l cc thu m l cc mng

hnh 3-10 trrnh by hai cng NOT CMOS thng c ng ra ni chung vi nhau

Hnh 3-10: 2 cng NOT CMOS thng c ng ra ni chung vi nhau. Nu 2 u vo cao th 2P ngt, 2N dn ng ra mc cao bnh thng. Nu 2 u vo thp th 2P dn, 2N ngt ng ra mc thp bnh thng. Nhng nu ng vo cng 1 thp cn ng vo cng 2 cao th P1 dn N1 ngt, P2 ngt N2 dn p ng ra s l na p ngun Vdd. p ny ri vo vng bt nh khng th dng kch cc ti c hn na vi p Vdd m cao, dng dn cao c th lm tiu 2 transistor ca cng. Vy cch cc D ra h l hp trong trng hp ny. Trong cu trc mch s khng cn MOSFET knh P na, cn MOSFET knh N s h cc mng D. Ta c th ni cc ng ra theo kiu ni AND

GV: Th Hng Thm

47

Gio trnh in t s

hay OR v tt nhin l cng phi cn in tr ko ln to mc logic cao, gi tr ca R ko ln tnh ging nh bn mch loi TTL. CMOS ra 3 trng thi Tng t mch bn TTL, mch c thm ng iu khin G (hay C). G cao 2 cng nand ni, nn Y = A, ta c cng m khng o G thp ng ra ca 2 cng nand ln cao lm PMOS v NMOS cng ngng dn v y l trng thi th 3 hay cn gi l trng thi tr khng cao (high Z), lc by gi t ng ra Y nhn ngc vo mch th mch nh khng c (in tr ng ra Y ln ngun v xung mass u rt ln). Ng G cng c th tc ng mc thp K hiu logic ca mch

Hnh 3-11: K hiu logic Cng ny schmitt trigger tng t ny schmitt trigger bn mch TTL Cng truyn dn CMOS (transmission gate :TG) y l loi cng logic m bn cng ngh lng cc khng c; cng truyn dn hot ng nh mt cng tc ng m (s) cho php d liu (dng s) truyn qua li theo c 2 chiu. Trc ht l cu to ca cng truyn NMOS

Hnh 3-12: Cu to cng truyn NMOS Tn hiu truyn c th l tng t hay s min nm trong khong 0 n Vdd. Nhng y d minh ho ta gi s ly ngun cp l 10V, p ngng ca NMOS s l 2V Khi ng vo thp, t s khng c np nn tt nhin ng ra cng l mc thp Khi ng vo cao m ng khin G vn thp th ng ra cng vn thp Khi ng vo cao v G cao => NMOS dn vi p ngng 2V nn t np y n 8V th NMOS ngt, ng ra c th hiu l mc cao, do tn hiu c truyn t tri sang phi Khi ny m ng vo xung mc thp th t s x qua NMOS do ng ra ln cao tr li tc l d liu truyn t phi sang tri

GV: Th Hng Thm

48

Gio trnh in t s

Tuy nhin ta c nhn xt l, khi b truyn nh vy d liu gim bin i mt 2V. Vi mch s c th vn hiu l mc cao mc thp, cn vi mch tng t th nh vy l mt mt nng lng nhiu ri, v n cn b nh hng nng hn khi nhiu cng truyn mc ni tip nhau. Cng truyn CMOS : Hnh 3-13 cho thy cu trc ca 1 cng truyn CMOS c bn dng 1 NMOS v 1PMOS mc song song, cng vi nhng gi s nh trn bn s thy CMOS khc phc c im d ca NMOS v chnh n c s dng rng ri ngy nay.

Hnh 3-13: Cu to ca mt cng truyn CMOS Khi G thp, khng cho php truyn. Khi G cao, nu ng vo thp ng ra khng c g thay i. Cn nu ng vo cao th c 2 transistor u dn d liu truyn t tri sang phi np cho t, ng ra mc cao nhng c 1 im khc y l khi t np n 8V th NMOS ngt trong khi PMOS vn dn mnh lm t np 10V. Khi ng ra ang 10V, ng G vn cao m ng vo xung thp th t s x ngc tr li qua 2 transistor lm ng vo ln cao tr li. Cc k hiu cho cng truyn nh hnh

Hnh 3-14: K hiu cc cng truyn. b. c tnh k thut Cng sut tiu tn Khi mch CMOS trng thi tnh (khng chuyn mch) th cng sut tiu tn PD ca mch rt nh. C th thy iu ny khi phn tch mch mch cng nand hay nor trc. Vi ngun 5V, PD ca mi cng ch khong 2,5nW. GV: Th Hng Thm 49

Gio trnh in t s

Tuy nhin PD s gia tng ng k khi cng CMOS phi chuyn mch nhanh. Chng hn tn s chuyn mch l 100KHz th PD l 10 nW, cn f=1MHz th PD= 0,1mW. n tn s c 2 hay 3 MHz l PD ca CMOS tng ng vi PD ca 74LS bn TTL, tc l mt dn i u th ca mnh. L do c iu ny l v khi chuyn mch c 2 transistor u dn khin dng b ht mnh cp cho ph ti l cc in dung (sinh ra cc xung nhn lm bin ca dng b y ln c khi c 5mA v thi gian tn ti khong 20 n 30 ns). Tn s chuyn mch cng ln th sinh ra nhiu xung nhn lm I cng tng ko theo P tng theo. P y chnh l cng sut ng lu tr in dung ti. in dung y bao gm cc in dung u vo kt hp ca bt k ti no ang c kch thch v in dung u ra ring ca thit b. Tc chuyn mch (tn s chuyn mch) Cng ging nh cc mch TTL, mch CMOS cng phi c tr hon truyn thc hin chuyn mch. Nu tr hon ny lm tPH bng na chu k tn hiu vo th dng song vung s tr thnh xung tam gic khin mch c th mt tc dng logic Tuy nhin tc chuyn mch ca CMOS th nhanh hn hn loi TTL do in tr u ra thp mi trng thi. Tc chuyn mch s tng ln khi tng ngun nhng iu ny cng s lm tng cng sut tiu tn, ngoi ra n cng cn nh hng bi ti in dung. Gii hn tc chuyn mch cho php lm nn tn s chuyn mch ti a c tnh da trn tPH. Bng sau cho php so snh fmax ca mt s loi cng nand loi TTL vi CMOS

Trong vic s dng cc IC logic CMOS ta phi bit nhiu c tnh v gii hn ca chng. Cc c tnh thng dng nh p nui, s to ra, kh nng dng ra,... thng d vn dng. Tt c cc IC logic u dng c ngun nui 5V. S to ra vi cng loi logic t nht l gn chc trong lc thng ch cn vi. Tuy nhin i khi c nghi ng hay s dng trng hp p cp Vmax, fmax, ti thun dung thun cm... hay giao tip gia cc IC khc loi, khc p ngun, ni chung l cc trng hp c bit. th ta phi tham kho ti liu data sheet hay data book. Cng nh bn TTL, mt s c tnh chnh ca CMOS c ni n y l: p ngun nui k hiu l Vdd (khc vi bn TTL k hiu l Vcc) rt khc nhau do cn rt cn thn vi n, c th dng ngun 5V l tt nht. Bng sau a ra cc khong p ngun cho tng loi CMOS.

in p vo v ra ca cc loi CMOS

GV: Th Hng Thm

50

Gio trnh in t s

Cng ging nh bn TTL v k hiu, tn gi nhng bn CMOS c phc tp hn do ngun nui cho cc loi IC th khc nhau, ta ch c th rt ra tng i iu kin ngun Vdd = 5V. Hnh v bng di nu ra cc thng s p ra v vo. Ring loi 74HCT l CMOS tc cao tng thch vi TTL nn thng s cng ging nh bn TTL.

Dng in ng vo v ng ra bng so snh dng vo ra ca mt s loi CMOS vi mt s loi TTL

Ni chung ta quan tm n dng ra nhiu hn v l dng ra max cho php m vn m bo cc mc logic ra ng nh phn trn. Cn cc p ra cng ch quan tm khi tnh n vic giao tip cng khc loi khc p nui. H s ti

GV: Th Hng Thm

51

Gio trnh in t s

Dng ra ca cc CMOS kh ln trong lc in tr vo ca cc CMOS li rt ln (thng khong 1012 ohm) tc dng vo rt rt nh nn s to ra rt ln. Nhng mi cng CMOS c in dung ng vo thng cng khong 5pF nn khi c nhiu cng ti mc song song s in dung tng ln lm tc chuyn mch chm li khin s to ra tn s thp (di 1MHz) l vi chc, cn tn s cao s to ra gim ch cn di 10. Tnh khng nhiu V c tnh chuyn (trng thi) ni chung cc loi CMOS u chuyn trng thi kh dt khot tr loi 4000A bi v chng c tng m trc ng ra V gii hn nhiu ni chung l tt hn cc loi TTL. Tt nht l loi 4000A,B. Gii hn nhiu s cn tt hn nu ta tng ngun nui ln hn 5V, tuy nhin lc ny tn hao cng v th tng theo. Cch tnh l nhiu mc cao v mc thp vn nh trc, tc l: VNH = VOH(min) VIH(min) VNL = VIL(max) VIH(max)
3.1.5.4. Cng truyn dn

Da trn cng ngh CMOS, ngi ta sn xut loi cng c th cho qua c tn hiu s ln tn hiu tng t. Bi vy cng c gi l cng truyn dn. S nguyn l v k hiu cng truyn dn nh hnh 3-10.

Hnh 3-15. Cng truyn dn.

Mch nguyn l ca cng truyn dn cng s dng hai MOSFET c knh dn ngc nhau. Tuy nhin cch iu khin trng thi cc chuyn mch li khc vi cng logic thng thng. Trong trng hp ny, ngi ta phn cc sao cho khi c tn hiu iu khin th c hai chuyn mch Q1 v Q2 cng dn in. Khi , mch tng ng nh mt dy dn. Cc cng o (trong s k hiu) m bo cc tnh iu khin ph hp cho c hai cc G ca mi MOSFET. Tnh dn in ca cng truyn dn ph thuc mnh vo tn s cng tc v gi tr ti. V s dng cng ngh CMOS nn tn s cng tc ca cng ch gii hn 6 MHz. H CMOS cng c cng D h v cng ba trng thi nh h TTL.

GV: Th Hng Thm

52

Gio trnh in t s 3.2. GIAO TIP GIA CC CNG LOGIC C BN TTL-CMOS V CMOS-TTL

Trong nhiu ng dng, yu cu chuyn i cc tn hiu gia cc mc logic khc nhau nh t TTL sang CMOS hoc ngc li. Cc cng logic collector h hoc cc mch khuch i transistor n gin thng c s dng trong cc mch chuyn i ny.
3.2.1 Gia TTL vi TTL

Do cng loi nn chng ng nhin c th mc ni trc tip vi nhau. Dng trung bnh m bo mc in p vo, ra mc cao hay thp cho php th: IOH = 400uA cn IIH = 40uA khi ra mc cao IOL = 16mA cn IIL = 16mA khi ra mc thp Nh vy 1 cng TTL c th thc c khong di 10 cng logic cng loi. y ch xt tnh tng i do TTL c nhiu loi nn kh nng thc ti (tnh s to ra) cng khc nhau nh loi ALS c th thc c ti 20 cng 74ALS khc. bit chnh xc hn c th da vo thng s ca dng vo v ra ca IC trong s tay tra cu IC tnh ton
3.2.2 Gia TTL vi CMOS h 74HC, 74HCT

mc thp TTL c th thc c CMOS do VOLmax(TTL)< VILmax(CMOS) v IOLmax(TTL) > IILmax(CMOS) mc cao TTL khng th thc c CMOS do p mc cao ca TTL c khi ch cn 2,5 V trong khi CMOS ch chp nhn p mc cao khng di 3,5V. nu ni mch th hot ng c th sai logic. C 1 cch khc phc l dng in tr ko ln ng ra ca cng TTL. Khi , qua in tr R ny, dng t ngun s nng dng vo CMOS nh p ra mc cao TTL s khng qu thp, CMOS s hiu c. Chng hn mt cng 74LS01 c IOLmax = 8mA, VOLmax = 0,3V thc mt cng 74HC00 c VIHmin = 3,5V, IIHmin = 1uA. Khi 74LS01 mc thp 0,3V th n s nhn dng ht mc l 8mA c cp thng qua in tr ko ln (trong khi dng IIHmin ch c di 1uA rt nh), th th s phi cn in tr ko ln c gi tr nh nht Rmin.

Cn khi mc cao 3,5V 74LS01 nhn dng 100uA v 74HC00 nhn dng 1uA. Vy khi ny in tr ko ln s phi c gi tr max hn li dng cho 2 cng

Khi Rmax th cng sut tiu tn max s nh nht T C = 15pF c thm vo khi ang mc thp 0,3V m chuyn ln mc cao th t s np cho p ln 3,5V CMOS hiu

GV: Th Hng Thm

53

Gio trnh in t s

Hnh 3-16: Giao tip gia TTL vi CMOS


3.2.3 TTL thc CMOS c p ngun cao hn 5V

Cng ging nh trng hp trn, nu ra mc thp th TTL c th thc trc tip CMOS nhng nu ra mc cao VOH(TTL) ch c 2,7V n 5V th chc chn khng th thc c CMOS v khong p ny ri vo vng bt nh ca ng vo CMOS. Ta cng phi dng in tr ko ln, c th dng TTL ng ra cc thu h cho trng hp ny.
3.2.4 Giao tip CMOS-CMOS

Vi cng in th cp, mt cng CMOS c th thc cho rt nhiu cng cng loi CMOS v dng cp khong 0,5 n 5mA trong khi dng nhn rt nh (di 1uA) Tuy nhin nu tn s hot ng cng cao th kh nng thc ti s cng gim i (c khi ch cn di 10 cng). L do l tn s cao, cc in dung ng vo ca cc cng ti s lm tng cng sut tiu tn v tr hon truyn ca mch.
3.2.5 CMOS thc TTL

Khi thc ti mc cao thng VOH(CMOS) > VIH(TTL) cn dng nhn IIH(TTL) ch vi chc uA nn CMOS c th thc nhiu ti TTL. Khi thc TTL mc thp th rt phc tp tu loi. CMOS c (4000) khng thc c TTL. CMOS mi (74HC) th c th, s cng thc c tu thuc VOL(CMOS) > VIL(TTL) v dng tng ng ra (CMOS) phi ln hn tng cc dng ng vo IIL ca cc ti TTL. Nh vy, vic giao tip cc cng vi nhau cng rt a dng tu thuc yu cu ngi s dng. Mt vn khc cng cn phi quan tm l cc IC giao tip nhau chung ngun cp hay giao tip cng khong mc p s m bo hot ng hn. V vy c mt s IC c sn xut phc v cho vic chuyn mc in p giao tip gia CMOS vi TTL hay CMOS 4000 vi CMOS 74HC.
3.3. GIAO TIP GIA CNG LOGIC VI CC THIT B IN 3.3.1 Giao tip vi cng tc c kh

Cc cng tc thng s dng ng m ngun cp to trng thi logic cho cng nhng do lm dng tip xc c kh nn khi ng m s sinh ra hin tng di.

GV: Th Hng Thm

54

Gio trnh in t s

Hnh 3-17: Giao tip vi cng tc c kh Vi in gia dng nh n qut th hin tng di ny khng nh hng g c v di xy ra rt ngn ch khong vi ms, n qut khng kp sng tt hay quay dng hoc nu c i th mt cng khng th thy c. Nhng vi cc vi mch in t, rt nhy vi nhng thay i rt nh v rt nhanh nh vy. Hin tng di ny sinh l do khi ta ng cng tc th tht ra l ng m nhiu ln ri mi ng hn hay khi m cng tc th thc ra cng l cng tc cng b h v ng nhiu ln trc khi h hn. Bn c th kim tra hin tng di ny ca cng tc vi mch m b tr nh hnh 3-17. y dng cng schmitt trigger CMOS chuyn mch tn hiu to bi cng tc. Do khi nhn cng tc, gy ra di, cng tc chuyn qua li gia mass v Vcc a vo cng logic, Schmitt trigger rt nhy khi p vo ln hn hay nh hn p ngng ca n th lp tc p ra s l mc cao hay mc thp, mc ny cung cp cho mch m v mch hin th nu c ni t mch m s cho s m l s ln di cng tc. Hin tng ny ch xy ra vi chc ms nhng vi mch logic i khi cng l nguy him ri. chng di ta c th s dng phn cng hay phn mm. Chng hn bn phm my tnh u l cc cng tc c kh, 1 phn mm trong my s d c cng tc chuyn tip trong mt khong thi gian ngn khong 20ms, nu thc s cng tc c nhn th mc logic mi n n nh sau khong thi gian di y v phn mm mi chp nhn c trng thi ca cng tc. Cn y trnh by cch chng di bng t v mch cht.
3.3.1.1. Chng di dng t lc u vo

T C gi tr khong 0,01us c ni ng vo ca cng logic nh hnh v. Khi nhn cng tc, t C np qua cng tc vo t. Ti khi cng tc nh ra, c hin tng di t s x qua R xung mass. Thi hng x l 100k x 0,01uF = 1ms ln hn chu k di ti a ca cng tc ch vi trm ns. Do khi ny cng logic cha chuyn mch, ti khi p x trn t gim xung ti di mc ngng ca cng logic th trng thi logic ng ra mi lt li (hnh 3-18).

GV: Th Hng Thm

55

Gio trnh in t s

Hnh 3-18: Cch chng di dng t lc Cng logic NOT c dng c th l loi TTL thng hay Schitt trigger
3.3.1.2. Chng di dng mch cht

Mch cht c bn dng 2 cng nand mi cng 2 ng vo c hi tip cho c kt hp vi 2 in tr ko ln mc ng vo to thnh mch chng di t cng tc Khi cng tc bt ln v tr 1 (nh hnh 3-19) ng vo NAND1 mc 0 do ng ra Q' = 1

Hnh 3-19: Cch chng di dng mch cht Q' = 1 a v ng vo NAND2, ng thi ng vo cn li mc 1 ni qua R2 ln Vcc nn ra Q= 0, Q= 0 a v ng vo nand1 khi ny nu di c xy ra i chng na lm cho ng vo t cng tc t 1 xung 0 th do = 0 nn ng ra nand1 lun l 1. Nh vy chng t rng Q v khng h b nh hng bi cng tc b di. Trng thi ca n ch chuyn mch dt khot mt ln khi cng tc c nhn qua a v ch lt li trng thi khi cng tc c nhn qua 2. Mt dng khc cng c th chng di c th hin nh hnh 3-20:

GV: Th Hng Thm

56

Gio trnh in t s

Hnh 3-20: Chng di dng cng NOT Bt cng tc sang mass, ng ra I2 mc 0 a v qua R ng vo I1 nn vn lm I2 ra 0 cho d cng tc c b di ln xung nhiu ln. Do ng ra I3 lun mc 1 Ngc li nhn cng tc qua Vcc, ng ra I2 mc 1 a v ng vo I1 mc 1 li vn lm I2 ra mc 1 bt chp cng tc b di, kt qu ra I3 lun mc 0 Cng logic c s dng trong mch cht trn c th l loi TTL hay CMOS thng hay schmitt trigger u c c nh cng NOT 4069, 4040; cng NAND 7400, 4011, 74132,
3.3.2 Giao tip vi ti nh

Ti hin nay c s dng rt phong ph, n c th l R hay c tnh cm khng, ti tuyn tnh hay phi tuyn, ti p thp, dng thp hay l cao, xoay chiu hay mt chiu. Cc cng logic c ch to ra c th giao tip vi hu ht cc loi ti nhng cc cng u c dng thp, p thp th chng thc ti nh th no? Ti c nh hng g tr li cng logic khng? Phn ny s trnh by mt s kh nng ca cng logic khi giao tip vi cc loi ti khc nhau : Led n rt hay c s dng hin th cc vi mch in t, p ri trn n di 2V, dng qua khong vi mA do nhiu cng logic loi TTL v CMOS 74HC/HCT c th thc trc tip led n Tuy nhin loi CMOS 4000, 14000 th khng th do dng vo ra mc cao v thp u rt nh (di 1uA, v di 0,5mA) mc d chng c th hot ng v cho p ln hn loi 2 loi kia Mch giao tip vi led nh hnh 3-21 :

Hnh 3-21: Giao tip vi LED R l in tr gii hn dng cho led, cng tu loi cng logic c s dng m R cng khc nhau thng chn di 330 ohm (in p Vcc =5VDC) tu theo vic la chn sng ca led.

GV: Th Hng Thm

57

Gio trnh in t s

Ngoi led ra cc cng logic cng c th thc trc tip cc loi ti nh khc nh loa gm p in (loa thch anh) c dng v p hot ng u nh, y l loi loa c kh nng pht ra tn s cao. Mch thc cho loa gm nh hnh 3-22 di y

Hnh 3-22: Cng logic thc loa Lu l loa gm l ti c tnh cm khng, khi cng chuyn mch c th sinh dng cm ng in th cao gy nguy him cho transistor bn trong cng v vy cn 1 diode mc ngc vi loa gm bo v cng.
3.3.3 Giao tip vi ti ln

Do khng dng p cng logic thc cho ti, mt khc nhng thay i ti nh khi ngt dn ngt, khi khi ng u c th gy ra p ln, dng ln v vt qu sc chu ng ca ti nn cn c cc phn trung gian giao tip, n c th l transistor, thyristor, triac hay opto coupler tuy theo mch. Hy xt mt s trng hp c th : a. Ti cn dng ln: Do dng ln vt qu kh nng ca cng nn c th dng thm transistor khuch i ln, khi tc ng mc thp dng transistor pnp cn khi tc ng mc cao nn dng transistor loi npn.

GV: Th Hng Thm

58

Gio trnh in t s

Hnh 3-23: Giao tip vi ti cn dng ln Khi ny cn tnh ton cc in tr phn cc cho mch Gi s ti cn dng 100mA. Khi transistor dn bo ho s= 25 Vy tnh dng IB = IC/25 = 4mA R1 = (Vcc - VBE - VCE)/IB 1K R2 c thm vo gim dng r khi transistor ngng dn, R2 khong 10K Trng hp ti cn dng ln hn na ta c th dng transistor ghp Darlington tng dng ra b. Ti cn p ln Khc vi trng hp ti cn dng ln, khng th dng transistor lm tng m v cc cng logic cu to bi cc transistor bn trong rt nhy, p ngc chu ng ca chng khng ln lm nn vi p ti ln c th lm cht chng thm ch lm cht lun c transistor m bn ngoi. Gii php trong trng hp ny l phi dng thm 1 transistor khc lm nhim v cch li p cao t ti vi cng logic, cng c th dng cng m thc chu p cao nh 7407

GV: Th Hng Thm

59

Gio trnh in t s

Hnh 3-24: Giao tip vi ti cn p ln hnh trn transistor cch li in th Q1 hot ng cng in th nh mch TTL cn transistor thc Q2 hot ng in p theo yu cu ca ti. mc thp Q1 dn dng vo Q2 lm n dn v ng c s chy. Trong mch R1, R3 phn cc cho Q1, Q3 v quyt nh dng ra ti, cn R2, R4 dng gim dng r, diode D bo v transistor Q2 khng b qu dV/dt... Cn vi cng CMOS tc ng mc thp v c mc cao khi thc ti th cng tng t. Transistor darlington c thay th (nh hnh 3-24) nu thy cn phi dng ln cho ti. Ring vi cng TTL tc ng mc cao th c th khng cn transistor cch li cng c nu dng cho ti (do phn cc nghch tip gip BC). Tuy nhin phi lu rng in p phn cc nghch khng c vt qu gii hn in p chu ng ca mi ni BE (thng thng khong 60VDC). c. Ti hot ng p xoay chiu p xoay chiu y l p li 220V/50Hz hay dng, vi gi tr ln nh vy nn cn cch li cng logic vi ti, mt s linh kin hay dng cch li l thyristor, triac, r le, ghp ni quang (opto coupler). y trnh by cch dng thyristor v opto coupler. Cch dng r le cng ging nh phn trc, vi hai u cun dy r le bn transistor thc cn chuyn mch nm bn ti.
Dng triac:

Transistor dng m dng cho triac, cc in tr phn cc v mc thm gim dng r tnh ton ging nh trc. Triac c dng cn quan tm n dng thun ti a v in p nghch nh lun nm di gi tr nh mc

Hnh 3-25: Giao tip vi ti hot ng in p xoay chiu


Dng kt ni quang:

GV: Th Hng Thm

60

Gio trnh in t s

Cch ny cch li hon ton gia mch p thp v p cao nh 1 opto couple nh hnh v. Cng logic tc ng mc thp lm opto dn ko theo SCR c kch m ti. p 20VDC nui opto c chnh lu t ngun xoay chiu, v n p bi diode zener. Mch tc ng mc cao cng tng t.

Hnh 3-26: Giao tip dng kt ni quang


TM TT

Chng 3 trnh by cu trc, nguyn l v c im ca cng thng dng. Xut pht t thc t mch in vi mch ho, nn trng tm ch nghin cu ca chng ta l cc cng c vi mch ho. C 2 loi vi mch s ph bin nht : TTL v MOS. TTL l cng ngh in hnh trong nhm cng ngh transistor bao gm TTL, HTL, ECL, MOS l cng ngh vi mch s dng MOSFET, trong in hnh l MOS ng thi trong chng 3 cng a ra vn giao tip gia cc h cng vi nhau.

GV: Th Hng Thm

61

Gio trnh in t s CHNG 4

MCH LOGIC T HP (MSI)


Cc hm logic c thc hin nh cc h vt l gi l cc h logic hay l cc mch logic. Trong chng 4 chng ta cp n cc mch logic t hp, tc l cc mch m tn hiu u ra ch ph thuc vo tn hiu u vo ca mch ti thi im ang xt. Ni cch khc, cc tn hiu ra khng ph thuc vo "lch s " ca tn hiu vo trc , ngha l cc h ny lm vic theo nguyn tc khng c nh. Hot ng ca cc mch t hp c m t bng cc bng trng thi hoc bng cc hm chuyn mch logic c trng cho quan h gia cc i lng vo v ra ca h thng. V mt cu trc, cc mch t hp khng cha mt thit b hoc mt phn t nh thng tin no c. Trong chng ny cp n cc mch in c th thc hin cc chc nng khc nhau ca h thng s. Cc mch in ny c thit k da trn cc cng logic t hp. Cc cng logic ny c tch hp trong mt IC c va (MSI) c cha khong vi chc ti vi trm cc cc cng logic c s c xt n chng 4. Nhng linh kin ny c ch to nhm thc hin mt s cc hot ng thu nhn, truyn ti, bin i cc d liu thng qua tn hiu nh phn, x l chng theo mt phng thc no . Phn tip theo gii thiu mt s mch t hp thng dng trong cc h thng s: - M ho v gii m cc lung d liu nh phn. - Hp knh v phn knh chn hoc chia tch cc lung s nh phn theo nhng yu cu nht nh nh tuyn cho chng trong vic truyn dn thng tin, - Cc mch cng, tr. - Cc php so snh s nh gi nh tnh v nh lng trng s ca cc s nh phn. - Mch to v kim tra tnh chn l. - n v s hc v logic (ALU).
4.1 KHI NIM CHUNG

Cn c vo c im v chc nng logic, cc mch s c chia thnh 2 loi chnh: mch t hp v mch tun t (mch tun t c trnh by chng sau).
4.1.1 c im c bn ca mch t hp

Trong mch s, mch t hp l mch m tr s n nh ca tn hiu u ra thi im ang xt ch ph thuc vo t hp cc gi tr tn hiu u vo. c im cu trc mch t hp l c cu trc nn t cc cng logic. Vy cc mch in cng chng 2 v cc mch logic chng 3 u l cc mch t hp.
4.1.2 Phng php biu din chc nng logic

Cc phng php thng dng biu din chc nng logic ca mch t hp l hm s logic, bng trng thi, s dng logic, bng Cac n (Karnaugh), cng c khi biu th bng th thi gian dng xung. i vi vi mch c nh (SSI) thng biu din bng hm logic. i vi vi mch c va (MSI) thng biu din bng bng trng thi.

GV: Th Hng Thm

62

Gio trnh in t s

S khi tng qut ca mch logic t hp c trnh by hnh 4-1. Nh vy, mch logic t hp c th c n li vo v m li ra. Mi li ra l mt hm ca cc bin vo. Quan h vo, ra ny c th hin bng h phng trnh tng qut sau: Y0 = f1(x0,x1,...,xn-1); Y1 = f2(x0,x1,...,xn-1); Ym-1 = fm-1(x0,x1,...,xn-1). T , ta thy rng c im ni bt ca mch logic t hp l hm ra ch ph thuc cc bin vo m khng ph thuc vo trng thi ca mch. Cng chnh v th, trng thi ra ch tn ti trong thi gian c tc ng vo. Th loi ca mch logic t hp rt phong ph. Phm vi ng dng ca chng cng rt rng.

Hnh 4-1: S khi tng qut ca mch logic t hp. 4.2 PHN TCH MCH LOGIC T HP

Phn tch mch logic t hp l nh gi, ph phn mt mch . Trn c s , c th rt gn, chuyn i dng thc hin ca mch in c c li gii ti u theo mt ngha no y. Mch t hp c th bao gm hai hay nhiu tng, mc phc tp ca ca mch cng rt khc nhau. Nu mch n gin th ta tin hnh lp bng trng thi, vit biu thc, rt gn, ti u (nu cn) v cui cng v li mch in. Nu mch phc tp th ta tin hnh phn on mch vit biu thc, sau rt gn, ti u (nu cn) v cui cng v li mch in.
4.3 THIT K MCH LOGIC T HP

Thit k l bi ton ngc vi bi ton phn tch. Ni dung thit k c th hin theo tun t sau: 1- Phn tch bi ton cho gn hm v bin, xc lp mi quan h logic gia hm v cc bin ; 2- Lp bng trng thi tng ng; 4- T bng trng thi c th vit trc tip biu thc u ra hoc thit lp bng Cac n tng ng; 4- Dng phng php thch hp rt gn, a hm v dng ti gin hoc ti u theo mong mun; 5- V mch in th hin. V d : Mt ngi nh hai tng. Ngi ta lp hai chuyn mch hai chiu ti hai tng, sao cho tng no cng c th bt hoc tt n. Hy thit k mt mch logic m phng h thng ?

GV: Th Hng Thm

63

Gio trnh in t s

Li gii: + Nu k hiu hai cng tc l hai bin A, B. Khi tng 1 ta bt n v ln tng 2 th tt n i v ngc li. Nh vy n ch c th sng ng vi hai t hp chuyn mch v tr ngc nhau. Cn n tt khi v tr ging nhau. H thng chiu sng trong c s nh hnh 4-2.

Hnh 4-2: Mch in ca h thng chiu sng

Bng trng thi m t hot ng ca h nh ch bng 4-1. Biu thc ca hm l: f = A B+A B = AB hoc f = AB A ABB y l hm cng XOR quen thuc cc chng trc. Hm ny c th c th hin bng nhiu kiu mch khc nhau. Hnh 4-3 l mt dng s th hin hm f.

V d: Mt ngi nh c 3 cng tc, ngi ch nh mun bng n sng khi c 3 cng tc u h, hoc khi cng tc 1 v 2 ng cn cng tc th 3 h. Hy thit k mch logic thc hin sao cho: a. S cng l t nht. b. Ch dng mt cng NAND 2 ng vo. Gii: Bc 1: Gi 3 cng tc ln lt l A, B, C. Bng n l Y. Trng thi cng tc ng l logic 1, h l 0. Trng thi n sng l logic 1 v tt l 0. Bc 2: T yu cu bi ton ta c bng s tht:

GV: Th Hng Thm

64

Gio trnh in t s

Bc 3: T bng s tht,ta vit biu thc ng ra theo trng hp logic 1 v ng ra logic 1 xut hin t nht, nh vy biu thc tnh ton s n gin hn nhiu. Biu thc logic ng ra Nu khng rt gn biu thc logic ta thc hin mch logic th s cng logic s dng s rt nhiu hnh 4-4 (b). Bc 4: Rt gn biu thc logic:

n y th ta thy rng biu thc logic gn v s cng logic s dng l t nht. Bc 5: Mch logic tng ng ca biu thc: hnh 4-4 a

Hnh 4-4: Mch logic tng ng ca biu thc. b. Bin i mch logic ch s dng mt loi cng NAND 2 ng vo. Xut pht t biu thc ban u, ta s dng nh l De Morgan bin i.

GV: Th Hng Thm

65

Gio trnh in t s

Ly o ca Y ta c:

Khng khai trin ta c:

v l mt cng NAND. Biu thc cn dng tng nn ta o mt ln na,

n y ta thy rng tha s trong ngoc cha NAND c vi C nn ta cn o hai ln na c kt qu tt c u l cng NAND 2 ng vo:

T biu thc trn ta c s mch logic hnh 4-5

Hnh 4-5
4.4. MCH M HO V GII M

M ha v gii m khng c g xa l v l tt yu trong i sng chng ta. N c dng d nh, d t, d lm,l quy c chung cng c th ph bin cng c th b mt. Chng hn dng ch t tn cho 1 con ng, cho 1con ngi; dng s trong m s sinh vin, trong thi u th thao; quy c n xanh, , vng tng ng l cho php i,ng, dng trong giao thng; ri vit bc th s dng ch vit tt, k hiu ring gi b mt hay phc tp hn l phi m ho cc thng tin dng trong tnh bo, vv Thng tin c m ho ri th khi dng cng phi gii m n v ta ch gii c khi chp nhn, thc hin theo ng nhng quy c, iu kin c lin quan cht ch ti m ho. Trong mch s, tt nhin thng tin cng phi c m ho hay gii m dng s. Trong nhng mc ny, ta s xem xt c th cch thc, cu trc, ng dng ca m ho gii m s nh th no. Trong cc h thng s k c vin thng, my tnh; cc ng iu khin tu chn hay d liu c truyn i hay x l u phi dng s h 2 ch gm 1 v 0; c nhiu ng tn hiu ch c 1 bit nh ng iu khin m ngun cho mch mc 1; ri c nhiu ng a ch nhiu bit chng hn 110100 CPU xc nh a ch trong b nh; ri d liu dng hex gi xung my in cho in ra k t. Tt c cc t hp bit c gi l cc m s (code) hay m. V mch to ra cc m s gi l mch m ho (lp m: encoder).

GV: Th Hng Thm

66

Gio trnh in t s 4.4.1. Mt s loi m thng dng. 4.4.1.1. M BCD v m d 3.

M BCD (Binary Coded Decimal) l m c cu to bng cch dng t nh phn 4 bit m ha 10 k hiu thp phn, nhng cch biu din vn theo thp phn. V d i vi m NBCD, cc ch s thp phn c nh phn ho theo trng s nh nhau 23, 22, 21, 20 nn c 6 t hp d, ng vi cc s thp phn 10,11,12,13,14 v 15. S xut hin cc t hp ny trong bn tin c gi l li d. Do trng s nh phn ca mi v tr biu din thp phn l t nhin nn my c th thc hin trc tip cc php tnh cng, tr, nhn, chia theo m NBCD. Tuy nhin nhc im chnh ca m l tn ti t hp ton Zero, gy kh khn trong vic ng b khi truyn dn tn hiu. V vy, ngi ta s dng m D-3 c hnh thnh t m NBCD bng cch cng thm 3 vo mi t hp m. Nh vy, m khng bao gm t hp ton Zero. M D-3 ch yu c dng truyn dn tn hiu m khng dng cho vic tnh ton trc tip. Thp phn 0 1 2 3 4 5 6 7 8 9 BCD 8421 0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 M d 3 0011 0100 0101 0110 0111 1000 1001 1010 1011 1100

Bng 4-2. M BCD 8421 v m d 3


4.4.1.2. M Gray.

M Gray cn c gi l m cch 1, l loi m m cc t hp m k nhau ch khc nhau duy nht 1 bit. Loi m ny khng c tnh trng s. Do , gi tr thp phn c m ha ch c gii m thng qua bng m m khng th tnh theo tng trng s nh i vi m BCD. M Gray c th c t chc theo nhiu bit. Bi vy, c th m theo m Gray. Cng tng t nh m BCD, ngoi m Gray chnh cn c m Gray d-3. Thp phn 0 1 2 Gray 0000 0001 0011 Gray d 3 0010 0110 0111

GV: Th Hng Thm

67

Gio trnh in t s

3 4 5 6 7 8 9 10 11 12 13 14 15

0010 0110 0111 0101 0100 1100 1101 1111 1110 1010 1011 1001 1000

0101 0100 1100 1101 1111 1110 1010 1011 1001 1000 0000 0001 0011

Bng 4-3. M Gray v Gray d 3


4.4.1.3. M chn, l.

M chn v m l l hai loi m c kh nng pht hin li hay dng nht. thit lp loi m ny ta ch cn thm mt bit chn/ l (bit parity) vo t hp m cho, nu tng s bit 1 trong t m (bit tin tc + bit chn/l) l chn th ta c m chn v ngc li ta c m l. BCD 8421 BCD 8421chn PC 0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 0 1 1 0 1 0 0 1 1 0 0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 BCD 8421l PL 1 0 0 1 0 1 1 0 0 1

Bng 4-4. M BCD 8421 chn / l

GV: Th Hng Thm

68

Gio trnh in t s 4.4.2. Mch m ho.

Mch in thc hin vic chuyn tin tc sang m, c gi l mch m ho hay mch ghi m.
4.4.2.1 M ho 8 ng sang 3 ng

Mch m ho 8 ng sang 3 ng cn gi l m ho bt phn sang nh phn (c 8 ng vo chuyn thnh 3 ng ra dng s nh phn 3 bit. Trong bt c lc no cng ch c 1 ng vo mc tch cc tng ng vi ch mt t hp m s 3 ng ra; tc l mi 1 ng vo s cho ra 1 m s 3 bit khc nhau. Vi 8 ng vo (I0 n I7) th s c 8 t hp ng ra nn ch cn 3 ng ra (Y2, Y1, Y0).

Hnh 4-6: Khi m ho 8 sang 3 Bng trng thi mch m ho 8 sang 3

T bng trn, ta c : Y0 = I1 + I3 + I5 + I7 Y1 = I2 + I3 + I6 + I7 Y2 = I4 + I5 + I6 +I7 Da vo 3 biu thc trn ta c th v c mch logic nh hnh di y :

GV: Th Hng Thm

69

Gio trnh in t s

Hnh 4-7: Cu trc mch m ho 8 sang 3


4.4.2.2 Mch m ho 10 ng sang 4 ng

Xt mch hnh 4-8 Mch gm bn phm 10 phm nhn t SW0 n SW9. Cc phm thng h cc ng I0 n I9 thp do c in tr khong ni xung mass. Trong 1 thi im ch c 1 phm c nhn ng ln cao, cc ng khc u thp. Khi 1 phm no c nhn th s to ra 1 m nh phn tng ng v s lm sng led no ni vi bit 1 ca m s ra . M ny c th c b gii m sang led 7 on hin th. V d khi nhn phm SW2 m s to ra l 0010 v led hin th s 2. Nh vy mch s dng 1 b m ho 10 ng sang 4 ng hay cn gi l mch chuyn i m thp phn sang BCD.

Hnh 4-8: Mch m ho 10 sang 4 v n led hin th R rng vi 10 ng vo, 4 ng ra; y l 1 bi ton thit k mch logic t hp n gin s dng cc cng nand nh hnh di y :

GV: Th Hng Thm

70

Gio trnh in t s

Hnh 4-9: Cu trc mch m ho 10 sang 4 V y l bng s tht ca mch m ho 10 ng sang 4 ng

Trong thc t h thng s cn s dng rt nhiu loi m khc nhau nh m hex, np cho vi iu khin, m ASCII m ho t bn phm my tnh dng in k t ri n cc m phc tp khc dng cho truyn s liu trn mng my tnh, dng trong vin thng, qun s. Tt c chng u tun theo quy trnh chuyn i bi 1 b m ho tng ng.
4.4.2.3 Mch m ho u tin

Vi mch m ho c cu to bi cc cng logic nh hnh trn ta c nhn xt rng trong trng hp nhiu phm c nhn cng 1 lc th s khng th bit c m s s ra l bao nhiu. Do m bo rng khi 2 hay nhiu phm hn c nhn, m s ra ch tng ng vi ng vo c s cao nht c nhn, ngi ta s dng mch m ho u tin. R rng trong cu to logic s phi thm 1 s cng logic phc tp hn, IC 74LS147 l mch m ho u tin 10 ng sang 4 ng, n c tch hp sn tt c cc cng logic trong n. K hiu khi ca 74LS147 nh hnh 4-10 bn di:

Hnh 4-10: IC74LS147 GV: Th Hng Thm 71

Gio trnh in t s

Bng s tht ca 74LS147

Nhn vo bng s tht ta thy th t u tin gim t ng vo 9 xung ng vo 0. Chng hn khi ng vo 9 ang l 0 th bt chp cc ng khc (X) s BCD ra vn l 1001 (qua cng o na). Ch khi ng vo 9 mc 1 (mc khng tch cc) th cc ng vo khc mi c th c chp nhn, c th l ng vo 8 s u tin trc nu n mc thp. Vi mch m ho u tin 8 ng sang 3 ng, cng c IC tng ng l 74LS148.
4.4.3. Mch gii m:

Mch gii m l mch c chc nng ngc li vi mch m ho tc l nu c 1 m s p vo ng vo th tng ng s c 1 ng ra c tc ng, m ng vo thng t hn m ng ra. Tt nhin ng vo cho php phi c bt ln cho chc nng gii m. Mch gii m c ng dng chnh trong ghp knh d liu, hin th led 7 on, gii m a ch b nh. Hnh 4-11 l s khi ca mch gii m.
4.4.3.1 Gii m 3 sang 8

Mch gii m 3 ng sang 8 ng bao gm 3 ng vo to nn 8 t hp trng thi, ng vi mi t hp trng thi c p vo s c 1 ng ra c tc ng.

Hnh 4-11: Khi gii m 3 sang 8

Bng s tht mch gii m 3 sang 8

T bng s tht ta c th v c s mch logic ca mch gii m trn

GV: Th Hng Thm

72

Gio trnh in t s

Hnh 4-12: Cu trc mch gii m 3 sang 8 Rt gn hm logic s dng mch gii m : Nhiu hm logic c ng ra l t hp ca nhiu ng vo c th c xy dng t mch gii m kt hp vi mt s cng logic ng ra(mch gii m chnh l 1 mch t hp nhiu cng logic c MSI). Mch gii m c bit hiu qu hn so vi vic s dng cc cng logic ri trong trng hp c nhiu t hp ng ra. V d sau thc hin mch cng 3 s X, Y, Z cho tng l S v s nh l C thc hin bng mch gii m : Gi s mch cng thc hin chc nng logic nh bng sau : X T bng cho php ta xc nh c cc t hp logic ng vo S ri C mc cao S(x, y, z) = C(x, y, z) = (1,2,4,7) (3,5,6,7) 0 0 0 0 1 Nh vy s cn 1 cng OR ni chung cc t hp logic th 1, 2, 4, 7 a ra ng S Tng t ng ra C cng cn 1 cng OR vi ng vo l t hp logic th 2, 5, 6, 7. Vy mch gii m thc hin bng logic trn s c mc nh sau: 1 1 1 Y 0 0 1 1 0 0 1 1 Z 0 1 0 1 0 1 0 1 S 0 1 1 0 1 0 1 1 C 0 0 0 1 0 1 1 1

GV: Th Hng Thm

73

Gio trnh in t s

Hnh 4-13: ng dng mch gii m lm mch cng


4.4.3.2. Mch gii m BCD sang thp phn

74LS42 l IC lm nhim v gii m 4 ng sang 10 ng. Cu to logic v bng hot ng ca n s minh ho r hn cho mch gii m ny :

Hnh 4-14: K hiu khi ca 74LS42 Bng s tht ca 74LS42

GV: Th Hng Thm

74

Gio trnh in t s

Hnh 4-15: Cu trc mch ca 74LS42, gii m 4 sang 10 l v c 4 ng vo nn s c 16 trng thi logic ng ra. y ch s dng 10 trng thi logic u, 6 trng thi sau khng dng. Vi mch gii m 4 sang 16 th s tn dng ht s trng thi ra. Mt im na l cc ng ra ca 7442 tc ng mc thp V nguyn tc ta c th m ho t n ng sang m ng v ngc li gii m t m ng sang n ng, chc nng gia m ho v gii m khng r rt lm, chng u lm nhim v chuyn i t m ny sang m khc (nhng mch trn u ni n m h 2, thc ra cn nhiu loi m khc). Cng ch c mt s chng c tch hp sn trong IC nh 7441, 7442 l gii m BCD sang thp phn, 7443 l gii m tha 3 sang thp phn, Nhiu mch gii m cn c thm mch chu dng hay th cao hn mch logic TTL thng thng nn cn gi l mch gii m thc Mch sau minh ho cch kt hp mch m s hc chng sau vi mch gii m cung cp cc hot ng nh thi v nh th t, IC gii m thc 7445 c dng v ti l ng c c p ln dng ln ngoi sc cung cp ca cc IC gii m thng.

GV: Th Hng Thm

75

Gio trnh in t s

Hnh 4-16: ng dng 74LS45 Hnh trn cho thy, mch m to ra 16 t hp trng thi cho mch m ho. Phi 4 chu k xung ck th Q3 mi xung thp, cho php ng c c cp ngun; cn n c m ch sau 8 chu k xung ck. Thi gian m ca ti l 1 chu k xung ck. Ta c th iu chnh thi gian ny t mch dao ng to xung ck. V nguyn tc hot ng ca mch m 74LS90 ta s tm hiu chng sau.
4.4.3.3 Gii m BCD sang led 7 on

Mt dng mch gii m khc rt hay s dng trong hin th led 7 on l mch gii m BCD sang led 7 on. Mch ny phc tp hn nhiu so vi mch gii m BCD sang thp phn ni phn trc bi v mch khi ny phi cho ra t hp c nhiu ng ra ln cao xung thp hn (tu loi n led anode chung hay cathode chung) lm cc on led cn thit sng to nn cc s hay k t.
Led 7 on

Trc ht hy xem qua cu trc v loi n led 7 on ca mt s n c cu to bi 7 on led c chung anode (AC) hay cathode (KC); c sp xp hnh s 8 vung (nh hnh trn) ngoi ra cn c 1 led con c t lm du phy thp phn cho s hin th; n c iu khin ring bit khng qua mch gii m. Cc chn ra ca led c sp xp thnh 2 hng chn gia mi hng chn l A chung hay K chung. Th t sp xp cho 2 loi nh trnh by di y.

GV: Th Hng Thm

76

Gio trnh in t s

Hnh 4-17: Cu trc v chn ra ca 1 dng led 7 on

Hnh 4-18: Led 7 on loi anode chung v cathod chung cng vi mch thc gii m n led hin th 1 s no th cc thanh led tng ng phi sng ln, do , cc thanh led u phi c phn cc bi cc in tr khong 180 n 390 ohm vi ngun cp chun thng l 5V. IC gii m s c nhim v ni cc chn a, b,.. g ca led xung mass hay ln ngun (tu A chung hay K chung)
Kho st 74LS47

Vi mch gii m trn ta c th dng 74LS47. y l IC gii m ng thi thc trc tip led 7 on loi Anode chung lun v n c cc ng ra cc thu h v kh nng nhn dng ln. S chn ca IC nh sau :

Hnh 4-19: K hiu khi v chn ra 74LS47 Trong

GV: Th Hng Thm

77

Gio trnh in t s

A, B, C, D l cc ng vo m BCD RBI l ng vo xo dn sng LT l ng th n BI/RBO l ng vo xo hay ng ra xo rn a ti g l cc ng ra (cc thu h)

Hnh 4-20: Cu trc bn trong ca 74LS47 v dng s hin th Hot ng ca IC c tm tt theo bng di y

GV: Th Hng Thm

78

Gio trnh in t s

Nhn thy cc ng ra mch gii m tc ng mc thp (0) th led tng ng sng Ngoi 10 s t 0 n 9 c gii m, mch cng cn gii m c 6 trng thi khc, y khng dng n (ghi ch 2) hot ng gii m xy ra bnh thng th chn LT v BI/RBO phi mc cao Mun th n led cc led u sng ht th ko chn LT xung thp (ghi ch 5) Mun xo cc s (tt ht led) th ko chn BI xung thp (ghi ch 3) Khi cn gii m nhiu led 7 on ta cng c th ghp nhiu tng IC, mun xo s 0 v ngha trc th ni chn RBI ca tng u xung thp, khi ny chn ra RBO cng xung thp v c ni ti tng sau nu mun xo tip s 0 v ngha ca tng (ghi ch 4). Ring tng cui cng th RBI trng hay mc cao vn hin th s 0 cui cng V d : Hy xem mt ng dng ca mch gii m led 7 on :

Hnh 4-21: ng dng mch gii m 74LS47

GV: Th Hng Thm

79

Gio trnh in t s

Mch dao ng to ra xung kch cho mch m, ta c th iu chnh chu k xung mch m nhanh hay chm Mch m to ra m s m BCD mt cch t ng a ti mch gii m c th l cho m ln hay m xung Mch gii m s gii m BCD sang led 7 on hin th s m thp phn By gi ta c th thay mch dao ng bng 1 b cm bin chng hn dng b thu pht led t ca vo nu mi ln c 1 ngi vo th b cm bin s to 1 xung kch kch cho mch m. Lu rng IC 7490 l IC m chia 10 khng ng b m ta s hc chng sau Nh vy vi ng dng ny ta c h thng m s ngi vo cng cng c th m sn phm qua bng truyn, tt nhin ch hn ch s ngi vo nhiu nht l 9. Khi ny hnh trn c trnh by dng mch c th nh sau :

Hnh 4-22: Minh ho ng dng 74LS47 trong mch hin th led 7 on Ta cng c th dng nhiu IC gii m thc 74LS47 gii m thc nhiu led 7 on.V cu trc logic v cc thng s ca IC, c th xem thm trong phn datasheet. Nhng IC gii m thc led 7 on khc Ngoi 74LS47 ra cn c mt s IC cng lm chc nng gii m thc led 7 on c k ra y :

Mt s IC cn c kh nng tng hp mch m, cht v gi m thc trong cng 1 v nh 74142, 74143, 74144 thm ch bao gm c led trong nh HP5082, TIL308. * IC gii m thc loi CMOS H CMOS cng c cc IC gii m thc led 7 on tng ng, y gii thiu qua v 4511 4511 c kh nng thc, gii m v cht d liu cng 1 lc. Cc ng ra nh thy trn u tc ng mc cao nn 4511 dng cho gii m led 7 on loi K chung. Cc chn BI, LT cng c chc GV: Th Hng Thm 80

Gio trnh in t s

nng tng t nh bn 74LS47. c bit chn LE cho php cht d liu li khi n cao. V cu trc c sn mch thc 8421 trong n nn 4511 cn c th thc trc tip thc hay thc c ti ln hn nh n kh nng sng, tinh th lng, hunh quang chn khng.

Hnh 4-23: K hiu khi v chn ra ca 4511 Nhng ng dng chnh ca n l mch thc hin th trong cc b m, ng h DVM, thc hin th tnh ton my tnh, thc gii m trong cc b nh thi, ng h khc nhau Bng hot ng ca 4511 nh di y, chi tit v n bn c th xem trong phn datasheet.

GV: Th Hng Thm

81

Gio trnh in t s 4.5. MCH A HP V GII A HP

Lm sao 8 ngi 1 u ni v nghe c 8 ngi u bn kia cng mt lc? Ta khng th dng 8 ng dy kt ni cho 8 ng tn hiu c v tn km, b nhiu gia cc ng dy hay suy gim tn hiu trn ng dy c bit khi khong cch truyn xa ln hay c nhiu hn s ng cn truyn (16, 32, 100,). C 1 cch l ghp cc ng tn hiu li vi nhau gim bt s ng truyn v r rng bn nhn c cng phi tch ng nhn c tr li 8 ng tn hiu ban u nhng khng ln ln gia cc ng tn hiu ghp li th cn phi t cho mi ng mt m ring. Mch in t thc hin chc nng ghp nhiu ng li vi nhau c gi l mch dn knh cn mch in t s tch ng nhn c ra nhiu ng tn hiu ban u c gi l mch tch knh. Mch dn knh v tch knh ngy nay c s dng rt rng ri trong nhiu lnh vc hin i lin quan trc tip ti in t nh ghp tch knh in thoi, knh truyn hnh, truyn d liu ni tip, mng truyn internet, Vi tn s hot ng c ca cc IC mch s hng Mhz tr ln nn cho php ghp truyn c rt nhiu ng tn hiu v d liu i coi nh l ng thi. Phn ny ta s tm hiu v cc mch dn knh, tch knh dng IC s v nhng ng dng lin quan. Mch dn knh hay cn gi l mch ghp knh, a hp (Multiplexer-MUX) l 1 dng mch t hp cho php chn 1 trong nhiu ng ng vo song song (cc knh vo) a ti 1 ng ra (gi l knh truyn ni tip). Vic chn ng no trong cc ng ng vo do cc ng chn quyt nh. Ta thy MUX hot ng nh 1 cng tc nhiu v tr c iu khin bi m s. M s ny l dng s nh phn, tu t hp s nh phn ny m bt k thi im no ch c 1 ng vo c chn v cho php a ti ng ra. Cc mch dn knh thng gp l 2 sang 1, 4 sang 1, 8 sang 1, Ni chung l t 2n sang 1. Mc di s ni n mch dn knh 4 sang 1
4.5.1 Mch dn knh 4 sang 1

Hnh 4-24: Mch dn knh 4 sang 1 v bng hot ng Mch trn c 2 ng iu khin chn l S0 v S1 nn chng to ra 4 trng thi logic. Mi mt trng thi ti mt thi im s cho php 1 ng vo I no qua truyn ti ng ra Y. Nh vy tng qut nu c 2n ng vo song song th phi cn n ng iu khin chn. Cng ni thm rng, ngoi nhng ng nh trn, mch thng cn c thm ng G : c gi l ng vo cho php (enable) hay xung nh du (strobe). Mch t hp c th c 1 hay nhiu ng vo cho php v n c th tc ng mc cao hay mc thp. Nh mch dn knh trn, nu c thm 1 ng cho php G tc ng mc thp, tc l ch khi G = 0 th hot ng dn knh mi din ra cn khi G = 1 th bt chp cc ng vo song song v cc ng chn, ng ra vn gi c nh mc thp (c th mc cao tu dng mch)

GV: Th Hng Thm

82

Gio trnh in t s

Nh vy khi G = 0 S1S0 = 00, d liu I0 s a ra Y S1S0 = 01, d liu I1 s a ra Y S1S0 = 10, d liu I2 s a ra Y S1S0 = 11, d liu I3 s a ra Y do biu thc logic ca mch khi c thm ng G l Y =G.S1S0I0 + G.S1SI1 + G.S1S0I2 + G.S1S0I3 Ta c th kim chng li biu thc trn bng cch : t bng trng thi trn, vit biu thc logic ri rt gn (c th dng phng php rt gn dng ba Kc n. V sau bn c th xy dng mch dn knh trn bng cc cng logic. Cu to logc ca mch nh sau: (lu l trn hnh khng xt n chn cho php G) Nhn thy rng t hp 4 cng NOT a 2 ng iu khin chn S0, S1 vo cc cng AND chnh l 1 mch m ho 2 sang 4, cc ng ra mch m ho nh l xung m cng AND cho 1 trong cc ng I ra ngoi. Vy mch trn cng c th v li nh sau :

Hnh 4-25a: Cu trc mch dn knh 4 sang 1


4.5.2. Mt s IC dn knh hay dng

Hnh 4-25b:Dn knh 4 sang 1 t gii m 2 sang 4

Hnh 4-26: K hiu khi ca mt s IC dn knh hay dng

GV: Th Hng Thm

83

Gio trnh in t s

74LS151 c 8 ng vo d liu, 1 ng vo cho php G tc ng mc thp, 3 ng vo chn C B A, ng ra Y cn c ng o ca n : Y. Khi G mc thp n cho php hot ng ghp knh m chn CBA s quyt nh 1 trong 8 ng d liu c a ra ng Y. Ngc li khi G mc cao, mch khng c php nn Y = 0 bt chp cc ng chn v ng vo d liu. 74LS153 gm 2 b ghp knh 4:1 c 2 ng vo chn chung BA mi b c ng cho php ring, ng vo v ng ra ring. Tng t ch khi G mc 0 ng Y mi ging 1 trong cc ng vo tu m chn. 74LS157 gm 4 b ghp knh 2:1 c chung ng vo cho php G tc ng mc thp, chung ng chn A. Ng vo d liu 1I0, 1I1 c ng ra tng ng l 1Y, ng vo d liu 2I0, 2I1 c ng ra tng ng l 2Y, Khi G thp v A thp s cho d liu vo ng nI0 ra nY (n = 1,2,3,4) cn khi A cao s cho d liu vo nI1 ra nY. Khi G = 1 th Y = 0 Chng hn vi 74LS153, k hiu khi, chn ra, bng trng thi v cu to logic c minh ho nhng hnh di, vi nhng IC khc cng tng t, bn c th tm thy trong t d liu phn ph lc

Hnh 4-27: K hiu khi v chn ra ca 74LS153 Bng s tht ca 74LS53

GV: Th Hng Thm

84

Gio trnh in t s

Hnh 4-28: Cu to bn trong ca 74LS153


4.5.3. ng dng 4.5.3.1. M rng knh ghp

Cc mch ghp knh t ng vo c th c kt hp vi nhau to mch ghp knh nhiu ng vo. V d to mch ghp knh 16:1 ta c th dng IC 74LS150 hoc cc IC tng t, nhng c 1 cch khc l ghp 2 IC 74LS151 S ghp nh sau :

Hnh 4-29: Hai cch m rng knh ghp 16 sang 1 t IC74LS151 GV: Th Hng Thm 85

Gio trnh in t s

(74LS151 l IC dn knh 8 sang 1)


4.5.3.2. Chuyn i song song sang ni tip :

Cc d liu nh phn nhiu bit, chng hn m ASCII, word,... thng c x l song song, t l tt c chng c lm 1 lc. Trong my tnh, d liu c di chuyn t ni ny n ni khc cng 1 lc trn cc ng dn in song song gi l cc bus. Khi d liu c truyn i qua khong cch di chng hn hng chc mt th cch truyn song song khng cn thch hp v tn nhiu ng dy, ri nhiu, .... Lc ny mch dn knh c th dng nh mch chuyn i song song sang ni tip tng t nh mch ghi dch m ta xt phn trc. Cch ni

Hnh 4-30: Chuyn i d liu truyn t song song sang ni tip Mch hnh trn cho php truyn d liu 16 bit trn ng truyn ni tip thng qua IC dn knh 74LS150. Tt nhin cn 1 mch m to m s nh phn 4 bit cho 4 ng chn ca mch dn knh (chng hn 74LS93). Mch m hot ng khin m chn thay i t 0000 ri 0001, ri n 1111 v li vng tr li 0000 m ln tip khin d liu vo song song c chuyn i lin tip sang ni tip. Cng cn phi c mt mch dao ng to xung kch cho mch m, nu tn s dao ng to xung kch cho mch m rt ln th d liu c lun chuyn nhanh, v vi tc ln nh vy vi cm nhn ca con ngi th d liu dng nh c truyn ng thi. Nguyn l ny c p dng cho ghp knh in thoi v nhiu ng dng khc.
4.5.3.3. Dng dn knh thit k t hp :

Cc mch dn knh vi hot ng logic nh xt trc ngoi cch dng ghp nhiu ng ng vo cn c th dng thit k mch t hp i khi rt d dng v : Khng cn phi n gin biu thc nhiu Thng dng t IC D thit k Bi ton thit k mch t hp nh bng di y cho thy r hn iu ny V d : Thit k mch t hp tho bng s tht sau : GV: Th Hng Thm 86

Gio trnh in t s

T bng s tht ta c biu thc logic l :

Y = A BC + ABC + A BC + ABC

y l biu thc thuc dng tng ca cc tch. Nh cch thit k trc ta s s dng cc cng logic gm 3 cng NOT, 4 cng NAND, 1 cng OR, cn nu chuyn sang dng ton cng NAND khng th phi cn ti 3 cng NAND 2 ng vo, 4 cng NAND 3 ng vo v 1 cng NAND 4 ng vo cha k l phi n gin biu thc nu c th trc khi thc hin. By gi ta s s dng IC dn knh 8 sang 1. 3 ng vo A, B, C s c ni ti 3 ng chn ca IC, cn c vo th t t hp trong bng nu Y l 0 th s phi ni ng vo ghp knh tng ng xung mass, cn nu Y l 1 th ni ng vo ghp knh tng ng ln ngun (c th qua R gi tr 1K). Hnh 4-31 s minh ho cho cch ni trn v nu bn kim tra li s thy mch hon ton tho iu kin ra ca bi ton.

Hnh 4-31: Thit k t hp dng mch dn knh


4.6. MCH A HP & GII A HP 4.6.1.Mch tch knh

B chuyn mch phn knh hay cn gi l tch knh, gii a hp (demultiplexer) c chc nng ngc li vi mch dn knh tc l : tch knh truyn thnh 1 trong cc knh d liu song song tu vo m chn ng vo. C th xem mch tch knh ging nh 1 cng tc c kh c iu khin chuyn mch bi m s. Tu theo m s c p vo ng chn m d liu t 1 ng s c a ra ng no trong s cc ng song song.

GV: Th Hng Thm

87

Gio trnh in t s

Cc mch tch knh thng gp l 1 sang 2, 1 sang 4, 1 sang 8, ...Ni chung t 1 ng c th a ra 2n ng, v s ng chn s phi l n. Mc di s ni n mch tch knh 1 sang 4
Mch tch knh 1 sang 4

Hnh 4-32: Mch tch knh 1 sang 4 Mch tch knh t 1 ng sang 4 ng nn s ng chn phi l 2 Khi ng cho php G mc 1 th n cm khng cho php d liu vo c truyn ra bt k ng no nn tt c cc ng ra u mc 0 Nh vy khi G = 0 BA = 00 d liu S c a ra ng Y0, nu S = 0 th Y0 cng bng 0 v nu S = 1 th Y0 cng bng 1,tc l S c a ti Y0; cc ng khc khng i Tng t vi cc t hp BA khc th ln lt ra S s l Y1, Y2, Y3. Biu thc logic ca cc ng ra s l : Y0 = G.B.A.S Y1 = G.B.A.S Y2 = G.B.A.S Y3 = G.B.A.S T y c th dng cng logic thit k mch tch knh

Hnh 4-33: Cu trc ca mch tch knh 1 sang 4

GV: Th Hng Thm

88

Gio trnh in t s

V d : Kho st IC 74LS155

Hnh 4-34: K hiu khi v chn ra ca 74LS155 Trong cu trc ca n gm 2 b tch knh 1 sang 4, chng c 2 ng chn A0A1 chung, ng cho php cng c th chung khi ni chn 2 ni vi chn 15). Mt lu khc l b tch knh u c ng ra o so vi ng vo (d liu vo chn 1 khng o) cn b tch knh th 2 th ng vo v ng ra nh nhau khi c tc ng ( d liu vo chn 14 o). Cu trc logic ca mch khng khc g so vi mch xt trn ngoi tr mch c thm ng cho php Bng s tht ca 74LS155

Mch tch knh hot ng nh mch gii m Nhiu mch tch knh cn c chc nng nh 1 mch gii m. Tht vy,vo d liu S khng c dng nh 1 ng vo d liu ni tip m li dng nh ng vo cho php cn cc ng vo chn CBA khi ny li c dng nh cc ng vo d liu v cc ng ra vn gi nguyn chc nng th mch a hp li hot ng nh 1 mch gii m. Tu thuc m d liu p vo ng C B A m mt trong cc ng ra s ln cao hay xung thp tu cu trc mch. Nh vy mch tch knh 1:4 nh trn tr thnh mch gii m 2 sang 4 . Thc t ngoi ng S khi ny tr thnh ng cho php gii m, mch trn s phi cn mt s ng iu khin khc cho php mch hot ng gii m hay tch knh; cn cu to logic ca chng hon ton tng thch nhau. Hnh sau cho php dng mch tch knh 1 sang 4 gii m 2 sang 4

GV: Th Hng Thm

89

Gio trnh in t s

Hnh 4-35: Mch tch knh hot ng nh mch gii m Tng t ta cng c cc loi mch khc nh va tch knh 1:8 va gii m 3:8, tch knh 1:16/gii m 4:16
4.6.2. Mt s IC gii m tch knh hay dng

Kho st IC tch knh/gii m tiu biu 74LS138

74LS138 l IC MSI gii m 3 ng sang 8 ng hay tch knh 1 ng sang 8 ng thng dng v c hot ng logic tiu biu, n cn thng c dng nh mch gii m a ch trong cc mch iu khin v trong my tnh. S chn v k hiu logic nh hnh di y :

Hnh 4-36: K hiu khi v chn ra ca 74LS138 Trong A0, A1, A2 l 3 ng a ch ng vo E1, E2 l cc ng vo cho php (tc ng mc thp) E3 l ng vo cho php tc ng mc cao O0 n O7 l 8 ng ra (tc ng mc thp )

GV: Th Hng Thm

90

Gio trnh in t s

Hnh 4-37: Cu trc bn trong 74LS138


Hot ng gii m nh sau :

a d liu nh phn 3bit vo C, B, A(LSB), ly d liu ra cc ng O0 n O7; ng cho php E2 v E3 t mc thp, ng cho php E1 t mc cao. Chng hn khi CBA l 001 th ng O1 xung thp cn cc ng ra khc u cao.
Hot ng tch knh :

D liu vo ni tip vo ng E2, hay E3 (vi ng cn li t thp). t G = 1 cho php tch knh. Nh vy d liu ra song song vn ly ra cc ng O0 n O7. Chng hn nu m chn l 001th d liu ni tip S s ra ng O1 v khng b o. M rng ng gii m : 74LS138 dng thm 1 cng o cn cho php gii m a ch t 5 sang 32 ng ( dng trong gii m a ch ca my vi tnh). Hnh ghp ni nh sau :

Hnh 4-38: Ghp 4 IC 74LS138 c mch gii m 5 ng sang 32 ng

GV: Th Hng Thm

91

Gio trnh in t s

Cc IC gii m tch knh khc : Ngoi 74LS155 v 74LS138 c ni n trn ra cn mt s IC cng c chc nng gii m/tch knh c k ra y l 74139/LS139 gm 2 b gii m 2 sang 4 hay 2 b tch knh 1 sang 4, chng c ng cho php (tc ng mc thp) v ng chn ring 74154/LS154 b gii m 4 sang 16 ng hay tch knh 1 sang 16 ng 74159/LS159 ging nh 74154 nhng c ng ra cc thu h 74155/LS155 nh kho st trn : gm 2 b gii m 2 sang 4 hay 2 b tch knh 1 sang 4. c bit 74155 cn c th hot ng nh 1 b gii m 3 sang 8 hay tch knh 1 sang 8 khi ni chung ng cho php vi ng vo d liu ni tip v ni chung 2 ng chn li vi nhau. 74156/LS156 ging nh 74155 nhng c ng ra cc thu h. Cng ngh CMOS cng c cc IC gii m/tch knh tng ng nh bn TTL chng hn c 74HC/HCT138,...Hn th na nhiu IC h CMOS cn cho php truyn c d liu s ln d liu tng t. Mt s IC c k ra y l 74HC/HCT4051 dn/tch knh tng t s 1 sang 8 v ngc li 74HC/HCT4052 dn/tch knh tng t s 1 sang 4 v ngc li 74HC/HCT4053 dn/tch knh tng t s 1 sang 2 v ngc li Kho st IC 4051 Khi dn knh d liu vo chn COM OUT/IN, ra 3 knh CHANNEL I/O t 0 n 7. Ngc li, khi tch knh th d liu song song vo cc chn CHANNEL I/O 0 n 7 v ra chn COM OUT/IN; 3 ng chn l A, B, C. Chn INH (inhibit) cho php d liu c php truyn ra. Hot ng ca IC c tm tt nh bng sau :

Hnh 4-39: Chn ra 4051 Cu trc logic mch kh phc tp nh hnh di y

GV: Th Hng Thm

92

Gio trnh in t s

Hnh 4-40: Cu trc mch ca 4051


4.7. MCH CNG. 4.7.1. Mch ton tng.

Mch cng hay (b cng) l mch s hc nh phn quan trng, v trong x l nh phn phn ln cc php tnh c thc hin thng qua php cng. Mch logic thc hin php cng hai s nh phn 1 bit c li nh u vo c gi l mch ton tng. S khi tng qut ca mt mch ton tng c biu din hnh 4-41. Theo hnh 4-41 v nguyn l cng hai s nh phn mt bit c trng s bt k, ta c th lp bng trng thi cho mch ton tng. Cc hm ra Si , Ci s c dng: Si = ai bi Ci-1 Ci = aibiCi1 + ai bi Ci1 + ai bi Ci1 hay Ci = aibi + (ai bi) Ci - 1 Mch logic thc hin biu thc li ra tng v li ra nh c trnh by hnh 4-41a v k hiu ca n l hnh 4-41b.

Hnh 4-41 a, b: Mch ton tng v k hiu

GV: Th Hng Thm

93

Gio trnh in t s

4.7.2 Mch cng nh phn song song

Ta c th ghp nhiu b cng hai s nh mt bit li vi nhau thc hin php cng hai s nh phn nhiu bit. S khi ca b cng c trnh by hnh 4-22 v c gi l b cng song song.

Hnh 4-42: S khi ca b cng nh phn song song

gim bt mc phc tp ca mch, trong thc t ngi ta thng sn xut b tng 4 bit. Mun cng nhiu bit, c th hp ni tip mt vi b tng mt bit theo phng php nu trn. Mt trong nhng b cng thng dng hin nay l 7483. IC ny c sn xut theo hai loi: 7483 v 7483A vi logic vo, ra khc nhau.
4.8. MCH SO SNH.

Trong cc h thng s, c bit l trong my tnh, thng thc hin vic so snh hai s. Hai s cn so snh c th l cc s nh phn, c th l cc k t m ho nh phn. Mch so snh c th hot ng theo kiu ni tip hoc theo kiu song song. Trong phn ny ta s nghin cu b so snh theo kiu song song.
4.8.1. B so snh bng nhau. 4.8.1.1. B so snh bng nhau 1 bit.

Xt 2 bit ai v bi, gi gi l kt qu so snh. T l c bng trng thi 4-8. gi = ai .bi + ai .bi = ai bi

Hnh 4-43

GV: Th Hng Thm

94

Gio trnh in t s

4.8.1.2. B so snh bng nhau 4 bit.

So snh hai s nh phn 4 bit A = a3a2a1a0 vi B = b3b2b1b0. Vy hai s A v B bng nhau khi a3 = b3, a2 = b2, a1 = b1, a0 = b0. Biu thc u ra tng ng l: G = g3. g2. g1. g0 vi g3 = a3 b3 g2 = a2 b2 g1 = a1 b1 g0 = a0 b0
4.8.2. B so snh. 4.8.2.1. B so snh 1 bit.

T bng trng thi 4-9 ta c biu thc ra:

Hnh 4-44: Mch in ca b so snh 1 bit. Trc ht hy xem 1 v d ca vic cn thit phi dng mch so snh : l vic iu khin nhit ca 1 l nhit nh hnh di y :1 b cm bin s chuyn i tnh trng nhit ca l thnh tn hiu in tng ng vi 1 nhit xc nh. B so snh lm nhim v so snh tn hiu va a v vi tn hiu ci t.Tu theo tn hiu ng ra, s ra quyt nh c cu chp hnh gia tng, gim, hay gi nguyn nhit thm ch c th kt hp bo ng hin th v tnh trng ca l.

GV: Th Hng Thm

95

Gio trnh in t s

Hnh 4-45: H thng iu khin nhit l. n gin, gi s tn hiu a v l A, ch c 2 mc logic l cao v thp (tn hiu s 1 bit). Tn hiu em so snh l B (tn hiu ci t) S c 3 trng hp xy ra cho ng ra : A > B khi A = 1 v B = 0 A < B khi A = 0 v B = 1 A = B khi A = 1 = B hay A = 0 = B T y xy dng bng s tht cho 3 trng hp ng ra t t hp trng thi 2 ng vo ra nh sau : Bng 4-9: So snh 2 s 1 bit

Nhn thy Trng hp A = B l ng ra ca 1 cng EXNOR 2 ng vo A v B Trng hp A < B l ng ra ca 1 cng AND 2 ng vo A v B Trng hp A > B l ng ra ca 1 cng AND 2 ng vo B v A y c gi l mch so snh ln 1 bit. Cu trc mch s nh sau :

GV: Th Hng Thm

96

Gio trnh in t s

Hnh 4-46: Khi so snh 1 bit

Hnh 4-47: Mch so snh 1 bit

By gi dng tn hiu vo mch so snh khng phi ch c mc cao hay mc thp (1 bit) m l mt chui cc xung vung th mch khi ny phi l mch so snh ln nhiu bt. Hnh thc so snh ca mch 4 bit cng ging nh mch 1 bit v r rng l phi so snh bit MSB trc ri mi li dn.
4.8.2.2. B so snh 4 bit (So snh ln hn).

So snh hai s nh phn 4 bit A = a3a2a1a0 vi B = b3b2b1b0. S A ln hn s B khi: a3 > b3 hoc a3 = b3 v a2 > b2 hoc a3 = b3 v a2 = b2 v a1 > b1 hoc a3 = b3 v a2 = b2 v a1 = b1 v a0 >b0. T ta c biu thc hm ra l: F = a3 .b3 + a3 b3 .a2 .b2 + a3 b3 .a2 b2 .a1 .b1 + a3 b3 .a2 b2 .a1 b1.a0 .b0

Hnh 4-48: Mch in ca b so snh ln hn 4 bit. Mt trong nhng b so snh thng dng hin nay l 7485. IC ny so snh 2 s nh phn 4 bit. K hiu khi ca IC nh hnh, cn s chn c th xem trong phn datasheet

GV: Th Hng Thm

97

Gio trnh in t s

Hnh 4-49: Mch so snh ln 4 bit 74LS85 Bng 4-10: Bng s tht ca 74LS85.

4.9. MCH TO V KIM TRA CHN L.

C nhiu phng php m ho d liu pht hin li v sa li khi truyn d liu t ni ny sang ni khc. Phng php n gin nht l thm mt bit vo d liu c truyn i sao cho s ch s 1 trong d liu lun l chn hoc l. Bit thm vo c gi l bit chn/l. thc hin c vic truyn d liu theo kiu a thm bit chn, l vo d liu chng ta phi: - Xy dng s to c bit chn, l thm vo n bit d liu. - Xy dng s kim tra h xem l h chn hay l vi (n + 1) bit u vo (n bit d liu, 1 bit chn/l). D liu dng s khi c lu tr, x l hay truyn t my ny qua my khc c th b li. Nh khi truyn d liu i xa qua mi trng in thoi, dy cp, khng gian c th b nh hng bi nhit , nhiu ng dy, in t hay do lu ngy cc b x l, b chuyn i c mt sai st nh s lm thay i d liu. V d : trong 1 khi d liu c ch A m ASCII l 1000001 s b sai thnh 100000 ,bit sai c th l bt c 1 bt no khc. Mc d xc sut lm sai ch 1 bt trong c khi d liu l rt nh ( trung bnh khong 100000 bit mi c 1 bit sai) nhng trong nhiu trng hp i hi phi tht chnh xc hay gim ht mc nhng sai st nh ny. C nhiu mch c th pht hin sai v sa li, bn s gp li ni dung ny k hn trong mn Truyn s liu, y xin ni ti mch to kim parity.

GV: Th Hng Thm

98

Gio trnh in t s

C 2 dng mch chnh l parity chn v parity l. C 2 u c s dng. Vi parity chn : d liu trc khi truyn i s c m tng s bit Nu tng chn, bit parity 0 c thm vo trc mi khi d liu truyn. Nu tng l th bit parity 1 c thm vo ( n chn) u nhn d liu, mch s kim tra tng khi d liu nhn c xem c tng s bit l chn hay khng. Nu khng th tc l c 1 bit no trong khi d liu b sai. Ngc li l mch truyn ng Vi parity l th ngc li khi d liu phi c lm l trc khi truyn. V d : Truyn 1 khi d liu 4 bit 1101 c s dng mch to kim parity r sai c minh ho nh hnh di y :

Hnh 4-50: Mch to kim traParity Gi s mch parity chn c dng. Nhn thy rng tng s bit truyn l 3 (l) nn bit parity 1 c thm vo cho chn. Nh vy, d liu truyn i s c 5 bit l 11101 Mch to parity trn s dng 3 cng XNOR kim tra s bit chn hay l, cn bn nhn mch kim parity dng 4 cng XNOR r sai, nu d liu truyn ng th ra Q = 0, nu truyn sai th ra Q = 1. Khi ny, mch nhn c th truyn v tn hiu bo truyn sai cho my gi n truyn li khi d liu b li ny. Nhn thy rng nu khi d liu truyn b sai ti 2 bit (xc sut ny l rt rt nh) hay bit parity truyn sai th mch parity mt tc dng. Cc mch x l iu khin hay truyn d liu thng c sn khi to kim v thm ch c th sa li lun. Cn khi dng mch ri th IC 74180 v h ca n l thng dng nht

GV: Th Hng Thm

99

Gio trnh in t s

Hnh 4-51: K hiu khi 74180 v bng hot ng y l IC to kim 8 bit t D0 n D7, bit parity c th dng l chn hay l. 2 ng ra l EVEN (l ra) v ODD (chn ra). 2 ng PE (l vo) v PO (chn vo) dng trong trng hp cn ni chng nhiu IC c mch to kim nhiu bit hn. Cch ni s l a t ng ra chn v ng ra l ti ng vo chn v vo l. 2 ng vo l v vo chn cng nh 2 ng ra l v ra chn phi khng c bng nhau khi kim parity. Khi ng vo parity no khng dng th phi ni mc thp. Hnh di y l cch s dng 74180 lm mch kim parity l cho 9 bit d liu vo (gm c bit parity).

Hnh 4-52: Mch kim Parity 9 bit dng 74180 1 IC pht kim 8 bit chn l khc cng hay c dng l 74LS280
4.10. N V S HC V LOGIC (ALU).

ALU l thnh phn quan trng ca CPU trong my tnh, n c th thc hin nhiu php tnh s hc v logic da trn d liu thng bao gm php cng, tr, and,or, exor, dch chuyn, tng gim dn v c php nhn, chia. Ngoi ra cng c mt s ALU sn xut dng IC ri, tt nhin chng khng th lm y cc chc nng nh ALU trong VXL. n v s hc v logic (Arithmetic Logic Unit) l mt thnh phn c bn khng th thiu c trong cc my tnh. N bao gm 2 khi chnh l khi logic v khi s hc v mt khi ghp knh. - Khi logic: Thc hin cc php tnh logic nh l AND, OR, NOT, XOR. - Khi s hc: Thc hin cc php tnh s hc nh l: cng, tr, tng 1, gim 1. S khi ca 1 n v s hc logic ALU 4 bit c m t hnh 4-53:

GV: Th Hng Thm

100

Gio trnh in t s

Hnh 4-53: S khi ca ALU 4 bit

M l li vo chn php tnh s hc hay logic. F0, F1 l hai li vo chn chc nng. Sau khi mt php tnh s hc hay logic c thc hin th kt qu s c ghi ln 1 thanh ghi, v d thanh ghi A. Kt qu ny c th c s dng thc hin php tnh sau. B ALU cn to ra cc bit trng thi chuyn i thanh ghi. V d: Carry out: nu c nh; Zero: nu kt qu php tnh bng 0. Sau y, ta hy xem qua 2 ALU ri hay dng.

6.1 ALU 74LS181 A0 A3 : d liu nh phn 4 bit vo (A = A3A2A1A0) B0 B3 : d liu nh phn 4 bit vo (B= B3B2B1B0) CYN : s nh ban u vo (tc ng mc thp) S0 S3 : M s chn (S = S3S2S1S0) chn chc nng ca ALU. M iu khin kiu (ch ) hot ng logic (M =1) hay s hc (M = 0). Q0 Q3 : d liu nh phn 4 bit ra tc ng thp (Q = Q3Q2Q1Q0). Hnh 4-54: Khi ALU 74LS181 CYN + 4 s nh ra (tc ng thp). php tr n ch du ca kt qu : o o Logic 0 ch kt qu dng. Logic 1 ch kt qu m dng s b 2.

Ng s nh vo Cn v ng s nh ra CYN+4 cho php ni chng nhiu IC 74LS181. A = B : logic 1 ng vo ny ch A = B, logic 0 ch A B.

GV: Th Hng Thm

101

Gio trnh in t s

G (carry generate output) v P (carry propagate input): hai ng ny c dng khi ni chng cc IC 74LS181. Hot ng logic ca 74181 c trnh by bng chc nng di y

TM TT

Trong chng ny, chng ta gii thiu mch logic t hp. Mch t hp do cc phn t logic c bn cu trc nn. c im ca mch t hp l tn hiu u ra thi im bt k no cng ch ph thuc vo tn hiu u vo thi im m khng lin quan n trng thi vn c ca mch. Mch t hp rt phong ph, ta khng th xem xt ht trong chng 4. Trng tm ca chng ta l nm vng c im mch t hp v phng php chung khi thit k, phn tch mch t hp. V vy, chng ta gii thiu mt cch chn lc b m ho, b gii m, b hp knh, phn knh, mch cng, tr, mch so snhtrong qu trnh , ta xem xt phng php phn tch v thit k mch t hp. Vic ti thiu ho hm logic rt quan trng. V vic ny lm cho mch logic n gin, kinh t. Chng ta mong mun mch in cng t linh kin cng tt, s u vo ca mch cng cng khng th qu nhiu.

GV: Th Hng Thm

102

Gio trnh in t s CHNG 5

MCH LOGIC TUN T


Chng ta nghin cu v php phn tch v thit k cc mch logic t hp. Mc d rt quan trng nhng n ch l mt phn ca cc h thng k thut s. Mt phn quan trng ca cc h thng k thut s khc l phn tch v thit k mch tun t. Tuy nhin vic thit k cc mch tun t li ph thuc vo vic thit k mch t hp c cp chng 4. C nhiu ng dng m u ra s phi c to ph hp vi tun t nhn c cc tn hiu vo. Yu cu ny khng th c tho mn bng vic s dng h thng logic t hp. Nhng ng dng ny yu cu u ra khng ch ph thuc vo cc iu kin u vo hin c m cn ph thuc vo lch s ca cc u vo. Lch s c cung cp bng cch phn hi t u ra v li u vo. Mch logic tun t khng nhng ph thuc vo trng thi cc li vo v cn ph thuc vo trng thi trong ca n. Mch tun t c chia lm hai loi chnh l mch tun t khng ng b v mch tun t ng b. Trong phn ny chng ta s gii thiu v cc phn t nh ca mch tun t. Cch phn tch v thit k mch tun t n gin v phc tp.
5.1. KHI NIM CHUNG V M HNH TON HC 5.1.1. Khi nim chung

Trong chng ny, chng ta s ni n h thng s c gi l mch logic tun t (hay cn gi l mch dy - Sequential Circuit). Hot ng ca h ny c tnh cht k tip nhau, tc l trng thi hot ng ca mch in khng nhng ph thuc trc tip li vo m cn ph thuc vo trng thi bn trong trc ca chnh n. Ni cch khc cc h thng ny lm vic theo nguyn tc c nh.
5.1.2. M hnh ton hc

Mch tun t l mch bao gm mch logic t hp v mch nh. Mch nh l cc trig. i vi mch tun t, p ng ra ca h thng mch in khng ch ph thuc trc tip vo tn hiu vo (X) m cn ph thuc vo trng thi ni (Q) ca n. C th m t s khi tng qut ca mch tun t.

Hnh 5-1. S khi ca mch tun t.

y: X - tp tn hiu vo. Q - tp trng thi trong trc ca mch. W - hm kch. Z - cc hm ra Hot ng ca mch tun t c m t bng mi quan h ton hc sau: Z = f(Q, X)

GV: Th Hng Thm

103

Gio trnh in t s

Trong phng trnh ton hc ca mch tun t ta thy c hai thng tin. l thng tin v trng thi tip theo ca mch tun t v thng tin v tn hiu ra ca mch. Hai thng tin ny cng ph thuc ng thi vo trng thi bn trong trc ca mch (Q) v tn hiu tc ng vo (X) ca n. Ta c th vit li biu thc trn nh sau: Z = f (Q(n), X). Q (n +1) = f (Q(n), X) Trong : Q(n +1): l trng thi tip theo ca mch. Q(n): l trng thi bn trong trc . tin cho vic nghin cu ta s k hiu Q(n +1) l Qk, Q(n) l Q. hiu r hn v mch tun t ta i xt cc phn t c trong mch. Nh ta bit mch logic t hp c xt chng 4. By gi ta s tm hiu v mch nh, m phn t nh chnh l cc trig.
5.2. PHN T NH CA MCH TUN T 5.2.1. Cc loi Trig nh ngha: Trig l phn t c kh nng lu tr (nh) mt trong hai trng thi 0 v 1. Trig c t 1 n mt vi li iu khin, c hai li ra lun lun ngc nhau l Q v Q . Tu tng loi trig c th c thm cc li vo lp (PRESET) v li vo xo (CLEAR). Ngoi ra, trig cn c li vo ng b (CLOCK).

Hnh 5-2 l s khi tng qut ca trig.


Phn loi:
Theo chc nng lm vic ca ca cc li vo iu khin: hin nay thng s dng loi trig 1 li vo nh trig D, T; loi hai li vo nh trig RS, trig JK. Theo phng thc hot ng thi ta c hai loi: trig ng b v trig khng ng b. Trong loi trig ng b li c chia lm hai loi: trig thng v trig chnh - ph (Master- Slave).

S khi ca s phn loi trig c cho hnh 5-3.

5 2.1.1. Trig RS

GV: Th Hng Thm

104

Gio trnh in t s

Trig RS l loi c hai li vo iu khin S, R. Chn S gi l li vo "lp" (SET) v R c gi l li vo "xo" (RESET).

Hnh 5-4 l k hiu ca trig RS trong cc s logic. Hnh a l s ca trig RS khng ng b, hnh b l s ca trig RS ng b. Hnh 5-5 l s nguyn l ca trig RS v RS ng b. Trng thi u ra ca Q ph thuc vo cc tn hiu logic hai li vo iu khin S, R theo bng trng thi 5-1 v 5-2 : S 0 0 1 1 R 0 1 0 1 Qk Q 0 1 X Mode hot ng Nh Xa Lp Cm

Bng 5-1. Bng trng thi ca trig RS 1 1 1 x Cm

Bng 5-2. Bng trng thi ca trig RS ng b cng NAND Trong bng, k hiu Qk l gi tr li ra Q thi im k tip, Q l gi tr ti thi im hin ti.

GV: Th Hng Thm

105

Gio trnh in t s

TRIG Ta thy khi S = 1, R = 0 th Qk = 1; khi S = 0, R = 1 th Qk = 0. y chnh l hai iu kin iu khin li vo khin cho li ra ca trig c th lt trng thi. S v R l cc li vo iu khin. Trng hp S = 0, R = 0 th Qk = Q, iu ny c ngha l khi khng c tn hiu iu khin th trig vn gi nguyn trng thi vn c ca n. Cui cng khi S = R = 1 th li ra Qk v Qk c gi tr bng nhau (c th l 1, c th l 0) nn ta ni trng thi ca trig l khng xc nh hay gi l trng thi cm. Vy, khng bao gi c s dng trng hp ny.
5 2.1.2. Trig JK

Trig JK l loi trig c hai li vo iu khin J, K. Trig ny c u im hn trig RS l khng cn tn ti t hp cm bng cc ng hi tip t Q v chn R v t Q v S. Tuy nhin, im c bit l trig JK cn c thm u vo ng b C. Trig c th lp hay xo trong khong thi gian ng vi sn m hoc sn dng ca xung ng b C. Ta ni, trig RS thuc loi ng b. S hot ng ca trig JK c trnh by bng bng trng thi 5-2 C 0 1 J X X K X X 0 1 0 1 Qk Q Q Q 0 1 Q Mod hot ng Nh Nh Nh Xa Lp Thay i trng thi theo mi xung nhp

Ck 0 Ck 0 Ck 1 Ck 1

Bng 5-3. Bng trng thi ca trig JK ng b

S nguyn l v s k hiu ca trig JK c trnh by hnh 5-6.

GV: Th Hng Thm

106

Gio trnh in t s

Hnh 5-6. S nguyn l v k hiu ca trig JK ng b 5 2.1.3. Trig D

Hnh 5-7. S nguyn l v k hiu ca trig D ng b

Trig D l loi trig c mt li vo iu khin D. Tn hiu li vo iu khin s truyn ti li ra Q (Qk = D) mi khi xut hin xung nhp C. Trig D thng c dng lm b ghi dch d liu hay b cht d liu. S nguyn l v s k hiu ca trig D c biu din hnh 5-7.
5 2.1.4. Trig T

Trig T l loi trig c mt li vo iu khin T. Mi khi c xung ti li vo T th li ra Q s thay i trng thi. Bng 5-4 l bng trng thi ca trig T S nguyn l v k hiu ca trig T c biu din hnh 5-8.

Hnh 5-8. S nguyn l v k hiu ca trig T Nhn xt: T cc bng trng thi ca cc trig trn ta thy rng: Cc trig D v RS c th lm vic c ch khng ng b v mi tp tn hiu vo iu khin D, RS lun lun tn ti t nht 1 trong 2 trng thi n nh. Trng thi n nh l trng thi tho mn iu kin Qk = Q. Cn trig T v trig JK khng th lm vic c ch khng ng b v mch s ri vo trng thi dao ng nu nh tp tn hiu vo l 11 i vi trig JK hoc l 1 i vi trig T. Nh vy, trig D, trig RS c th lm vic c hai ch : ng b v khng ng b cn trig T v trig JK ch c th lm vic ch ng b.

GV: Th Hng Thm

107

Gio trnh in t s 5 2.1.5. Cc loi trig Chnh- Ph (MS-Master- Slave).

Do cc loi trig ng b trn u hot ng ti sn dng hay sn m ca xung nhp nn khi lm vic tn s cao th li ra Q khng p ng kp vi s thay i ca xung nhp, dn n mch hot ng tnh trng khng c tin cy. Loi trig MS khc phc c nhc im ny. Li ra ca trig MS thay i ti sn dng v sn m ca xung nhp, nn cu trc ca n gm 2 trig ging nhau nhng cc tnh iu khin ca xung Clock th ngc nhau m bo sao cho ti mi sn ca xung s c mt trig hot ng. V nguyn tc hot ng ca loi trig MS (RS-MS, JK-MS, D-MS, T-MS) hon ton ging nh cc loi trig thng thng (RS, JK, D, T). Cu trc chung ca mt trig MS c minh ho hnh 5-9.

Hnh 5-9. Cu trc ca trig MS 5.2.2. Chuyn i gia cc loi trig.

C 4 loi trig c gii thiu l trig RS, JK, D v T. Trn thc t c khi trig loi ny li c s dng nh trig loi khc. Ni dung phn ny l xy dng cc trig yu cu t cc trig cho trc. Vi 4 loi trig trn th c 12 kh nng chuyn i sang nhau.

Hnh 5-10. Cc kh nng chuyn i gia cc loi trig. 5.2.2.1. Phng php chuyn i gia cc loi trig.

Mt trong cc phng php xy dng trig loi X t loi Y cho trc c cho s khi hnh 5-11. Cc li vo X l cc li vo ca trig loi X cn thit k. Li ra ca mch logic l cc li vo ca trig Y cho trc. Nh vy, bi ton chuyn i t trig loi Y sang trig loi X l xy dng mch t hp c cc u vo l X v Q; cc li ra l Y biu din bi h hm: Y = f (X, Q) thc hin chuyn i trig loi Y sang loi X cn thc hin cc bc sau:
Xc nh h hm Y = f (X, Q) theo bng hm kch. Ti thiu ho cc hm ny v xy dng cc s .

GV: Th Hng Thm

108

Gio trnh in t s

Bng hm kch ca cc loi trig c cho bng 5-5.

Hnh 5-11. S khi ca trig loi X

Bng 5-5. Bng hm kch ca cc loi trig

Sau y ta xt mt s v d xy dng cc trig t cc trig cho trc thng hay c s dng trong thc t. V d: Chuyn i t trig RS sang trig JK. Ta cn phi thit k mch logic t hp ca cc hm logic: R = f1 (Q, J, K) S = f2 (Q, J, K) T bng hm kch thch trn ta thu c bng Karnaugh (bng 5-6) cho S v R vi cc bin vo l Q, J, K.

Mch thc hin chuyn i c cho hnh 5-12.

GV: Th Hng Thm

109

Gio trnh in t s

Hnh 5-12. Trig JK xy dng t trig RS

Tng t nh vy ta cng c th lm nh vy i vi cc chuyn i khc.


5.3. PHNG PHP M T MCH TUN T.

Thit b c thit k phi c m t bng li hay mt s hnh thc khc. Cng vic u tin ca ngi thit k l phi phin dch cc d kin thnh 1 hnh thc m t hot ng ca thit b cn phi thit k mt cch trung thc v duy nht. Ni cch khc l phi hnh thc ho d liu ban u. C hai cch hnh thc ho thng dng l dng bng v hnh trng thi.
5.3.1. Bng 5.3.1.1. Bng chuyn i trng thi.

Bng chuyn i trng thi bao gm cc hng v cc ct, cc hng ghi cc trng thi trong, cc ct ghi cc gi tr ca tn hiu vo. Cc ghi gi tr cc trng thi trong k tip m mch s chuyn n ng vi cc gi tr hng v ct. Bng chuyn i trng thi c m t bng 5-6.

Bng 5-6. Bng chuyn i trng thi 5.3.1.2. Bng tn hiu ra.

Cc hng ca bng ghi cc trng thi trong, cc ct ghi cc tn hiu vo. Cc ghi gi tr ca tn hiu ra tng ng. Bng tn hiu ra c m t bng 5-7.

GV: Th Hng Thm

110

Gio trnh in t s

Bng 5-7. Bng tn hiu ra

C th gp hai bng chuyn i trng thi v bng tn hiu ra thnh mt bng chung gi l bng chuyn i trng thi / ra. Lc trn cc ghi cc gi tr ca trng thi k tip v tn hiu ra (Sk / R) tng ng vi trng thi hin ti v tn hiu vo. Bng chuyn i trng thi v tn hiu ra c m t bng 5-8.

Bng 5-8. Bng chuyn i trng thi v tn hiu ra 5.3.2. hnh trng thi.

hnh trng thi l hnh v phn nh quy lut chuyn i trng thi v tnh trng cc gi tr li vo v li ra tng ng ca mch tun t. hnh trng thi l mt hnh c hng gm hai tp: M - Tp cc nh v K - Tp cc cung c hng.
a). i vi m hnh Mealy thc hin nh x.

Tp cc trng thi trong l tp cc nh M; Tp cc tn hiu vo / ra l tp cc cung K. Trn cung c hng i t trng thi trong Si n trng thi trong Sj ghi tn hiu vo/ra tng ng.
b). i vi m hnh Moore.

V tn hiu ra ch ph thuc vo trng thi trong ca mch m khng ph thuc vo tn hiu vo cho nn thc hin nh x: Tp cc trng thi trong, tn hiu ra l tp cc nh M. Tp cc tn hiu vo l tp cc cung K.
5.4. CC BC THIT K MCH TUN T.

Qu trnh thit k mch tun t c m t theo lu sau:


Bi ton ban u: Nhim v thit k c m t bng ngn ng hoc bng lu thut ton.

GV: Th Hng Thm

111

Gio trnh in t s Hnh thc ho: T cc d kin bi cho m ta m t hot ng ca mch bng cch hnh thc ho d kin ban u dng bng trng thi, bng ra hay hnh trng thi. Sau rt gn cc trng thi ca mch c c s trng thi trong t nht. M ho trng thi: M ho tn hiu vo ra, trng thi trong nhn c m nh phn (hoc c th l cc loi m khc) c tp tn hiu vo l X, tp tn hiu ra l Y, tp cc trng thi trong l Q. H hm ca mch: Xc nh h phng trnh logic ca mch v ti thiu ho cc phng trnh ny. Nu mch tun t khi thit k cn dng cc trig v mch t hp th tu theo yu cu m ta vit h phng trnh cho cc li vo kch cho tng loi trig . Xy dng s : T h phng trnh ca mch vit c ta xy dng mch in thc hin.

Hnh 5-13. Cc bc thit k mch tun t 5.4.1. Thit k mch tun t t hnh trng thi. Gi thit: Cho hnh trng thi ca mch c tp tn hiu vo V, tp tn hiu ra R, tp trng thi trong S (cha m ho nh phn). Xc nh: H phng trnh nh phn ca mch ( ti thiu ho). Trn c s v mch in. 5.4.1.1. Cc bc thit k M ho tn hiu vo V, tn hiu ra R, trng thi trong S chuyn thnh mch dng nh phn c cc tp tn hiu vo X, tn hiu ra Y, trng thi trong Q. Xc nh h phng trnh tn hiu ra: Yi = fi (X, Q). Phng trnh ny c xc nh trn cc cung vi m hnh kiu Mealy, trn cc nh vi m hnh kiu Moore. Ti thiu cc hm ny.

GV: Th Hng Thm

112

Gio trnh in t s Xc nh h phng trnh hm kch cho cc trig v ti thiu ho n. Sau y gii thiu thut ton xc nh phng trnh li vo kch cho cc trig t hnh trng thi.

i vi trig Qi bt k s thay i trng thi t Qi n Qki ch c th c 4 kh nng nh hnh 5-16.

Hnh 5-14. Cc cung biu din s thay i trng thi t Qi n Qki ca trig Qi

Trong cc cung biu din s thay i t Qi n Qki c k hiu nh sau: 0 0 l (0), 1 1 (l 1), 0 1 l (2), 1 0 l (3). T quy c c thut ton sau:
a.Thut ton xc nh phng trnh li vo kch cho trig Qi loi D.

Phng trnh c trng ca trig D : Qki = Di. T ta rt ra Di = Qki = tuyn tt c cc cung i ti nh c Qi = 1. = cc cung loi (2), k c khuyn ti nh tc l cung loi 1 = (1) v (2) Ti thiu ho hm Di va tm c rt ra phng trnh li vo kch cho trig loi D.
b.Thut ton xc nh phng trnh li vo kch cho trig T

Phng trnh c trng ca trig T: Qki = Ti Qi Ti = Qi Qki = Q'i Trong Q'I bng 1 khi Qi thay i trng thi t 0 1 hoc t 1 0, ta lm nh sau: - in s thay i gi tr ca Qi vo cc cung. - Ti = Q'I = cc cung c Qi thay i (cung loi 2, loi 3) = (2) v (3). Ti thiu ho hm Ti va tm c rt ra phng trnh kch cho trig T.
c.Thut ton xc nh phng trnh li vo kch cho trig JK

Phng trnh c trng ca trig JK:


Qik = J Qi + K Qi

Xc nh: Ton = cc cung m Qi c bt (Qi thay i t 0 1 - cung loi 2) = (2). a phng trnh ca Ton v dng: Ton = ( T* ) Qi rt ra J = T*.

GV: Th Hng Thm

113

Gio trnh in t s

Toff = cc cung m Qi c tt (Qi thay i t 1 0 - cung loi 3) = (3). a phng trnh ca Toff v dng: Toff = ( T** ) Qi rt ra K = T**.
d.Thut ton xc nh phng trnh li vo kch cho trig RS

Phng trnh li vo S ca trig RS c xc nh nh sau: S = Ton + [Cc cung loi (1)] R = Toff + [Cc cung loi (0)] Cc cung loi (1), cc cung loi (0) trong du [ ] biu thc ca S, R c ly gi tr khng xc nh. Nhng gi tr ny v nhng trng thi khng c s dng s c dng ti thiu ho sao cho biu thc nhn c l ti gin nht.
5.4.1.2. V d

minh ho, xt v d sau: Thit k b m ng b c M = 5 vi hnh trng thi v m ho trng thi nh hnh 5-17 , dng a) Trig D v cc mch AND. b) Trig T v cc mch AND. c) Trig JK v cc mch AND. d) Trig RS v cc mch AND.

b). Bng m ho trng thi Hnh 5-15. B m M =5 nn c 5 trng thi 0, 1, 2, 3, 4. n gin, trn hnh ta khng ghi cc tn hiu vo m v tn hiu ra. Tn hiu ra ca b m ch xut hin khi b m ang trng thi 4 v c tn hiu vo m, lc b m quay tr v trng thi ban u 0 v cho ra tn hiu ra. Mch c 5 trng thi v

GV: Th Hng Thm

114

Gio trnh in t s

do vy c m ho t nht bng 3 bin nh phn tng ng vi 3 trig: Q1, Q2 Q3 nh trn bng m ho trng thi hnh 5-15b. in m tng ng vo cc trng thi trn hnh 5-15a. T ta vit c phng trnh cho tn hiu ra Y: Y = Q1. Q2 .Q3 . X . S dng cc trng thi tu chn ti thiu ho, t ta nhn c kt qu Y = Q1 X By gi ta xc nh cc phng trnh kch cho cc trig :
a) Trig D.

Nhn vo hnh trng thi ta thy: Q1 = 1 ti nh (4), Q2 = 1 ti nh (2), (3), Q3 = 1 ti nh (1), (3). D1 = Cc cung i n nh (4) (3) = Q1.Q2 .Q3 D2 = Cc cung i n nh (2), (3) = (1) + (2) = Q1 .Q2 .Q3 + Q1.Q2 .Q3 D3 = Cc cung i n nh (1), (3) = (0) + (2) = Q1 .Q2 .Q3 + Q1 .Q2 .Q3

Bng 5-9. Bng tm hm kch

Dng bng Karnaugh 5-9 ta thu c kt qu D1= Q1.Q2 D2 = Q2 .Q3 + Q2 .Q3 = Q2 Q3 D3 = Q1 .Q3
b) Xc nh phng trnh kch cho Trig T.

in s thay i gi tr ca Qi (Qi) vo cc cung. Khi mch m t trng thi (0) (1) (ngha l t 000 001) th Q3 thay i t 0 1 nn ta ghi Q3 ln cung . Khi mch chuyn t trng thi (1)

GV: Th Hng Thm

115

Gio trnh in t s

(2) (tng ng t 001 010): Q1 khng thay i trng thi (= 0), Q2 thay i t 0 1 v Q3 thay i t 1 0, nn ta ghi Q2Q3 ln cung t (1) (2). Tng t nh vy ta c:

T1 = Q1 = cc cung c Q1 thay i = (3) + (4) = Q1.Q2 .Q3 + Q1 .Q2 .Q3 T2 = Q2 = cc cung c Q2 thay i = (1) + (3) = Q1 .Q2 .Q3 + Q1.Q2 .Q3 T3 = Q3 = cc cung c Q3 thay i = (0) + (1) + (2) + (3) = = Q1 .Q2 .Q3 + Q1.Q2 .Q3 + Q1 .Q2 .Q3 + Q1 .Q2 .Q3

Lp bng Karnaugh 5-10 cho cc hm trn ta thu c kt qu: T1 = Q1 + Q2Q3 T2 = Q3 T3 = Q1


c) Xc nh phng trnh kch cho Trig JK.

Ch khi vit cc biu thc Ton, Toff ca trig th I ta cn phi n gin cc biu thc v a v dng: Ton = ( T* ) Q i rt ra Ji = T*. Toff = ( T** ) Q i rt ra Ki = T**. Vit cc biu thc Ton, Toff cho cc trig v t xc nh phng trnh kch cho cc trig nh sau: Ton1 = Cc cung m Q1 c bt (Chuyn t 0 1) = (3) = Q1.Q2 .Q3 Toff1 = Cc cung m Q1 tt (Chuyn t 1 0) = (4) = Q1 .Q2 .Q3

GV: Th Hng Thm

116

Gio trnh in t s

Ton2 = Cc cung m Q2 c bt (Chuyn t 0 1) = (1) = Q1 .Q2 .Q3 Toff2 = Cc cung m Q2 tt (Chuyn t 1 0) = (3) = Q1.Q2 .Q3 Ton3 = Cc cung m Q3 c bt (Chuyn t 0 1) = (0) + (2) = Q1 .Q3 Toff3 = Cc cung m Q3 tt (Chuyn t 1 0) = (1) + (3) = Q1 .Q3 Biu din cc hm ny trn bng Karnaugh, s dng cc trng thi tu chn ti thiu ho. Cc trng thi tu chn bao gm 3 s khng nm trong phm vi m 5, 6, 7. Ngoi ra cn mt s trng thi khc tu vo tng bng. V d, i vi bng tnh J1 gi tr tu chn ngoi 3 s trn cn thm c gi tr Q1 = 1, bng tnh K1 c thm cc c gi tr Q1 = 0, tng t nh vy vi cc bng cn li.

Bng 5-11. Bng tm hm kch

Ta thu c kt qu t bng 5-11 nh sau: J1 = Q2Q3; J2 = Q3; J3 = Q1 ; K1 = 1 K2 = Q3 K3 = 1

d) Xc nh phng trnh kch cho Trig RS.

S1 = Ton1 + [Cc cung loi (1)] = (3) + []

GV: Th Hng Thm

117

Gio trnh in t s

R1 = Toff1 + [Cc cung loi (0)] = (4) + [(0), (1), (2)] S2 = Ton2 + [Cc cung loi (1)] = (1) + [(2)] R2 = Toff2 + [Cc cung loi (0)] = (3) + [(0), (4)] S3 = Ton3 + [Cc cung loi (1)] = (0) + (2) + [] R3 = Toff3 + [Cc cung loi (0)] = (1) + (3) + [(4)]

Bng 5-12. Bng tm hm kch

Biu din cc hm ny trn bng Karnaugh v ti thiu ho chng. Sau khi rt gn t bng 5-12 ta thu c kt qu sau: S1 = Q2Q3 ; R1 = Q1 hoc R1 = Q2 hoc R1 = Q3 S2 = Q2 Q3 ; R2 = Q2 Q3 S3 = Q1 Q3 ; R3 = Q2 Q3

GV: Th Hng Thm

118

Gio trnh in t s 5.4.2. Thit k mch tun t t bng. Gi thit: Cho bng chuyn i trng thi, bng ra ca mch (cha m ho nh phn). Xc nh: H phng trnh nh phn ca mch vo gm h hm ra, h hm kch cho cc trig. Trn c s v s mch. Cc bc thc hin: M ho tn hiu vo V, tn hiu ra R, trng thi trong ca mch S chuyn mch ban u thnh mch nh phn c tp tn hiu vo X, tp tn hiu ra Y, tp trng thi trong Q. Lp bng chuyn i trng thi, bng ra ca mch nh phn ng vi s m ho trn. Da vo bng cc li vo kch ca cc trig xc nh cc li vo kch cho cc trig ng vi s chuyn i trong bng trng thi. Vit phng trnh li vo kch cho tng Qi ca trig v cc hm ra ri ti thiu cc hm ny. Trn c s xy dng mch in.

V d: Thit k b m c K = 5, hnh trng thi cho hnh 5- 15a. T lp bng chuyn i trng thi nh hnh 5- 16a, m ho trng thi nh hnh 5-16b. Da vo hai bng ny v cn c vo bng hm kch thch cho trig hnh 5- 16c ta lp c bng nh hnh 5-16d. T xc nh c cc phng trnh cc li vo kch cho cc loi trig. Bng Karnaugh v kt qu ti gin ging nh mc 5.4.1.2.

Q 1 0 0 0 0 1 1

Q 2 0 0 1 1 0 0

Q 3 0 1 0 1 0 1

Q1k

k Q2

Q3k

D 1 0 0 0 1 0 X

D 2 0 1 1 0 0 X

D 3 1 0 1 0 0 X

T 1 0 0 0 1 1 X

T 2 0 1 0 1 0 X

T 3 1 1 1 1 O X

R1S
1

R2S
2

R3S
3

J1K
1

J2K
2

J3K
3

0 0 0 1 0 X

0 1 1 0 0 X

1 0 1 0 0 X

X0 X0 X0 01 10 XX

X0 01 0X 10 X0 XX

01 10 01 10 X0 XX

0X 0X 0X 1X X1 XX

0X 1X X0 X1 0X XX

1X X1 1X X1 0X XX

GV: Th Hng Thm

119

Gio trnh in t s

1 1

1 1

0 1

X X

X X

X X

X X

X X

X X

X X

X X

X X

XX XX

XX XX

XX XX

XX XX

XX XX

XX XX

Hnh 5-16. (a), (b), (c), (d) : Cc bc thit k mch tun t 5.5 MCH TUN T NG B

Phn ny trnh by phng php c bn phn tch v thit k mch tun t ng b. Mch tun t ng b l mt mch s bao gm cc mch t hp v cc phn t nh (trig), hot ng ca mch c ng b bi xung nhp C. Trn thc t gim nh cng sut tiu th, thi gian tr v cho cc mch thc hin n gin, ngi ta thng thit k s s dng cc trig JK v cc mch NAND. nm vng cc vn thit k mch tun t ng b, trc ht ta s i phn tch mch tun t.
5.5.1. Phn tch mch tun t ng b. 5.5.1. 1. Cc bc phn tch mch tun t ng b.

Bi ton phn tch l bi ton xc nh chc nng ca mt mch cho trc. Khi tin hnh phn tch cn tun theo cc bc sau: - S mch: T s cho trc cn xc nh chc nng tng phn t c bn ca s , mi quan h gia cc phn t . - Xc nh cc u vo v ra, s trng thi trong ca mch: Coi mch nh mt hp en cn phi xc nh cc u vo v ra ca mch, c im ca cc u vo, u ra. xc nh c s trng thi trong ca mch cn phi xc nh xem mch c xy dng t bao nhiu phn t nh (trig JK) t xc nh c s trng thi trong c th c ca mch. Gi s trig l n th s trng thi c th c ca mch l 2n.
- Xc nh phng trnh hm ra, phng trnh hm kch ca cc trig. - Lp bng trng thi, bng ra nh phn l bng biu din mi quan h trng thi k tip, tn hiu ra nh phn vi trng thi hin ti v cc tn hiu vo tng ng . Da vo phng trnh c trng ca trig xc nh c trng thi k tip v tn hiu ra tng ng vi tn hiu vo v trng thi hin ti ca mch.

- hnh trng thi: T bng trng thi xy dng hnh trng thi v tn hiu ra ca mch. - Chc nng ca mch: Da vo hnh trng thi xc nh c chc nng ca mch
5.5.1.2. V d.

Phn tch mch tun t ng b c s c biu din nh hnh 5- 17a.

GV: Th Hng Thm

120

Gio trnh in t s

Bc 1. S trn c hai u vo l tn hiu X v xung nhp Clock. C mt tn hiu Z ra, mch s dng hai phn t nh l hai trig JK (Q0 v Q1). Bc 2: Xc nh u vo, u ra v s trng thi trong ca mch. Mch ny c th c biu din bng mt hp en c hai u vo v mt u ra. Do mch c cu to bng hai trig nn s trng thi c th c ca mch l 4. C th l:

Q1Q0 = 00. 01, 10 v 11.


Bc 3: Xc nh phng trnh hm ra v hm kch cho trig.

T s trn ta tm c: + Phng trnh hm ra: Z = C Q1 Q0 + Phng trnh hm kch J0 = Q1; K0 = 1 J1 = Q0 ; K1 = X .Q0 = X + Q0


Bc 4. Bng chuyn i trng thi. Phng trnh c trng ca trig JK l Qk = J Q + K Q Phng trnh chuyn i trng thi:
Q0k = J 0 .Q0 + K 0 .Q0 = Q1.Q0

Q1k = J1 .Q1 + K1.Q1 = Q1.Q0 + X + Q0 .Q1 = Q1 .Q0 + X .Q0 .Q1 T cc phng trnh trn ta lp c bng chuyn i trng thi
Trng thi hin ti Q0Q1 X=0 Q0Q1 X=1 Q0Q1 X=0 Z X=1 Z Trng thi k tip Tn hiu ra

S0

00

01

01

GV: Th Hng Thm

121

Gio trnh in t s

S1 S2 S3

01 11 10

10 00 00

11 00 00

0 1 0

0 1 0

Hnh 5-17 b). Bng chuyn i trng thi Bc 5: hnh trng thi. T bng chuyn i trng thi trn ta xy dng c hnh trng thi nh hnh 5-17 c) (m hnh Mealy). hnh gm 4 trng thi trong S0, S1, S2, S3. Cc trig JK hot ng ti sn m ca xung nhp. Nhn vo hnh trng thi ta thy trng thi trong S2 (Q0Q1 = 11) khi c xung nhp C th mch s a ra tn hiu Z = 1.

Hnh 5-17c: hnh trng thi. Bc 6: Chc nng ca mch:Trn hnh trng thi ta thy c hai ng chuyn i trng thi l S0 S1 S2 S0 v S0 S1 S3 S0. Theo ng S0 S1 S2 S0 th tn hiu ra Z = 1 s c a ra cng thi im c xung nhp th 3. Theo ng S0 S1 S3 S0 th khng c tn hiu ra (Z = 0). Do vy ta s phn tch theo con ng th nht S0 S1 S2 S0 : S chuyn i trng thi u tin t S0 S1 ch nh tc ng ca xung nhp m khng ph thuc vo trng thi ca X. Chuyn i trng thi th hai t S1S2 nh tc ng ca xung nhp v s tc ng ca tn hiu vo X = 1. Cn s chuyn i trng thi th ba t S2 S0 ch nh tc ng ca xung nhp m khng ph thuc vo tn hiu vo. Nh vy, mch ch a ra tn hiu ra Z = 1 khi ng chuyn i i qua S2 tc l mch ch a ra tn hiu ra Z = 1 khi dy tn hiu vo X c dng 010, 011, 110 v 111. C th biu din dy tn hiu vo mch c tn hiu ra Z = 1 nh sau:
0 0 1 1 0 1 1 1

Tm li, mch cho s trn c chc nng kim tra dy tn hiu vo X dng chui c di bng 3. Nu chui tn hiu vo c dng l 1 trong 4 dy: 010, 011, 110 v 111 mch s cho tn hiu ra Z = 1 ti thi im c xung nhp th 3. rng ca tn hiu ra Z bng rng xung nhp (Z = C Q1 Q0).

GV: Th Hng Thm

122

Gio trnh in t s

Hnh 5-17d) Dng xung ra ca mch


5.5.2. Thit k mch tun t ng b. 5.5.2.1. Cc bc thit k mch tun t ng b.

Bc 1: Xc nh bi ton, gn hm v bin, tm hiu mi quan h gia chng. Bc 2: Xy dng hnh trng thi, bng chuyn i trng thi v hm ra. Bc 3: Rt gn trng thi (ti thiu ho trng thi). Vic ti thiu ho trng thi ch yu da vo khi nim trng thi tng ng. Cc trng thi tng ng vi nhau c th c thay bng mt trng thi chung i din cho chng. Bc 4: M ho trng thi. S bin nh phn dng m ho cc trng thi trong ca mch ph thuc vo s lng trng thi trong ca mch. Nu s lng trng thi trong l N, s bin nh phn cn dng l n th n phi tho mn iu kin: n log2N. C rt nhiu cch m ho khc nhau, mi cch cho mt s thc hin mch khc nhau. Vn l phi m ho sao cho s mch thc hin l n gin nht. Bc 5: Xc nh h phng trnh ca mch. C hai cch xc nh: + Lp bng chuyn i trng thi v tn hiu ra, t xc nh cc phng trnh kch cho cc trig. + Da trc tip vo hnh trng thi, vit h phng trnh Ton, Toff ca cc trig v phng trnh hm ra. Bc 6: V s thc hin.
5.5.2.2. V d.

Thit k mch tun t thc hin nhim v kim tra dy tn hiu vo dng nh phn c di bng 3 c a vo lin tip trn u vo X. Nu dy tn hiu vo c dng l 010 hoc 011 hoc 110 hoc 111 th Z = 1. Cc trng hp khc Z = 0. Bc 1: Xc nh bi ton. Mch c thit k c nhim v pht hin tn hiu vo. Khi nhn c 1 trong cc dy tn hiu trn th mch s bo rng nhn c. Mch phi thit k l mch ng b, nn s c cc li vo l X- tn hiu vo, Ck- xung nhp iu khin, Z tn hiu ra. Bc 2: Xy dng hnh trng thi, bng chuyn i trng thi Gi s trng thi ban u l S0: Khi tn hiu vo l X. Ck th mch s chuyn ti trng thi S1. Khi tn hiu vo l X . Ck mch s chuyn n trng thi S2. GV: Th Hng Thm 123

Gio trnh in t s

Tng t nh vy. Khi mch trng thi S1 th khi c tn hiu X. Ck mch chuyn n trng thi S3 v chuyn n trng thi S4 khi c tn hiu X . Ck. Tng t ta xy dng c hnh sau 5-18 a.

Nu mch 1 trong 4 trng thi S3, S4, S5, S6: khi c tn hiu vo X. Ck hoc X . Ck th mch s chuyn v trng thi ban u S0. Khi dy tn hiu vo l 110 hoc 111 (ng vi ng chuyn i trng thi l S0 S1 S3 S0) hay khi dy tn hiu vo l 010 hoc 011 (ng vi ng chuyn i trng thi l S0 S3 S5 S0) th mch s cho tn hiu ra Z = 1 ti thi im xung th 3. Vi cc ng chuyn i khc Z = 0.

Bc 3: Ti thiu ho trng thi. c c s mch n gin ta phi ti thiu ho cc trng thi. Trong phn ny s gii thiu phng php ti thiu ho Caldwell. C s l thuyt ca vic ti thiu ho l da vo khi nim cc trng thi tng ng.
nh ngha cc trng thi tng ng:

Trng thi Si c gi l trng thi tng ng vi trng thi Sj (Si Sj) khi v ch khi: nu ly Si v Sj l hai trng thi ban u th vi mi dy tn hiu vo c th chng lun cho dy tn hiu ra ging nhau.

GV: Th Hng Thm

124

Gio trnh in t s

Nu c nhiu trng thi tng ng vi nhau tng i mt th chng tng ng vi nhau (tnh cht bc cu). kim tra mt nhm cc trng thi xem chng c tng ng vi nhau khng, c th s dng bng trng thi v tn hiu ra nh sau: - Nhm cc trng thi tng ng phi c nhng hng trong bng tn hiu ra ging nhau. - Nhm cc trng thi tng ng phi c nhng hng trong bng trng thi cng mt ct (ng vi cng mt t hp tn hiu vo) l tng ng. Ngha l ng vi cng mt t hp tn hiu vo cc trng thi k tip ca chng l tng ng.
Quy tc Caldwell:

Nhng hng (tng ng vi trng thi trong) ca bng chuyn i trng thi v tn hiu ra s c kt hp vi nhau v c biu din bng mt hng chung - c trng (trng thi c trng) cho chng nu nh chng tho mn hai iu kin sau: 1. Cc hng tng ng trong ma trn ra ging nhau. 2. Trong ma trn ra, cc hng tng ng phi tho mn 1 trong 3 iu sau: - Cc hng trong ma trn trng thi ging nhau. - Cc trng thi trong cng mt ct nm trong nhm trng thi c xt. - Cc trng thi trong cng mt ct l cc trng thi tng ng. Sau khi thay th cc trng thi tng ng bng mt trng thi chung c trng cho chng, lp li cc cng vic tm cc trng thi tng ng khc cho n khi khng th tm c cc trng thi tng ng no na th dng li. S trng thi trong bng chuyn i trng thi l ti thiu. Nhc im ca phng php ny l khi s trng thi qu ln th cng vic ti thiu ho mt nhiu thi gian.

Hnh 5-18c) Bng chuyn i trng

Hnh 5-18d) Bng chuyn i

thi sau khi gp S3 v S5, S4 v S6

trng thi sau khi gp S1 v S2

GV: Th Hng Thm

125

Gio trnh in t s

p dng quy tc Caldwell cho bi ton trn ta thy trng thi S4 tng ng vi trng thi S6 (S4 S6), S3 tng ng vi S5 (S3 S5). Thay th cc trng thi tng ng bng mt trng thi chung c trng cho chng. V d thay th S4, S6 bng S46, thay th S3, S5 bng S35. T lp c bng chuyn i trng thi 5-18c) v 5-18 d): Bc 4: Sau khi gp hai trng thi S1 v S2 thnh trng thi chung S12 th mch ch cn 4 trng thi S0, S12, S35, S46. M ho 4 trng thi ny bng hai bin nh phn Q1 v Q0. Bc 5: Xc nh h phng trnh ca mch. C hai cch xc nh h phng trnh ny. Cch 1: Da vo bng chuyn i trng thi ta lp bng hm kch 5-13 cho hai trig Q0 v Q1.

Q0 0 0 1 1
Hnh 5-18f). hnh trng thi ti gin

Q1 0 1 1 0

M ha S S0 S12 S35 S46

Hnh 5-18 e) Bng m ho trng thi

Dng bng Karnaugh 5-14 rt gn, ta thu c kt qu sau: J0 =Q1 ; K0 = 1 J1 = Q0 ; K1 = X +Q0 Z = X. Q0Q1

GV: Th Hng Thm

126

Gio trnh in t s Bng 5-13. Bng hm kch thch

Bng 5-14. Bng tnh hm kch

Cch 2: Da trc tip vo hnh trng thi vit phng trnh Ton, Toff ca tng trig v phng trnh tn hiu ra. i vi trig JK nu: Ton Q = T* Q JQ = T* ToffQ = T** Q KQ = T** i vi trng hp ny ta c: TonQ0 = S12.X + S12. X = S12 = Q0 .Q1 TonQ0 = S35 + S46 = Q0Q1 + Q0 .Q1 = Q0 TonQ0 = S0.X = Q0 .Q1 ToffQ1 = S12. X + S35 = Q0 .Q1 . X + Q0Q1 Phng trnh hm ra Z = Q0Q1Ck J0 = Q1 K0 = 1 J1 = Q0 K1 = Q0 . X + Q0 = X + Q0

GV: Th Hng Thm

127

Gio trnh in t s

Bc 6: S mch in:

5.6. MCH TUN T KHNG NG B

Phn 5.6 nghin cu cc mch tun t ng b, hot ng ca chng c iu khin bi cc xung nhp. Nhng trn thc t c nhiu mch li c iu khin bi cc s kin m khng tun theo mt quy lut no c. V d mt h thng chng trm s ch hot ng khi c trm. Nhng mch tun t hot ng theo kiu nh vy gi l mch tun t khng ng b. Mch tun t khng ng b c th thit k: - Ch dng nhng mch NAND. - Dng trig RS khng ng b v cc mch NAND. Vic thit k mch tun t khng ng b dng cc trig loi khng ng b khc hon ton tng t.
5.6.1. Cc bc thit k mch tun t khng ng b

Bc 1: Xc nh bi ton, gn hm v bin, tm hiu mi quan h gia chng. Bc 2: Xy dng hnh trng thi, bng chuyn i trng thi v hm ra. Bc 3: Rt gn trng thi (ti thiu ho trng thi). Vic ti thiu ho trng thi ch yu da vo khi nim trng thi tng ng. Cc trng thi tng ng vi nhau c th c thay bng mt trng thi chung i din cho chng. Bc 4: M ho trng thi. S bin nh phn dng m ho cc trng thi trong ca mch ph thuc vo s lng trng thi trong ca mch. Nu s lng trng thi trong l N, s bin nh phn cn dng l n th n phi tho mn iu kin: n log2N. C rt nhiu cch m ho khc nhau, mi cch cho mt s thc hin mch khc nhau. Vn l phi m ho sao cho s mch thc hin l n gin nht. Do mch khng ng b hot ng khng c s tc ng ca xung nhp cho nn trong mch thng c cc hin tng chy ua lm cho hot ng ca mch b sai, v vy khi m ho trng thi phi trnh hin tng ny. Bc 5: Xc nh h phng trnh ca mch. C hai cch xc nh: + Lp bng chuyn i trng thi v tn hiu ra, t xc nh cc phng trnh kch cho cc trig. + Da trc tip vo hnh trng thi, vit h phng trnh Ton, Toff ca cc trig v phng trnh hm ra. C hai cch ny u c hai loi phng trnh: GV: Th Hng Thm 128

Gio trnh in t s

- Phng trnh ca mch ch dng NAND. - Phng trnh ca mch dng trig RS khng ng b v cc mch NAND Bc 6: V s thc hin. Sau y l ni dung ca tng phng php.
Cch 1: Da vo bng chuyn i trng thi.

a) Ch dng cc mch NAND K hiu : A, B, N l cc bin nh phn dng m ho cc trng thi trong ca mch. X1, X2Xm l cc tn hiu vo c m ho nh phn. Z1, Z2Zm l cc tn hiu ra c m ho nh phn. Da vo bng chuyn i trng thi xc nh h phng trnh: Ak = fA (A, B, N , X1, X2Xm ) Bk = fB (A, B, N , X1, X2Xm ) Nk = fN (A, B, N , X1, X2Xm ) Z1 = g1 (A, B, N , X1, X2Xm ) Z2 = g2 (A, B, N , X1, X2Xm ) Zn = gn (A, B, N , X1, X2Xm ) Ti thiu ho h hm v vit phng trnh dng ch dng NAND. b) Mch dng trig RS v cc mch NAND Trong bng trng thi cn c vo s thay i trng thi ca tng trig: A Ak, B Bk,, N Nk, xc nh c gi tr tng ng ca u vo kch R, S cho tng trig, t vit c h phng trnh: RA = 1 (A , N , X1, X2Xm ) SA = 2 (A , N , X1, X2Xm ) Ti thiu ho cc hm v vit phng trnh dng ch dng NAND. Tng t vi B, C,N cng nh vy. Ta xc nh tn hiu ra : Z = (A , N , X1, X2Xm ) Ti thiu ho v vit phng trnh dng ch dng NAND.
Cch 2: Da trc tip vo hnh trng thi

Ta c phng trnh u vo kch (R, S) ca trig A l: SA = tp hp bt ca A + [(1)] GV: Th Hng Thm 129

Gio trnh in t s

RA = tp hp tt ca A + [(0)] Lm tng t vi cc trig khc. a) Ch dng mch NAND Ta c phng trnh c trng ca trig RS Qk = S + R .Q Ak = S A + R A .A Sau ta phi ti thiu ho phng trnh v vit di dng ch dng NAND. i vi cc trig khc cng lm nh vy. b) Dng cc trig RS khng ng b v cc mch NAND RA = 1A (A , N , X1, X2Xm ) SA = 2A (A , N , X1, X2Xm ) RN = 1N (A , N , X1, X2Xm ) SN = 2N (A , N , X1, X2Xm ) Z1 = 1 (A , N , X1, X2Xm ) Z2 = 2 (A , N , X1, X2Xm ) Zn = n (A , N , X1, X2Xm ) Ti thiu ho h phng trnh.
5.6.2. V d

Mt mch tun t khng ng b c thit k m s ngi vo thm mt vin bo tng. Mch gm hai n X1, X2 c b tr cch nhau 10 mt. Mch c thit k sao cho mi ln ch m c mt ngi.

Khi c mt ngi i vo th hai n s b chn lin tip. u tin X1 b chn, tip n c X1 v X2 cng b chn, sau n X2 b chn. Khi mch cho ra tn hiu Z = 1. Khi mt ngi ra th s ngc li. u tin n X2 s b chn, sau c X1 v X2 cng b chn v cui cng ch c X1 b GV: Th Hng Thm 130

Gio trnh in t s

chn. S khi ca mch to tn hiu m Z c m t bi hnh 5-19b. Hai li vo ca mch l X1 X2. u ra Z c a ti li vo ca b gii m. Ta quy c: n b chn = X; ngc li th = X hnh trng thi c m t hnh 5-19c.

Hnh 5-19 c) hnh trng thi S0 l trng thi ban u ca mch. Nu mt ngi i vo th s chuyn i ca mch s l S0 S1 S2 S3 S0. Nu mt ngi i ra th qu trnh chuyn i trng thi ca mch l S0 S3 S4 S1 S0. Khi c mt ngi ngp ngng sau li quay ra ban u chn n X1 sau quay ra th mch s chuyn i trng thi S0 S1 S0 , lc mch s khng thc hin m. Tng ng vi hnh trng thi trn ta lp c bng chuyn i trng thi hnh 5-19d:

GV: Th Hng Thm

131

Gio trnh in t s

Bng c 5 hng ng vi 5 trng thi hin ti c th xut hin v 4 ct, mi ct ng vi mt t hp gi tr c th ca X1, X2. Mi ca bng biu din trng thi k tip v tn hiu ra tng ng vi trng thi hin ti v gi tr ca tn hiu vo X1, X2. Trong bng chuyn i trng thi, nhng c khoanh trn l nhng c trng thi k tip bng trng thi hin ti. Nhng trng thi l nhng trng thi n nh. iu kin cho trng thi n nh l Sk = S. Trn bng c nhng trng. Nhng ny tng ng vi cc t hp tn hiu khng xut hin u vo. Nhng ny c th in gi tr tu chn ti thiu ho h phng trnh ca mch. Tin hnh ti thiu ho: C th gn trng thi k tip v tn hiu ra vo cc trng sao cho hng c trng c th kt hp vi cc hng khc. bng chuyn i trng thi cc hng S0, S1, S2, v S3, S4 c cc trng thi k tip v tn hiu ra tng ng l ging nhau nu nh ta gn: - trng ca hng u tin (ng vi S0) l S2 / Z = 1, - trng ca hng th hai l S3 / Z = 0, - trng ca hng th t l S1 / Z = 0, - trng ca hng th ba v th nm l S0 / Z = 0, Khi bng chuyn i trng thi c rt gn li nh sau:

Mch ch c hai trng thi nn m ho ta ch cn s dng mt bin nh phn A. m ho trng thi S012 th A = 0, S34 th A = 1. Tn hiu ra Z = 1 trng thi S012 khi X1 X2 = 11. Ta dng trig RS thit k (da vo bng hm kch ca trig RS-bng 5-15 ).

GV: Th Hng Thm

132

Gio trnh in t s

Ta c phng trnh u vo kch (R, S) ca trig l: S = tp hp bt ca Q + [(1)] ; Tp hp bt ca Q (Ton) l cc cung m Q chuyn t 0 1. S = A1. X 1. X 2 + A1 . X 1 . X 2 = X 1. X 2 R = tp hp tt ca Q + [(0)] ; Tp hp tt ca Q (Toff) l cc cung m Q chuyn t 1 0. R = A. X 2 + A1. X 1. X 2 + A1 . X 1 . X 2 = X 2

Cc cung [(0)], [(1)] c ly gi tr khng xc nh (x) v c dng ti thiu ho.

Bng 5-15.Bng hm kch

Phng trnh c trng ca trig RS


Q k = S A + R A .Q A

Thay gi tr ca RA, SA vo biu thc thu c kt qu:


Ak = X 1. X 2 + X 2 . A = X 1. X 2 + X 2 . A = X 1. X 2 + X 2 . A

Phng trnh ra:

Nu thit k mch dng trig RS v cc mch NAND ta c:


S A = X 1. X 2 RA = X 2

V mch c biu din hnh 5-19 g, h.


5.8. MT S MCH TUN T THNG DNG 5.8.1. B m.

GV: Th Hng Thm

133

Gio trnh in t s

Trong nhng phn trc ta c bit n 2 loi mch tun t c bn l mch lt v mch ghi dch; v cng bit rng nhiu FF ni li vi nhau c th hot ng nh mt mch m hay thanh ghi (nh nhiu bit). Nhng mi ch l nhng mch nh c bn, phn ny s cp n chi tit hn cu to, hot ng v nhiu ng dng ca nhiu mch m khc nhau. Phn ln chng dng mch tch hp. H thng s ngy nay s dng kh nhiu loi mch m, c th dng m xung, m sn phm, m lm ng h, nh thi gian v r rng chng l cc mch logic nn chnh xc v d dng thit k hn nhiu so vi cc loi mch tng t. B m l mch tun t n gin, n c xy dng t cc phn t nh l cc trig v cc mch logic t hp. Cc b m l thnh phn c bn ca cc h thng s, chng c s dng m thi gian, chia tn s, iu khin cc mch khcB m c s dng rt nhiu trong my tnh, trong thng tin. xy dng b m, ngi ta c th dng m nh phn hoc cc loi m khc nh m Gray, m NBCD, m vng Phn ny s a ra nhng c im c bn nht ca b m v cc phng php thit k b m.
5.8.1.1. nh ngha v phn loi b m 1. nh ngha.

B m l mt mch tun t tun hon c mt li vo m v mt li ra, mch c s trng thi trong bng chnh h s m (k hiu l M). Di tc dng ca tn hiu vo m, mch s chuyn t trng thi trong ny n mt trng thi trong khc theo mt th t nht nh. C sau M tn hiu vo m mch li tr v trng thi xut pht ban u. S khi c m t nh hnh 5- 25.

Hnh 5-25: S khi ca b m


2. hnh trng thi tng qut ca b m.

hnh trng thi ca b m c h s m bng M c m t hnh 5-26. Khi khng c tn hiu vo m (X) mch gi nguyn trng thi c, khi c tn hiu m th mch s chuyn n trng thi k tip. Tnh cht tun hon ca b m th hin ch: sau M tn hiu vo X th mch li quay tr v trng thi xut pht ban u. Tn hiu ra ca b m ch xut hin (Y = 1) duy nht trong trng hp: b m ang trng thi M - 1 v c tn hiu vo X. Khi b m s chuyn v trng thi 0.

Hnh 5-26. hnh trng thi ca b m M

Trong trng hp cn hin th trng thi ca b m th phi dng thm mch gii m. GV: Th Hng Thm 134

Gio trnh in t s 2. Phn loi b m.

C nhiu cch phn loi b m. Hnh 5-27 l cch phn loi in hnh ca b m.

5.8.1.2. Cc bc thit k b m

Hnh 5-28 l lu thit k b m.

GV: Th Hng Thm

135

Gio trnh in t s Hnh 5-28. Cc bc thit k b m A. B m ng b. A.1. B m nh phn (chia 2)

B m ng b hay cn gi l b m song song bi v tt c cc tng u c kch bi cng mt xung nhp Ck u vo. Khi cc FF chuyn mch cng mt lc khin thi gian tr hon ca mch m bng tr hon truyn ca mt FF bt k s tng. m bo hot ng ng, mt s cng logic c thm vo khng ch ng vo J, K (T).
A.1.1 m ln chia 16

Hnh 5-29: Mch m ln ng b mod 16 Bng trng thi v dng sng m ln ca mch m ng b hon ton ging nh mch m khng ng b do ta s da vo chng xc nh xem mch hot ng nh th no. Cng cn lu l y ta xy dng mch m ln mod 16 vi 4 FF JK c xung Ck tc ng cnh xung. Ta cng c th lm mch tng t, vi xung ck tc ng cnh ln hay s dng FF T thay cho FF JK.

mch m ng, mi xung kch ck tc ng cnh xung, ch c FF no d kin s lt trng thi mi phi T = 1(J, K c ni chung vi nhau v c coi nh l ng chung T). Nhn vo bng trng thi hot ng ca b m ln ta s thy c cn phi kt ni nh th no

GV: Th Hng Thm

136

Gio trnh in t s

- Ng ra Q0 s thay i trng thi theo cnh xung ca xung kch ck do ng T0 c trng (mc cao). - Ng ra Q1 i trng thi khi c xung kch xung Q0 do Q0 c a thng vo ng T1 - Ng ra Q2 i trng thi khi m n s 4, 8, 12, 0, lc ny th Q0 v Q1 u xung thp; vy ng vo T2 s l And ca hai ng vo ny - Ng ra Q3 o trng thi khi s m l 8 v 0 khi ny Q0, Q1, Q2 u tc dng cnh xung, vy ng vo T3 s l And ca 2 ng vo ny Vy mi FF u phi c u vo T c ni sao cho chng mc cao ch khi no u ra ca cc FF trc n mc cao. T0 = 1 T1 = Q0 T2 = Q1.Q2 T3 = Q0.Q1.Q2 v t y mch c kt ni vi hai cng And c thm vo

Hnh 5-30: Mch m ln ng b mod 16 Tr hon truyn ca mch m s bng tr hon truyn qua mt FF cng vi tr hon truyn qua cc cng and. Vi mch m kho st trn s tng l n = 4, s cng and phi dng thm l n 2 = 2 nhng thi gian cng ch tr hon trn mt cng and thi nn tr hon truyn tng cng l : tD = tD(FF) + tD(and) Do tr hon truyn ca cng and th nh hn nhiu so vi tr hon truyn ca FF nn thi gian ny nh hn so vi thi gian tng ng ca mch m khng ng b. iu ny cn c ch hn khi trong mch c rt nhiu tng FF v mch phi hot ng tn s cao. y l im ni bt ca n so vi mch m khng ng b nhng r rng n s phi c cu to phc tp hn
A.1.2 m ng b ln xung

hnh 5-30 trn l mch m ng b ln, ta c th xy dng mch m ng b xung ging nh cch lm vi mch m khng ng b tc l dng cc u ra o ca FF iu khin cc

GV: Th Hng Thm

137

Gio trnh in t s

u vo T ca tng k tip. Nh vy vi mch m xung mod 16 th u ra Q s c ni ti T1, T2, T3 v b m s m xung t 15, 14, 13, ri v 0 reset tr li 15. By gi thm 1 ng iu khin ch m ging nh bn mch m ln xung khng ng b ta c mch m ln xung ng b. K = 1(up) m ln, K = 0(down) m xung. Mch c xy dng nh hnh sau (lu xung ck tc ng sn dng)

Hnh 5-31: Mch m ng b ln hay xung


A.2. m ng b khng theo h nh phn

thit k mch m mod m bt k t mch m mod 2n (m <= 2n) ta c th dng ng clear xo mch khi m n s m, cch khc l nhn vo gin xung th nghim vic ni cc u vo J, K. y ta s xt n mch m mod 10 hay dng Ngoi xung ck c a vo tt c 4 tng FF th cn phi gii quyt cc ng J, K l khi mch m n s 10 th Q0 = 0 v Q2 = 0 khng i trng thi khi reset v 0 nn FF 0 v FF 2 c kch bnh thng nh ni. Cn vi FF 1, Q1 i trng thi khi Q0 cao ng thi Q1 phi c gi lun mc thp s m th 10, khi ny c th tn dng ang cao cho ti khi reset, vy J1 = K1 = Q0. Sau cng vi FF 3 Q3 s c reset v 0 khi c 3 Q0Q1Q2 u v 0. Vy J3 = K3 = Q0Q1Q2 Kim tra li thy rng mch ng l hot ng m chia 10. Bn c th xem phn thit k mch m ng b sau hiu r cch ni mch, cn y l cu trc mch m t:

Hnh 5-32: Mch m mod 10 ng b GV: Th Hng Thm 138

Gio trnh in t s A.3 m t trc s m

Nhiu b m song song dng IC tch hp c thit k c kh nng np trc s cn m thay v 0 nh ta thng thy. S t trc l bt k trong nhng s c th ra ca mch v mch c th m ln hay m xung 1 cch ng b hay khng ng b t s ny.Vic ny ging nh l np song song ghi dch vy, bng cch tn dng ng Cl v Pr (ng khng ng b c lp vi ck). Cu trc mch vi 3 tng FF c minh ho nh hnh v hot ng np c thc hin nh sau:

Hnh 5-33: Mch m t trc 3 bit Gi s mch ang m hay dng 1 s m no . a sn s m c trng thi cn np vo ng A B C t mt xung mc thp vo u LD (parallel load), xung ny s cho php trng thi logic ABC qua cng Nand a vo 3 tng FF qua 3 ng Pr hay Cl (tu thuc bit mc thp hay cao). Kt qu l Q0 = A, Q1 = B, Q2 = C Khi LD ln cao tr li, lc ny nu c xung nhp Ck th mch s tip tc m t s va np (trc ck v cc ng T khng c tc dng).
A.5 Mt s IC m ng b

Nhm 74LS160/161/162/163 C 4 IC u c cng kiu chn v cc ng vo ra tng t nhau; c xung ck ny cnh xung do trong cu to c thm mch m sau ng ng b; c kh nng np song song; preset ng b; c th ni chng nhiu IC c s mod ln hn nhiu do c - LS160, LS161 l IC m chia 10 cn LS161 v LS163 l m chia 16 - LS160 v LS161 c chn xo Cl khng ng b cn LS161, LS163 c chn xo Cl ng b Nhm 74190, 74191 74LS190 l mch m chia 10 cn 74LS191 l mch m chia 16. Chng c kiu chn ra nh nhau v chc nng cng nh nhau

GV: Th Hng Thm

139

Gio trnh in t s

- Chn EnG (enable gate) l ng vo cho php tc ng thp; chn U/D l ng cho php m ln hay xung (thp) - Chn RC (ripple clock) xung rn s xung thp khi m ht s; c dng cho vic ni tng v xc nh tn s ca xung max/min khi ni ti chn LD (load) ca tng sau. Cch ni tng nh sau : chn RC ca tng trc ni ti chn ck ca tng sau, khi ny tuy mi mch l m ng b nhng ton mch l m bt ng b. Cch khc l chn RC ca tng trc ni ti chn EnG ca tng sau, xung ck dng ng b ti cc tng.
Nhm 74LS192, LS193

LS192 l mch m chia 10 cn LS193 l mch m chia 16 C 2 loi u cu trc chn nh nhau v u c kh nng m ln hay xung Khi m ln xung ck c a vo chn CKU cn khi m xung xung ck c a vo chn CKD Khi m ln ht s chn Carry xung thp, khi m xung ht s chn Borrow xung thp. 2 chn ny dng khi cn ni tng nhiu IC c bit mch c th t trc s m ban u cc chn ABCD v chn LD xung thp cho php np s ban u.
Nhm 74HC/HCT4518 v 74HC/HCT4520

y l 2 IC m ng b h CMOS dng FF D v hot ng cng tng t nh nhng IC k trn nhng v cu to c bn t cc cng logic CMOS nn tn s hot ng thp hn so vi nhng IC cng loi b li tiu tn cng sut thp. 4518 l IC m chia 10 cn 4520 l IC m chia 16. Cu trc chn v c tnh ca chng nh nhau. Chn nhn xung ck v chn cho php E c th chuyn i chc nng cho nhau do mch c th tc ng cnh xung hay cnh ln Mch cng cho php ni tng nhiu IC khi ni Q3 ca tng trc ti ng E ca tng sau.
A.6. B m c mod m bt k

Thit k b m ng b c M = 5. Do M = 5 nn lp c hnh trng thi nh hnh 5-34. T xc nh c s trig cn dng thit k b m (n = 3) v m ho cc trng thi . Ba trig cn m ho cc thi l Q2, Q1 v Q0. Dng bng hm kch 5-17 xc nh cc li vo kch cho cc trig.

Hnh 5-34. hnh trng thi ca b m Mod 5 n Q2 0 Q1 0 Q0 0 Qk2 0 n+1 Qk1 0 Qk0 1 Trig Q2 Trig Q1 Trig Q0 J2 0 K2 X J1 0 K1 X J0 1 K0 X

GV: Th Hng Thm

140

Gio trnh in t s

0 0 0 1

0 1 1 0

1 0 1 0

0 0 1 0

1 1 0 0

0 1 0 0

0 0 1 X

X X X 1

1 X X 0

X 0 1 X

X 1 X 0

1 X 1 X

Bng 5-17. Bng hm kch cho trig Ti thiu ho hm kch ca cc trig, nhn c kt qu: J0 = 2 Q ; K0 = 1; J1 = K1 = Q0; J2 = Q1Q0 ; K2 = 1; Kim tra kh nng t khi ng bng bng 5-18. Nhn vo bng trng thi 5-18, ta thy cc trng thi d sau 1 s xung nhp u quay tr li vng m nn ta ni b m ny t khi ng.

Bng 5-18. Kim tra kh nng t khi ng S mch in hnh 5-32:

Hnh 5-32. B m Mod 5 ng b


B. B m khng ng b. B. 1. B m nh phn

Cc b m ny c s rt n gin vi c im: - Ch dng mt loi trig T hoc JK. Nu dng trig T th li vo T lun c ni vi mc logic '1', nu dng trig JK th J v K c ni vi nhau v ni vi mc '1'.

GV: Th Hng Thm

141

Gio trnh in t s

- u ra ca trig trc c ni vi li vo xung nhp ca trig sau k tip. Khi m tin th ly u ra Q, khi m li th ly u ra Q (vi gi thit xung Clock tch cc ti sn m ). - Tn hiu vo X lun c a ti li vo xung nhp ca trig c trng s nh nht. V d i vi b m nh phn khng ng b M = 2n dng cc trig Q0, Q1 Qn-1 vi Q0 l bit c trng s nh nht, Qn-1 l bit c trng s ln nht, ta c: - Khi m tin: CQo = X; CQ1 = Q0CQn-1 = CQn-2. - Khi m li: CQo = X; CQ1 = 0 Q CQn-1 = Qn 2 C S ca b m nh phn khng ng b 3 bit (M = 8 - m tin) dng trig JK c cho hnh 5-33

Hnh 5-33. B m nh phn khng ng b 3 bit.


B.1.1. Mch m ln

Hnh di y trnh by mt mch m gm 4 FF T mc ni tip. Cc ng vo T (hay J=K) ca c 4 tng FF u trng hay ni ln +Vcc. Xung cn m c a vo ng ck tc ng cnh xung ca tng FF u tin (n c th l mt chui xung vung c chu k khng c nh) Cc ng ra Q ln lt c ni ti ng vo m ck ca tng sau n (nu c). Chng c t tn l Q0 (LSB), Q1, Q2, Q3 (MSB)

Hnh 5-34: B m nh phn 4 bit khng ng b c bn

GV: Th Hng Thm

142

Gio trnh in t s

Hnh 5-35: Gin thi gian xung ca ng vo v cc ng ra b m : Mch m thng hot ng trng thi ban u l 0000 do mt xung tc ng mc thp s c p vo ng Cl ca cc tng FF t trng thi ng ra l 0000. Khi xung m ck tc ng cnh xung u tin th Q0 lt trng thi tc l Q0 = 1. cnh xung th 2 ca xung ck, Q0 li lt trng thi mt ln na, tc l Q0 = 0. Nh vy c sau mi ln tc ng ca ck Q0 li lt trng thi mt ln, sau 2 ln ck tc ng, Q0 lp li trng thi ban u, do nu xung ck c chu k l T v tn s l f th xung ng ra Q0 s c chu k l 2T v tn s cn 1/2f. Nh vy xung m ck c chia i tn s sau 1 tng FF. Do Q0 li tr thnh ng vo xung m ca FF th 2 (FF B) nn tng t tn nh vy fQ1 bng mt na fQ0. Vi 4 tng FF th fQ3 = 1/2fQ2 = 1/4fQ1 = 1/8fQ0 = 1/16f Nh vy vi 4 FF ta c 16 trng thi logic ng ra t 0000(010) xung m u tin n 1111 (1510) xung m th 16, tc l tr thp phn ra bng s xung m vo v v vy y l mch m nh phn 4 bit (c 4 tng FF, tn s c chia i sau mi tng) hay mch m chia 16 Mch c xp vo loi mch m ln v khi s xung m vo tng th s thp phn ra tng ng cng tng. Nhng rng ch c 16 trng thi ra nn xung m ck th 16 mch c t ng xo v 0 m li. Mun c nhiu trng thi ra hn th phi ni thm tng FF. Tng qut vi hot ng nh trn nu c n FF th s to ra 2n trng thi ng ra. S trng thi ng ra hay s lng s m khc nhau cn c gi l Modulus (vit tt : Mod) do , mch m trnh by trn cn gi l mch m mod 16 Bng s tht ca mch m nh phn 4 bit nh sau : S xung M s ra sau khi c Tr thp vo xung vo phn ra Q3 Q2 Q1 Q0

GV: Th Hng Thm

143

Gio trnh in t s

Xo 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17

0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0

0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0

0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0

0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 0 1

Nhn vo gin xung v bng trng thi hot ng ca mch m ny ta thy rng khng phi lc no cc trng thi logic cc ng ra u thay i theo nhp xung m ck u vo nn y ch l mch m khng ng b.
B.1.2. Gii m mch m

phn mch gii m hin th led 7 on, mch m c ng dng to s m cho mch gii m t 0000(0) n 1010(910) Cn y l 1 ng dng n gin khc : yu cu c t ra l phi bit c mch m n mt s no (chng hn 5) ri hin th ra led. S mch in nh sau:

GV: Th Hng Thm

144

Gio trnh in t s

Hnh 5-36: Gii m mch m hin th ra led Vy l ta c mt tr chi in t n gin theo kiu may mn. Cho b m hot ng, ngi chi s nhn mt nt vo mt thi im bt k ngng cp xung m ck, mch m s dng li con s ang m n. Nu s ny lm n led sng th ngi chi s thng. Tt nhin hon chnh ta cn phi c mt mch dao ng cp xung ck cho mch m chy. Mt ng dng n gin khc l dng mch m ny to khong xung vung iu khin ti (chng hn ng c chy hay m van x) trong khong thi gian 3s m t s 7 n s 10 Gii php gii bi ton trn l s dng cng logic to mch gii m s 0111(710) kch ng ra ln cao ri gii m s 1010(1010) kch ng ra xung thp tr li. Hai ng gii m ny c a vo ng Pr v Cl ca mch cht t ng ra ln mc cao khi Pr v xo n khi Cl. Mch thc hin kt ni nh sau :

Hnh 5-37: Gii m mch m iu khin ti Trong NAND1 s gii m s 7 cn Nand2 s gii m cho s 10. s m th 7 ca mch m ng ra Nand1 xung thp preset mch cht t Q ln cao. n khi m ti 10 th ng ra nand2 khi ny xung thp (tt nhin Nand1 tr li cao ri) thc hin xo ng ra Q lm Q xung thp. Khi mch m n 7 tr li th khong xung vung li xut hin. N c tnh chu k. Thi gian tn ti xung vung c quyt nh bi tn s (chu k) mch dao ng cp cho xung ck ca mch m, nu Tck = 1s th T = 3s. Do ta c th thay i f mch dao ng thay i khong thi gian iu khin ti. By gi bn hy thit k b tr chi ch cn dng 3 FF T (to 8 trng thi ra). Khi ngi chi nhn dng mch m s 5 hay s 10 th n led s sng.
B.1.3. Mch m xung

GV: Th Hng Thm

145

Gio trnh in t s

trc l mch m ln ln lt chia 2 tn s, s h 10 ra tng ng l t 0 n 15. Cng c khi cn mch m xung t 15 xung 0 chng hn, cch ni mch s nh th no? Hnh di trnh by cu trc mch m xung nh phn 4 bit. Ng ra Q ln lt ca tng trc s c ni n ng vo ck ca tng sau . Xung m ck vn tc ng mc thp

Hnh 5-38: Mch m xung 4 bit khng ng b Cc ng ra v cch thc xo mch, a xung vo ging nh trc. Ng ra Q ca tng FF u di trng thi i cnh xung ca xung vo cc ng ra khc i trng thi cnh xung ca ng ra Q', tc l cnh ln ca ng ra Q0 ca FF k trc. Dng sng ng vo v cc ng ra cng vi mc logic sau mi xung vo v kt qu s m c trnh by nh hnh di y. rng sau xung ck u tin th mch se m ngay ln s m cao nht l 15 ri dn dn xung 14, cho ti 0 tng cng sau 15 xung ck v ti xung ck th 16 mch s t ng xo v 15 m xung tr li. Hnh di y trnh by c 2 dng sng ca mch m ln v xung bn c th so snh chng thy r hn nguyn l ca s m ln v m xung.

GV: Th Hng Thm

146

Gio trnh in t s

Hnh 5-39: So snh dng sng m ln v m xung


Hy ni dy 4 FF T to ra mch m ln, mch m xung chia 16, c ng ck tc ng mc cao. Hy thay FF T bng FF JK v thit k tng t

Thm mt bc na l cng vi tng y FF ta s thit k mch c th m ln m xung u c. Nhn thy mch m ln hay xung l do ni t ng ra ca tng trc ti ng vo ck ca tng sau do y s phi dng mt cng OR cho 2 ng vo. Vic m ln xung c quyt nh bi mt ng iu khin chn ch ln hay xung. Cu trc ca mch s c thit k nh sau :

Hnh 5-40: Mch m ln hay xung Mun c c hai dng sng m ln v m xung nh hnh ta c th ly ra cng lc t cc ng o v khng o ca cc tng FF ging nh hnh sau :

Hnh 5-41: Mch m ly ra dng sng m ln v m xung


B.1.4. Mch m t dng

Cc mch m trc t ng quay vng (m ln ht 15 ri reset tr li m t u hay m xung n 0 th reset tr li m t 15 xung) nu tip tc cp xung Ck cho mch m. By gi c mt yu cu l mch s phi dng m mt con s no nh trc (chng hn 10). thc hin n ta phi tm cch dng FF u tin. Mt cch m chng ta dng phn tr chi may mn l ngng cp xung ck vo; nu mun mch t ng lm, c th dng cng logic t hp khng ch ng vo T (chung) ca tng u, cc ng vo cng logic s l cc m s m ca s ang m ti m mun dng. Hnh di y trnh by cch thc hin:

GV: Th Hng Thm

147

Gio trnh in t s

Hnh 5-42: Mch m t dng s m 10 Tr li mch m t dng hnh trn : khi m ti mt s nh sn (s 10) mch s t dng, vy ta c th cho mch tip tc chy m tr li bng cch a ng ti chn clear thay v a ti chn J, K.
B.1.5. Mch m xung

trc l mch m ln ln lt chia 2 tn s, s h 10 ra tng ng l t 0 n 15. Cng c khi cn mch m xung t 15 xung 0 chng hn, cch ni mch s nh th no? Hnh di trnh by cu trc mch m xung nh phn 4 bit. Ng ra Q ln lt ca tng trc s c ni n ng vo ck ca tng sau . Xung m ck vn tc ng mc thp

Hnh 5-43: Mch m xung 4 bit khng ng b Cc ng ra v cch thc xo mch, a xung vo ging nh trc. Ng ra Q ca tng FF u i trng thi cnh xung ca xung vo, cc ng ra khc i trng thi cnh xung ca ng ra Q', tc l cnh ln ca ng ra Q0 ca FF k trc. Dng sng ng vo v cc ng ra cng vi mc logic sau mi xung vo v kt qu s m c trnh by nh hnh di y. rng sau xung ck u tin th mch se m ngay ln s m cao nht l 15 ri dn dn xung 14, cho ti 0 tng cng sau 15 xung ck v ti xung ck th 16 mch s t ng xo v 15 m xung tr li. Hnh di y trnh by c 2 dng sng ca mch m ln v xung bn c th so snh chng thy r hn nguyn l ca s m ln v m xung.

GV: Th Hng Thm

148

Gio trnh in t s

Hnh 5-44: So snh dng sng m ln v m xung


Hy ni dy 4 FF T to ra mch m ln, mch m xung chia 16, c ng ck tc ng mc cao. Hy thay FF T bng FF JK v thit k tng t

Thm mt bc na l cng vi tng y FF ta s thit k mch c th m ln m xung u c. Nhn thy mch m ln hay xung l do ni t ng ra Q b ca tng trc ti ng vo ck ca tng sau do y s phi dng mt cng OR cho 2 ng vo. Vic m ln xung c quyt nh bi mt ng iu khin chn ch ln hay xung. Cu trc ca mch s c thit k nh sau :

Hnh 5-45: Mch m ln hay xung Mun c c hai dng sng m ln v m xung nh hnh ta c th ly ra cng lc t cc ng o v khng o ca cc tng FF ging nh hnh sau :

GV: Th Hng Thm

149

Gio trnh in t s

Hnh 5-46: Mch m ly ra dng sng m ln v m xung Hnh mch m mod 10 c ni nh sau :

Hnh 5-48: Mch m mod 10 Cn l xung m ck th 10 khi s m va ln 10 th cc trng thi logic ng ra c a v khng ch ng Cl ngay do c th thy l s 10 khng kp hin ra phi chuyn v 0. Thc t th do thi gian tr hon gia cc cng logic khong vi ns nn vn c s m 10 trong khong thi gian ny, ta ch quan tm ti nh hng ny khi cn i hi mch hot ng vi chnh xc cao nh trong my vi tnh chng hn. nh hng ca tr hon c th hin r hn qua gin xung sau

Hnh 5-49: Tr hon truyn ca mch m khng ng b mod 10

GV: Th Hng Thm

150

Gio trnh in t s

Thc t th cch thit k mch m khng theo h nh phn li dng ng clear nh trn khng c dng do: + Cc ng ra do c ni vi ti khc nhau nh hng n ng a v, ri tr hon truyn qua cc cng logic na s pht sinh xung nhn, cc tng FF s khng c xo ng thi + Hn na ng clear khng cn c t do xo mch lc mong mun. Do vy c mt cch to mch m trn l nghin cu s lin h gia cc trng thi cc ng ra ri th ni chng vi cc ng vo J, K ca tng no cho ti khi tho bng trng thi. Hy xem cch ni nh th no: Trc ht hy nhn vo gin xung ca mch m mod 16. Ti s m th 10 th mch phi reset tr li. - Ng ra Q0 khng thay i g d c c xo hay khng v n theo xung ck - Ng ra Q1 ti phi gi nguyn trng thi trong 2 chu k ca xung ck na do ng J, K phi mc 0 trong khong thi gian ny, ta c th ni t chn Q3 v J1, K1 v lc ny Q3 ang mc 0 (n cng ln 1 sau khi b xo) - Ng ra Q2 ti lc xo vn 0 nn khng cn thay i g tng FF 2 - Ng ra Q3 khi xo phi tr li mc 0 ban u, lc ny Q1 cao, Q2 thp ng thi Q0 ang i xung, do c th ni Q0 ti ng ck ca FF 3 v ni cng and t Q1 v Q2 Kt qu ni mch nh sau:

Hnh 5-50: Mch m mod 10


B.2. Mt s IC thng dng:

C rt nhiu IC m khng ng b c h TTL v CMOS. y ch gii thiu mt s IC hay dng :


74LS293 Cu to gm 4 FF JK vi cc u ra Q0 (LSB), Q1, Q2, Q3(MSB), Q0 ring bit cho php mch hot ng linh hot. Cc u vo J, K u c ni mc cao bn trong.

Mch c ti 2 u vo xung nhp CP (clock pulse) cng chnh l xung ck m ta bit) cho tng 0 v tng 1 d thit k nhiu ng dng. Hai ng vo khng ng b MR1 v MR2 (master reset) nu cng tc ng mc cao th s hot ng nh chn clear xo mch. S logic v s khi ca IC nh sau : GV: Th Hng Thm 151

Gio trnh in t s

Hnh 5-51: K hiu khi v chn ra ca 74LS293

Hnh 5-52: Cu trc mch ca 74LS293 74LS293 l IC m khng ng b nhiu kiu bit ra tu cch mc dy. m mod 16 : Xung nhp vo s vo chn CP0; chn CP1 ni ti ng ra Q0; MR1, MR2 ni chung xung mass mch xo t ng

Hnh 5-553: 74LS293 m mod 16 m mod 10 Xung nhp vn vo chn CP0; chn CP1 ni ti Q0 cho s trng thi ln n 10, khi m n 10 Q1, Q3 ln mc cao nn c ni v MR1 v MR2 xo mch

GV: Th Hng Thm

152

Gio trnh in t s

Hnh 5-54: 74LS293 m mod 10 m mod 14 CP0, CP1 vn ni dy nh c khi m ti 14 th Q3Q2Q1Q0 l 1110 do phi ni Q3 ti MR1, Q2, Q1 ti MR2 qua cng nand.

Hnh 5-55: 74LS293 m mod 14 74LS90, 74LS92, 74LS93 3 IC trn cng cc lot ca n (LS, HC, ) cng rt hay dng. S mch v s chn nh hnh. Cng ging nh 74LS293 tng FF u kh c lp dng linh hot hn, mun m y s trng thi ca IC th cn phi ni ng ra Q0 ti ng vo B; hai ng reset thng ni AND xo mch m khi a ln cao. Khi m ln th cn phi cho 1 trong 2 ng ny ln cao trong chc lt (khong vi mi ns) ri a xung thp tr li. Ring 74LS90 c thm 2 ng reset 9 (R9(0) v R9(1)). Bnh thng mt trong hai hoc c 2 ng ny c gi thp, mun ng ra c s m l 9 th phi a c 2 ng ln cao.Nh vy ta c th dng 74LS93 lm mch m mod 10, mod 12 hay mod 16 ging nh 74LS293 trn. Cch mc dy bn c th d dng lm c.

Hnh 5-56a: Chn ra IC m 74LS90 74LS92 74LS93

GV: Th Hng Thm

153

Gio trnh in t s

Hnh 5-56b: k hiu khi ca 74LS90 74LS92 74LS93 Cn khi cn s mod ln ta c th dng 4020 (mod 16384 tc 14 tng FF) hay 4040 (mod 4096 tc 12 tng FF). Do dng nhiu tng FF v li thuc loi CMOS c nn tn s hot ng kh gii hn ch khong 2MHz.

C. MCH M VNG
C.1 m vng

Mch m vng c cu trc c bn l thanh ghi dch vi ng ra tng sau cng c a v ng vo tng u. Hnh di l mch m vng 4 bit dng FF D.

Hnh 5-57: Mch m vng 4 bit Nhng rng, khi mi bt ngun cho mch m chy, ta khng bit bit 1 nm ng ra ca tng no. Do , cn phi xc lp d liu dch chuyn ban u cho b m. Ta c th dng ng Pr v Cl lm, nh l tng dng t s m cho cc mch m khc ni trc, gi s trng thi ban u l 1000 vy ta c th reset tng FF 3 t Q3 mc 1, cc tng khc th xo bng clear. C th dng mch to xung np d liu ban u nh sau :

Hnh 5-58: Mch np s ban u cho mch m vng

GV: Th Hng Thm

154

Gio trnh in t s

Gi s ban u ch cho D0 = 1, cc ng vo tng FF khc l 0. By gi cp xung ck ng b khi ck ln cao, d liu 1000 c dch sang phi 1 tng do Q0 = 1, cc ng ra khc l 0. Tip tc cho ck xung thp ln na, Q1 s ln 1, cc ng ra khc l 0. Nh vy sau 4 nhp xung ck th Q3 ln 1 v a v lm D0 = 1. mch thc hin xong 1 chu trnh. Trng thi cc ng ra ca mch nh hnh sau:

Hnh 5-59: Dng sng minh ho mch m vng Hnh trn cho thy rng, dng sng cc ng ra l sng vung, dch vng quanh, chu k nh nhau nhng lch nhau ng 1 chu k xung vo Ck. S m ra l 1, 2, 4, 8 khng phi l s xung vo (nh bng trng thi m pha di) Vi 4 s m ra t 4 tng FF ta c mch m mod 4. Ch 4 trng thi ra trong tng s 16 trng thi c th, iu ny lm gim hiu qu s dng ca mch m vng. Nhng n cng c u im ni bt so vi mch m chia h 2 l khng cn mch gii m trong cu trc mch (v thng trong trng thi ca s m ra ch c 1 bit 1) .
C.2 m Johnson (m vng xon)

Hnh 5-60: Mch m vng xon

GV: Th Hng Thm

155

Gio trnh in t s

Mch m Johnson c mt cht thay i so vi m vng ch ng ra o tng cui c a v ng vo tng u. Hot ng ca mch cng gii thch tng t. Vi n tng FF th m vng xon cho ra 2n s m do n cn c coi l mch m mod 2n (m nh phn cho php m vi chu k m n 2n). Nh vy trn l mch m vng xon 4 bit. Bng bn cho thy 8 trng thi ng ra v hnh di s minh ho cho s m. Ta c th np trng thi ban u cho mch l 1000 bng cch s dng ng Pr v Cl ging nh trn. Dng sng cc ng ra cng ging nh trn, hn th na, n cn i xng gia mc thp vi mc cao trong tng chu k

Hnh 5-61: Dng sng mch m vng xon D.NG DNG MCH M Mch m chia 2 hay khng chia 2 ( m chia 10, m chia 6, m chia cho 12), khng ng b hay ng b, c ng dng rng ri nhiu lnh vc. cc ng dng nh vy mch m c dng kt hp vi nhiu loi mch khc nh dao ng, so snh , gii m,.. Phn ny ch nu mt s loi mch ng dng chnh ca mch m v c n gin, chng s c trnh by dng s khi. Hn na, ngy nay c nhiu IC tch hp quy m ln hay rt ln (LSI, VLSI) kt hp nhiu chc nng khin mch tr nn n gin hn, nhng y ch dng cc IC ri v d trnh by nguyn l.
D.1 m nhiu hng hay chia tn s lin tip

Khi m s lng hin th ra s thp phn thng phi dng nhiu mch m chia 10, chng hn 7490. Mch m u tin ni c xung m vo l hng n v, mch m tip theo l hng chc, tip theo na l hng trm. Ta cng c th ni mch m c nhiu s, s c gi tr thp nht l LSD v s c gi tr cao nht l MSD. V d m t 0 ln n 999 th cn 3 mch m mc ni tip. Vi s m ti a l 999 th tu theo du thp phn nm u m c cc tr s 999, 99.9, 9.99, .. S m cc mch m c a vo khi hin th gm mch gii m v cc n hin th (xem chng 9). mch hnh khi mch m 7490 th 1 m y tc t n s m 1001 = 910, th nu c thm mt

GV: Th Hng Thm

156

Gio trnh in t s

xung vo na mch m s t ng reset v 0 tc ng ra QD ca n s t 1 xung 0 to cnh xung n ng vo CLKB ca mch m 7490 th lm ng ra ca mch m ny l 0001 = 1. S m lc by gi ca 2 mch m l 1010. Tip tc nh th mch m ln 11 19 ri 20 , 21 .29, 30, 31

Hnh 5-62: Mch m 2 hng Cc chn IC m, s ni mch v cc xung vo phi c thc hin ng b mch mi hot ng. Ngoi ra, cn phi sp xp ng xo xo mch khi cn. hnh v l mt cch nh vy : khi mi m in t cha np in nn ng xo cao xo cc mch 5m, sau thi gian ngn (vi us), t np gn in khin ng xo xung thp cho php cc mch m m ln, mi khi cn xo mch th n nt a ng xo ln cao trong chc lt. Mt khc mch m c bn l mch chia tn nn trong nhiu ng dng mch 5m c dng nh mch 5chia tn. V d vi hai mch m thp gii mc ni tip nh hnh trn th tn s ng ra QD ca 7490 th 2 l 1/100 tn s ca xung vo. Dng cc ng ra khc thay v QD hay dng cc IC m khng phi thp giai (nh 7493, 7492) ta s c s chia tn mong mun.
D.2 Mch m s kin

Cc IC m thng c coi l trung tm ca cc mch m bin c hay s kin chng hn m s xe vo bi, s ngi i qua ca, s sn phm i trn bng truyn c ng gi. Hnh di minh ho cho mt mch m nh vy Ta s phi cn mch pht hin hay cm bin chuyn i nhng thay i ca cc hin tng trn thnh xung in kch cho mch m. Nu cn, c th thm mch lc nhiu, khuch i v chuyn i ph hp vi ng vo IC m Khi nhn c xung kch vo chn ck, IC m s m ln ,tu theo gii hn s xung vo m ta c th ni chng thm nhiu IC m cho s m ln hn. Mch gii m v hin th nh bit s cho php bit c s ngi i vo cng Gi s yu cu ra l ch cho php 99 ngi vo, nh vy cng cn thm 1 mch bo trn khi s ngi vt qu s m ca mch (mch s reset) th led s sng v nh hnh v ta c th ly mc tn GV: Th Hng Thm 157

Gio trnh in t s

hiu trn ny iu khin m ngun cho 1ng c ng ca li. y thit k ti s m l 99 bn cng c th thit k s m tu , khi ny phi dng cc mch m ph hp, cc cng logic thm vo cho php bo trn mt s tu (thit k t hp ng ra)

Hnh 5-63: Hnh minh ho mch m s kin D.3 Mch m tn My m s kin (m tch lu) trn c th c thm mt s mch in tr thnh my m tn s (frequency counter). My m tn s y kh phc tp. y ch trnh by nguyn l ca my m tn s n gin. Hnh di l s khi m phn trung tm gn ging nh my m s kin

GV: Th Hng Thm

158

Gio trnh in t s

Hnh 5-64: Cc khi mch m tn Trc tin l mch dao ng, v d dao ng cng logic m ta c bit, v chia tn s xung c tn hiu TTL i xng tn s 0,5Hz. y l tn hiu iu khin c chu k l 2s vi thi gian cao l 1w v thp l 1s. u chu k tn hiu xung m cng(t mch dao ng chia tn) ln cao m cng And cho xung vo khi m (sau khi c x l nh khuch i, lc, nn dng mch giao tip) v mch m m ln sau ng 1s xung m cng xung thp ngn khng cho xung vo khi m. ng thi khi xung m cng va xung thp mch to xung cht s to xung hng dng hp cht s m ca khi m vo khi cht (khi cht c bn l cc FF D), y s m c lu gi cho n khi s m mi c cht vo. S m cht c gii m v hin th. V cng And ch m ng 1s nn nu c n xung vo th s m l n v tn s l n Hz. Do mch hnh trn cho php o tn s t 0 Hz ln n 9999 Hz. Trn tn s 9999 Hz (t s xung vo khi m trong 1s ln hn 999 xung) n bo trn s sng (hoc mt cch bo trn no khc v d nh nhy ton mt s hay hin ln s 1 hng cao nht tc MSD). Khi xung m cng t cao xung thp v s m c cht vo nh ni trn th cnh xung ca xung m cng qua mch dao ng a hi n n cho xung ra c cnh xung tr hon mt thi gian ngn so vi cnh xung ca xung vo, cnh cung ca xung ra n mch to xung reset pht ra xung reset thch hp cho cc mch m v mch bo trn. Sau xung m cng li ln cao v xung vo c m trong ng 1s . , sau ng 1 s xung m cng xung thp v s m ln 2 c cht vo. Trong sut thi gian xung thp v cao tr li, tng cng 2s, my vn hin th s m ln 1. Khi s m ln 2 c cht vo my s hin th s m ln 2 m c th ging hay khc trc. Mch tip tc hot ng theo chu k trn. D.4 ng h s : Phn ny trnh by v ng h s dng linh kin ri. Thc ra gi y mch dng ny khng cn c s dng na v cng ngh tch hp cho php to ra cc ng h s nh gn tn in, nhiu chc nng hay c th dng vi iu khin vi x l lp trnh cho ng h s. Tuy nhin ng h s GV: Th Hng Thm 159

Gio trnh in t s

dng ny cho php ngi hc hiu c nguyn l v bit c ng dng thc t ca mch m nn vn c nu ra y. S khi ca mch nh hnh di y :

Hnh 5-65: Khi ng h s (kiu c) Ngun dao ng tn s 1 Hz cung cp cho ng kch ck c ly t mch dao ng thch anh kt hp cng logic (nu mun chnh xc), ly t dao ng 555 (nu mun tng i chnh xc) hay ly t li in xoay chiu 220V/50Hz chia p, lc, nn dng v chia tn cng c. Tn s 1Hz kch cho mch m 7490 cho php hin th hng giy led 7 on cng lc chia 10 ng ra QD cung cp xung cho mch m sau, tc l tn s chia cn 0,1Hz Tng t tn s 0,1Hz kch cho 7492 m hin th hng chc giy led 7 on, ng thi chia 6 ng ra to xung kch cho hng pht C vy cch chia v hin th trn cho php chia tn s ti 1/gi v hin th ti hng gi. hin th hng chc gi (ch l 0 hay 1) th cn dng 1 FF JK l (m mod 2 dng 1 na IC 74LS73) : khi QD ca 7490 k trc t cao xung thp (sau khi m 9) th s to xung kch cho FF JK ny lm n lt trng thi ng ra, tc l Q ln cao lm sng s 1. Khi ng ra Q0, Q1 ca 7490 v ng ra Q ca na 74LS73 u (FF JK u) u ln 1 th khi ny ng h ch bo 12 gi 59 pht 69 giy cng 1 giy v ng ra ca cng Nand xung thp xo FF v xo mch m 7490 k . Hai hin th gn vi 2 mch ny quay v 0. hin th ch AM, FM ta dng FF JK th 2 ca 74LS73: khi Q ca FF JK u xung thp th Q ca FF JK th 2 ln cao, mc ny cho php hin th ch AM, cn khi Q ca FF JK u xung thp mt ln na th khi ny ca FF JK th 2 s ln cao tc l ch PM c thy cn ch AM mt. Hin th AM/PM n gin ch l cp mc p cao phn cc cho led hnh AM/PM khng phi dng mch gii m nh cc hng trc.
5.8.2. B ghi dch.

B ghi dch c kh nng ghi gi v dch thng tin.


5.8.2.1. Cu to v phn loi a) Cu to:

GV: Th Hng Thm

160

Gio trnh in t s

B ghi dch gm mt dy cc phn t n bit mc lin tip v ng trn cng mt chip. Cc trig s dng trong b ghi dch thng l trig D hoc cc loi trig khc mc theo kiu D. ghi n bit thng tin, ngi ta s dng n trig, u ra ca trig ny mc ti u vo ca trig k tip. B ghi dch ghi c n bit thng tin c gi l b ghi dch n bit. Hnh 5- 37 l s ca mt b ghi dch 4 bit dng trig D Thng tin c np vo b ghi dch tng bit mt v c ng b vi xung nhp C.

Hnh 5-66. B ghi dch 4 bit dch phi Thanh ghi, trc ht c xo (p xung CLEAR) t cc ng ra v 0. D liu cn dch chuyn c a vo ng D ca tng FF u tin (FF0). mi xung kch ln ca ng h ck, s c 1 bit c dch chuyn t tri sang phi, ni tip t tng ny qua tng khc v a ra ng Q ca tng sau cng (FF3). Gi s d liu a vo l 1001, sau 4 xung ck th ta ly ra bit LSB, sau 7 xung ck ta ly ra bit MSB.

Nu tip tc c xung ck v khng a thm d liu vo th ng ra ch cn l 0 (cc FF reset : t li v 0 ht. Do ta phi hng hay ghim d liu li. Mt cch lm l s dng 2 cng AND, 1 cng OR v 1 cng NOT nh hnh di y.

Hnh 5-67: Cho php cht d liu trc khi dch ra ngoi D liu c a vo thanh ghi khi ng iu khin R/W control mc cao (Write). D liu ch c a ra ngoi khi ng iu khin mc thp (Read).

b) Phn loi:

- Phn theo cch a tn hiu vo v ly tn hiu ra:


Vo ni tip, ra song song (SIPO): thng tin c a vo thanh ghi dch tun t tng bit mt, s liu c a ra ng thi tc l tt c n trig ca thanh ghi c c cng mt lc.

GV: Th Hng Thm

161

Gio trnh in t s Vo song song, ra song song (PIPO): thng tin c a vo v ly ra ng thi n trig. Vo ni tip, ra ni tip (SISO): thng tin c a vo v ly ra tun t tng bit mt. Vo song song, ra ni tip (PISO): thng tin c a vo ng thi c n trig, ly ra tun t tng bit mt di s iu khin ca xung nhp.

Hnh 5-68: Mch ghi dch vo ni tip ra song song.\

Hnh 5-69: Mch ghi dch vo song song ra song song

Hnh 5-70: Mch ghi dch cho php dch chuyn c 2 chiu

- Phn theo hng dch:


Dch phi, dch tri, dch hai hng, dch vng

GV: Th Hng Thm

162

Gio trnh in t s

- Phn theo u vo:


u vo n: mi trig trong b ghi dch ch s dng mt u vo iu khin, v d nh trig D hay cc trig khc mc theo kiu D. u vo i: cc trig trong b ghi dch s dng c hai uvo iu khin , v d hai li vo iu khin ca trig JK hay trig RS.

- Phn theo u ra:


u ra n: mi trig trong b ghi dch ch c mt u ra Qi (hay Qi ) c a ra chn ca vi mch. u ra i: c hai u ra ca trig Qi v Qi u c a ra chn ca vi mch. c) ng dng ca b ghi dch

B ghi dch c s dng rng ri nh d liu, chuyn d liu t song song thnh ni tip v ngc li. B ghi dch l thnh phn khng th thiu c trong CPU ca cc h vi x l, trong cc cng vo/ra c kh nng lp trnh. B ghi dch cn c dng thit k b m, to dy tn hiu nh phn tun hon c1. Lu tr v dch chuyn d liu y l ng dng c bn v ph bin nht ca chng. Ghi dch n bit s cho php lu tr c n bit d liu mt thi gian m chng no mch cn c cp in. Hay ni cch khc d liu khi dch chuyn c tr hon mt khong thi gian, n tu thuc vo : - S bit c th ghi dch (s tng FF cu to nn ghi dch) - Tn s xung ng h c2. To k t hay to dng sng iu khin Ta c th np vo ghi dch, theo cch np ni tip hay song song, mt m nh phn ca mt ch no (A, B, ...) hay mt dng sng no . Sau nu ta ni ng ra ni tip ca ghi dch vng tr li ng vo ni tip th khi c xung ck cc bit s dch chuyn vng quanh theo tc ca ng h. Cch ny c th iu khin sng tt ca cc n (sp xp trn vng trn hay cch no khc) Nh m phng sau l dng sng tt ca n led. Vi ti cng sut th cn mch giao tip cng sut nh thm trans, r le, SCR,... ni chng 1 cng s c dng. Cng c th to ra dng sng tn hiu tun hon cho mc ch th mch bng cch ny. Ta c th thay i dng sng bng cch thay i m s nh phn np cho ghi dch, v thay i tn s xung kch ck c cp t mch dao ng ngoi t 0 n 200MHz tu loi mch ghi dch.

GV: Th Hng Thm

163

Gio trnh in t s

Hnh 5-71: To dng sng iu khin bi ghi dch c3. Chuyn i d liu ni tip sang song song v ngc li Cc my tnh hay cc b vi x l khi giao tip vi nhau hay vi cc thit b ngoi thng trao i d liu dng ni tip khi gia chng c mt khong cch kh xa. Ngoi cch dng cc b dn knh tch knh 2 u truyn m ta ni chng 2 th ghi dch cng c th c dng. Cc ghi dch chuyn song song sang ni tip s thay th cho mch dn knh v cc ghi dch chuyn ni tip sang song song s thay th cho mch tch knh. Bn cnh ghi dch, cng cn phi c cc mch khc ng b, chng nhiu, r sai nhm thc hin qu trnh truyn ni tip hiu qu.

Hnh 5-72: Truyn d liu ni tip c4. Bus truyn d liu By gi liu vi 8 ng d liu song song va nhn c t tch knh (cn gi l 1 byte), ta c th dng chung cho nhiu mch c khng? S d c yu cu l v trong my vi tnh c rt nhiu mch lin kt vi nhau bi cc ng d liu a ch gm nhiu bit d liu 8, 16, 32 m ta bit n n vi ci tn l bus. Vy bus chnh l cc ng d liu dng chung cho nhiu mch (chng hn bus gia cc vi x l, cc chp nh bn dn, cc b chuyn i tng t v s, Ch c mt ng bus m li dng chung cho nhiu mch, do trnh tranh chp gia cc mch th cn phi c mt b phn iu khin quyt nh cho php mch no c thng vi bus, cc mch khc b ct khi bus. Vy y thanh ghi hay cc b m 3 trng thi c dng Hnh di minh ho cho ng bus 8 bit ni gia vi x l vi b m 8 bit, bn phm, v b 8 nt nhn GV: Th Hng Thm 164

Gio trnh in t s

Hnh 5-73: Bus d liu. Gi s rng c thit b u cn giao tip vi vi x l, nhng ch c mt ng truyn nu tt c ng lot a ln th c th b nh hng ln nhau gia cc d liu, v thng tin nhn c l khng chnh xc. Do y vi x l s quyt nh: chng hn n t ng OE1 cho php b m cho mch m a d liu ln bus cn chn OE2 v OE3 ngng lm d liu t bn phm v nt nhn b ngt (ch) tc ng ra cc b m hay thanh ghi 3 trng thi trng thi tng tr cao. Tng t khi vi x l cn giao tip vi cc mch khc. Vi tc x l hng trm hng ngn MHz th vic d liu phi ch l khng ng k do gia cc thit b giao tip vi nhau rt nhanh v dng nh ng thi.

GV: Th Hng Thm

165

Gio trnh in t s

* Mt s IC ghi dch Nhn thy rng cc ghi dch m t trn u dng cc FF ri, ri phi thm nhiu cng logic ph to cc loi SR khc nhau. Trong thc t ghi dch c tch hp sn cc FF v ni sn nhiu ng mch bn trong; ngi s dng ch cn phi lm mt s ng ni bn ngoi iu khin cc ng cho php thi. Cc SR cng c tch hp sn cc chc nng nh va c th dch tri dch phi va vo ni tip va np song song. y l mt s ghi dch hay c dng : Lit k 7494 7495/LS95 7495/LS96 74164/LS164 74165/LS765 74166/LS166 74194/LS194 74195/LS195 74295/LS295 74395/LS295 74LS671/672 74LS673/674 : 4bit vo song song, ni tip; ra ni tip : 4 bit, vo song song/ni tip; ra song song; dch chuyn tri phi : 5 bit, vo ni tip/song song; ra song song ni tip : 8 bit vo song song ra ni tip : 8 bit, vo song song/ni tip; ra ni tip b tc : 8 bit; vo song song/ni tip; ra ni tip; c th np ng b : 4 bit vo song song/ni tip; ra song song; np ng b dch chuyn tri phi : 4 bit, vo song/ni tip; ra song song; tng u vo JK : nh 74194/LS194 nhng ra 3 trng thi : 4 bit vo song song; ra song song 3 trng thi : 4 bit c thm cht : 16 bit

5.8.2.2. Hot ng c bn ca b ghi dch

Trong phn ny ta gii thiu b ghi dch 4 bit np vo ni tip hoc song song, ra ni tip v song song, dch phi. S b ghi dch ny c trnh by trn hnh 5- 37. B ghi dch ny c th np thng tin vo ni tip hoc song song. u ra ni tip c ly ra trig cui cng, u ra song song c ly ra ng thi trn c 4 trig. Vic np thng tin vo song song c thc hin bi mt trong hai u vo Preset 1 v Preset 2 (y l 2 li vo ph). Trc khi lm vic cn phi xo tt c cc trig v trng thi '0' nh li vo Clear. Thng tin trong b ghi dch ny c dch phi.
TM TT

Khc vi mch logic t hp, mch logic tun t c tn hiu u ra ph thuc khng nhng tn hiu u vo thi im xt m c vo trng thi mch in sn c thi im . y l c im chc nng logic ca mch tun t. nh trng thi mch in, mch tun t phi c phn t nh - l cc trig. 1- Tnh cht c bn ca Trig Trig l linh kin logic c bn ca mch s. Trig c hai trng thi n nh, di tc dng ca tn hiu bn ngoi c th chuyn i t trng thi n nh ny sang trng thi n nh kia, nu khng c tc dng tn hiu bn ngoi th n duy tr mi trng thi n nh vn c. V th, trig c th c dng lm phn t nh ca s nh phn.

GV: Th Hng Thm

166

Gio trnh in t s

2- Quan h gia chc nng logic v hnh thc cu trc ca trig Chc nng logic v hnh thc cu trc ca trig l hai khi nim khc nhau. Chc nng logic l quan h gia trng thi tip theo ca u ra vi trng thi hin ti ca u ra v cc tn hiu u vo. Do chc nng logic khc nhau m trig c phn thnh cc loi RS, D, T, JK. Cn do hnh thc cu trc khc nhau m trig li c phn thnh loi trig thng v loi trig chnh ph. Mt trig c chc nng logic xc nh c th thc hin bng cc hnh thc cu trc khc nhau. V d, cc trig cu trc loi chnh ph v loi thng u c th thc hin chc nng ca mt trig khc. Ngha l cng mt cu trc c th m trch nhng chc nng khc nhau. 3- Mch tun t c th c rt nhiu chng loi. Chng ny ch gii thiu mt s loi mch tun t in hnh: b m, b ghi dchng thi vi vic nm vng cu trc, nguyn l cng tc v c im ca cc mch tun t , chng ta cng phi nm vng c c im chung ca mch tun t v phng php chung khi phn tch v thit k mch tun t.

GV: Th Hng Thm

167

Gio trnh in t s CHNG 6

MCH PHT XUNG V TO DNG XUNG


Hu ht cc h thng k thut s u yu cu mt vi loi dng sng nh thi, v d mt ngun xung ca b dao ng cn thit cho tt c cc h thng tun t nh thi. Trong cc h thng k thut s, mt dng sng xung vung thng c s dng nht. S to ra cc dng sng xung vung c gi l b a hi. C ba loi b a hi:
B dao ng a hi (chy t do). B a hi n n (mt nhp). B a hi hai trng thi n nh (trig).

Mt b dao ng a hi ch l mt b dao ng to ra dng xung. N c hai trng thi chun m khng yu cu s kch hot t bn ngoi. B ny thng c dng lm xung iu khin cho cc mch tun t. Mt b a hi n n ch c mt trng thi n nh, tc l trong iu kin trng thi n nh th u ra ca n c nh. u ra ny trng thi LOW hoc trng thi HIGH. Mch ny cn mt xung kch khi t bn ngoi cho mch chuyn sang trng thi khc. Mch ny vn gi nguyn trng thi c trong mt khong thi gian, khong thi gian ny ph thuc vo cc thnh phn c dng trong mch. Trng thi ca mch ny c xem l trng thi n nh bi v n phc hi tr v trng thi n nh m khng cn bt k xung kch hot no t bn ngoi. rng ca xung kch khi rt nh, rng ca xung u ra ch ph thuc vo khong thi gian m mch gi li trng thi n nh. Mch ny c gi l mch mt nhp (one-shot) bi v mt xung kch khi ch to c mt xung nhng rng xung li khc. Mch ny rt hu dng bi v n c th to ra mt xung tng i di (hng chc mili giy) t mt xung hp, do n cn c gi l b gim xung (pulse stretcher). V d, mt b vi x l c th pht tn hiu cho mt thit b bn ngoi in mt ni dung no bng cch truyn qua mt xung. Thit b u ra ni chung c tc chm hn b vi x l, do n yu cu mt xung tn hiu trong mt khong thi gian lu hn. iu ny t c bng mt mch giao tip c cha b a hi n n. Mt mch a hi trong c hai trng thi u n nh th c gi l mch a hi hai trng thi n nh hay trig. Mch ny thc hin vic chuyn tip t mt trng thi n nh ny sang mt trng thi n nh khc ch lc xung kch khi c p vo. Mch ny thng c dng lm cc thnh phn trong b nh trong cc h thng k thut s v c tho lun chng 5. Chng ny tp trung vo s , nguyn tc hot ng, ng dng ca cc mch dao ng a hi, mch dao ng a hi i, trig Schmitt da trn cc cng TTL, CMOS v IC nh thi 555. Sau chng ny c gi c th t thit k cc mch dao ng theo cc yu cu c bn cho cc ng dng khc nhau.
6.1. MCH PHT XUNG 6.1.1. Mch dao ng a hi c bn cng NAND TTL

GV: Th Hng Thm

168

Gio trnh in t s

Cng NAND khi lm vic trong vng chuyn tip c th khuch i mnh tn hiu u vo. Nu 2 cng NAND c ghp in dung thnh mch vng nh hnh 6-1 ta c b dao ng a hi.VK l u vo iu khin, khi mc cao mch pht xung, v khi mc thp mch ngng pht.

Hnh 6-1. B dao ng a hi cu trc bng cng NAND

Nu cc cng I v II thit lp im cng tc tnh trong vng chuyn tip v VK = 1, th mch s pht xung khi c ni ngun. Nguyn tc lm vic ca mch nh sau: Gi s do tc ng ca nhiu lm cho Vi1 tng mt cht, lp tc xut hin qu trnh phn hi dng sau:

Khi , cng I nhanh chng tr thnh thng bo ho, cng II nhanh chng ngt, mch bc vo trng thi tm n nh. Lc ny, C1 np in v C2 phng in theo mch n gin ho c th hin trong hnh 6-2. C1 np n khi Vi2 tng n ngng thng VT, trong mch xut hin qu trnh phn hi dng nh sau:

Kt qu qu trnh ny l: cng I nhanh chng ngt cn cng II thng bo ho, mch in bc vo trang thi tm n nh mi. Lc ny C2 np in cn C1 phng cho n khi Vi1 bng ngng thng VT lm xut hin qu trnh phn hi dng a mch v trng thi n nh ban u. Mch khng ngng dao ng, khi b qua in tr u ra ca cc cng NAND, da vo hnh 6-2 gin xung ca mch c th hin trn hnh 6-3.

Hnh 6-2. Mch vng np phng in ca t C1, C2

GV: Th Hng Thm

169

Gio trnh in t s

Hnh 6-3. Dng sng gn ng ca in p ti cc im trn mch b dao ng a hi.

V thi gian np in nhanh hn thi gian phng, nn thi gian duy tr trng thi n nh tm thi ph thuc vo thi gian np in ca hai tu in C1 v C2. T hnh 6-2 ta c thi gian np in ca tu C1 l

1 = (Rf2 // R1) C1,

thi gian Vi2 np in n VT l:

Nu Rf1=Rf2=Rf, C1=C2=C, VOH=3 V, VOL=0,35 V, VT = 1,4 V th ta c: T 2(R f // R1 ).C T l chu k ca tn hiu a hi li ra.
6.1.2. Mch dao ng a hi vng RC

Hnh 6-4. B dao ng vng v dng sng

GV: Th Hng Thm

170

Gio trnh in t s

B dao ng vng c cu trc gm 3 cng NAND mc ni tip nh hnh 6-4. Phn hi dng t Vo n Vi1 lm cho mch ny khng c trng thi n nh. Tn s ca tn hiu li ra ph thuc vo thi gian tr ca cng NAND, v khng th iu chnh c tn s ny. Tn s ca mch pht s iu chnh c khi mt mch tr RC c mc thm vo mch nh hnh 6-5. Tn s dao ng ca mch iu chnh c thng qua gi tr ca t in C v in tr R.

Hnh 6-5. B dao ng a hi c mch RC 6.1.3. Mch dao ng a hi thch anh

c cc tn hiu ng h c tn s chnh xc v c n nh cao, cc mch a hi trnh by trn y khng p ng c. Tinh th thch anh thng c s dng trong cc trng hp ny. Thch anh c tnh n nh tn s tt, h s phm cht rt cao dn n tnh chn lc tn s rt cao. Hnh 6-6 l mt mch dao ng a hi in hnh s dng tinh th thch anh. Tn s ca mch dao ng ch ph thuc vo tinh th thch anh m khng ph thuc vo gi tr cc t in v in tr trong mch.

Hnh 6-6. Mch dao ng a hi thch anh 6.1.4. Mch dao ng a hi CMOS

Hnh 6-7a l mch dao ng a hi c bn s dng hai cng NOR CMOS v cc linh kin nh thi tr v t. Gin xung ca mch c th hin trn hnh 6-7b. Chu k dao ng ca mch c tnh gn ng nh sau:

Hnh 6-7. B dao ng a hi dng cng NOR CMOS v gin xung

GV: Th Hng Thm

171

Gio trnh in t s
ED ED T = T1 + T2 = RC.ln E V + V T T D

Nu gi thit VT = ED/2 th T1 = T2, khi T = RCln4 1,4RC.


6.2. TRIG SCHMIT

Hnh 6-8. S nguyn l ca trig Schmit

Hnh 6-8 l s nguyn l ca trig schmitt, hay cn c gi l b o pha trig schmit. N gm 3 phn: mch u vo, mch schmit v tng cng sut li ra. Nguyn tc lm vic ca mch nh sau: Nu VB1 mc thp th T1 ngt, T2 thng bo ho v ngc li nu VB1 mc cao th T1 thng bo ho, T2 ngt. Khi VB1 tng t mc thp ln mc cao n tr s VBE1 = VB1 - ILR3 = 0,5 V th T1 bt u chuyn t trng thi ngt vo trng thi khuch i. Do VB1 tip tc tng nn VCE1 = VBE2 gim xung. Sau khi T2 ri khi trng thi bo ho m VB1 tip tc tng th xy ra qu trnh phn hi dng sau:

Nh phn hi dng mch in nhanh chng chuyn sang trng thi T1 thng bo ho, T2 ngt. Nu VB1 sau khi tng n cc i th bt u gim; khi VB1 gim n mc lm T1 ra khi vng bo ho, T2 ra khi vng ngt th mch in li xy ra qu trnh phn hi dng sau:

Kt qu mch in nhanh chng lt sang trng thi T1 ngt, T2 thng bo ho. Chng ta gi gi tr in p u vo VI trong qu trnh tng ln ca n t n ngng lm lt mch schmit u ra t mc cao xung mc thp l ngng trn VT+ v gi tr ngc li l ngng di ca trig schmit VT-(hnh 6- 9). Hiu in p tng ng vi ngng trn v ngng di c gi l chnh lch in p chuyn mch
V = VT+ - VT-.

GV: Th Hng Thm

172

Gio trnh in t s

Hnh 6-9. Dng sng u vo VI v u ra VO ca trig schmit

Trig schmit thc cht l mt b so snh hai ngng nn n c dng ng dng khc nhau nh: Cc mch dao ng, cc mch so snh, lc nhiu v.v..
6.3. MCH A HI I

Mch a hi i c mt trng thi n nh v mt trng thi tm n nh. Khi c tc dng ca xung ngoi, mch c th chuyn i t trng thi n nh sang trng thi tm n nh. Sau khi duy tr mt thi gian, mch s t ng quay li trng thi n nh. Thi gian tm n nh ph thuc vo cc thng s ca mch m khng ph thuc vo xung kch. Mch a hi c ng dng trong cc mch nh thi, to dng xung, tr v.v..
6.3.1. Mch a hi i CMOS 1. Mch a hi i kiu vi phn

Hnh 6-10. a hi i kiu vi phn dng cng NOR CMOS Hnh 6-11. Dng sng ca mch a hi i kiu vi phn

GV: Th Hng Thm

173

Gio trnh in t s

Hnh 6-10 l s nguyn l ca mach a hi i kiu vi phn. Ti trng thi n nh, VI=0 th VO1=ED, VI2=ED, VO2=0. Khi c mt xung kch thch li vo lm cho cng 1 nhanh chng cm v li ra bng 0, xem gin 6-11. Mch in RC s np in cho t in C. Trong qu trnh np, in p VI2 tng dn n ngng VT v lm cng 2 ng, in p VO2=0. Khi , cng 1 nhanh chng chuyn v trng thi cm v lm cho mch a hi i tr v trng thi n nh. rng xung ti u ra ca mch c xc nh bng cng thc sau:
ED TW = (R + R0).C.ln E V T D

trong R0 l in tr u ra ca cng 1, nu VT=ED/2 th T W =0,7(R+R0)C


2. Mch a hi i kiu tch phn

Hnh 6-13. Dng sng ca mch a hi i kiu tch phn Hnh 6-12. a hi i kiu tch phn dng cng NOR CMOS

Hnh 6-12 biu din s nguyn l ca mch a hi i kiu tch phn. Ti trng thi n nh, VI=1 th VO1=0, VI2=0, VO2=0. Khi li vo VI chuyn t 1 xung 0 li ra VO1 nhy t trng thi 0 ln 1 v ng thi mch RC bt u tch in cho t in C, khi in p VI2 = VT in p li ra VO2 chuyn xung trng thi 0. Sau khi ht xung li vo t in phng in thng qua tr R v mch tr v trng thi n nh. rng xung li ra ca mch a hi i c tnh theo cng thc:
ED TW = (R + R0).C.ln E V T D

GV: Th Hng Thm

174

Gio trnh in t s

trong R0 l in tr u ra ca cng 1, nu VT=ED/2 th T W =0,7(R+R0).C


3. Mch a hi i dng trig Schmitt

D vo c tnh so snh ca trig Schmitt, mch nguyn l ch ra trn hnh 6-14 l b a hi i. rng xung li ra ph thuc vo ngng trn ca trig Schmitt v gi tr ca t in C v in tr R theo cng thc sau:
ED TW = R.C.ln E V +T D

nu VT=ED/2 th TW = 0,7RC

Hnh 6-14. S nguyn l v gin thi gian ca mch a hi dng trig Schmitt 6.3.2. Mch a hi i TTL

Hnh 6-15 l s nguyn l mch a hi i h TTL, trong cc cng 1, 2, 3 cu trc ln mch flip-flop, cng 4,5 l mch to dng xung. Cc cng ny thuc h TTL nn c mc logic 1 l 3,6 V v logic 0 l 0,3 V. u vo V2 biu th s dng mch o. Mch o ny thng bo ho th V2 ~ 0,7 V, cn ngng thng ca n c 0,6 V. Ti trng thi n nh P = P = 0. Mch o u vo V2 l b khuch i transistor emitter chung trng thi bo ho v khi V2 = 0,7 V, V3 = 0 , V1 = 1, Q = 0, Q = 1. Khi c xung dng tc ng u vo th P = 1, P = 1, V1 = 0, Q = 1, Q = 0, mch trng thi tm n nh. Do Q = 0 kho cng 4, nn sau khi b kch thch bi sn dng xung P th mch b cch ly khi xung P.

Hnh 6-15. S nguyn l mch a hi i h TTL

V in p trn t C khng tng t bin nn khi V1 t mc cao 3,6 V t bin xung 0,3 V th V2 t mc 0,7 V t bin xung -2,6 V. Bt u qu trnh np in ca t in C. V2 tng dn ln. Khi V2 Tng ln n ngng thng 0,6 V th sinh ra qu trnh phn hi dng sau:

GV: Th Hng Thm

175

Gio trnh in t s

V2V3V1 Q Qu trnh ny lm mch nhanh chng tr v trng thi n nh ban u V3 = 0 , V1 = 1, Q = 0, Q = 1. Tip t in C phng in, V2 dn dn hi phc v 0,7 V. Hnh 6-16 ch ra gin xung ca mch a hi i h TTL vi gi thit thi gian tr truyn t ca cc cng v b o pha u bng tpd. rng xung ra c tnh theo cng thc T W = 0,7RC. Mch dao ng a hi i c thit k sn trong mt s h IC TTL nh 74LS121, 74LS123 bng cch thay i cc gi tr t v tr mc ngoi s cho cc xung li ra mong mun.

Hnh 6-16. Gin xung ca mch dao ng a hi i TTL vi gi thit tr ca cc cng l tpd. 6.4. IC NH THI

B nh thi 555 c s dng rt rng ri trong cc b dao ng a hi, a hi i, v cc b so snh v.v Hnh 6-17 l s khi nguyn l ca IC nh thi ny, trong chc nng ca cc chn c ch ra trong bng sau:

GV: Th Hng Thm

176

Gio trnh in t s

Chn

Chc nng

Chn

Chc nng

1 2 3 4

t - GND Chn kch thch u ra Xa - Reset

5 6 7 8

in p iu khin Chn ngng u phng in Ngun - Vcc

Hnh 6-17. S khi nguyn l ca IC nh thi 555 Mt vi ng dng ca IC nh thi 555 1) Trig Schmitt

Hnh 6-18 l s nguyn l ca trig schmitt dng IC 555. Vi s ny ngng trn VT+ = 2/3.EC1 v ngng di VT- = 1/3.EC1 chnh lch in p V = VT+ - VT- = 1/3.EC1. Nu a in p vo u vo C-V th c th iu chnh c VT+ ,VT- v V

GV: Th Hng Thm

177

Gio trnh in t s

Hnh 6-18. Mch trig Schmitt dng IC 555 2) Mch a hi i

Hnh 6-19 l s nguyn l v gin thi gian ca mch a hi i dng IC 555, trong RC l mch nh thi. ko di xung li ra c xc nh bng cng thc W T RCln 31,1RC. Mch dao ng a hi i ny yu cu rng xung li vo nh hn rng xung li ra, nu n ln hn th yu cu dng thm mch vi phn li vo.

Hnh 6-19. Mch a hi i dng IC 555 v dng sng 3) Mch a hi

Hnh 6-20. Mch a hi dng IC 555 v dng sng

Hnh 6-20 l s mch a hi v dng sng, in tr R1, R2 v t in C ng vai tr l mch nh thi. Chu k ao ng ca tn hiu li ra c xc nh thng qua thi gian phng v np ca t in C nh sau:
TM1 = (R1 +R2) C.ln2 = 0,7(R1 +R2).C TM2 = R2.C.ln2 = 0,7R2.C T = TM1 + TM2 = 0,7 (R1 +2R2) C

GV: Th Hng Thm

178

Gio trnh in t s

f = 1/T =

1,43 ( R1 + 2 R2 ).C

Nh ta thy xung li ra c lp y ph thuc vo c in tr R1 v R2 v khng th to ra xung vung vi lp y bng 50% thng qua vic thay i gi tr R1 v R2. c c xung vung vi lp y bng 50%, ngi ta s dng mch c thm 2 diode khi tr phng v np in cho T c th thay i c lp v to ra xung mong mun. Hnh 6-21 l s nguyn l ca mch a hi dng IC 555 m lp y c th thay i c.

Hnh 6-21. Mch a hi iu chnh c lp y xung dng IC 555 TM TT

Trong chng ny chng ta tm hiu cc mch to xung. Mch dao ng xung t kch khng cn tn hiu ngoi a vo; sau khi c cp ngun mt chiu mch t ng sinh ra xung vun. Thuc loi dao ng t kch ny c cc mch: b dao ng a hi c bn cng NAND h TTL, b dao ng vng, b dao ng thch anh, b dao ng a hi c bn CMOS. Mch to dng xung khng t ng pht xung nhng c th bin tn hiu u vo hnh dng khc thnh xung vung theo yu cu ca mch s. Trong s mch to dng xung, chng ta tm hiu: trig Schmit v n n. Cch mch pht xung v to dng xung trn y, ngoi dng lm xung ng h ra cn c ng dng vo cng rng ri trong cc h thng xung - s. B dao ng a hi thng dng lm b to xung chun thi gian v chun tn s. Mch n n thng dng nh thi v lm tr xung. Trig Schmit ngoi ng dng to dng xung cn ng dng so snh mc v gim st mc

GV: Th Hng Thm

179

Gio trnh in t s CHNG 7

B NH BN DN
7.1. KHI NIM CHUNG

B nh bn dn thay th cc loi b nh bng vt liu t. Cc tin b mi ca cng ngh bn dn trong thi gian gn y cung cp nhiu mch nh loi MSI v LSI c tn cy cao v gi thnh h. Vo u thp k 60 ca th k 20, gi thnh thng phm ca mt bit nh vo khong 2 USD. n nay (nhng nm u th k 21), gi thng phm ca 128 Mbyte vo khong 20 USD. Nh vy gi thnh thng phm ca mt bit nh sau khong 40 nm gim i khong 105.106 ln. B nh bn dn in hnh c cc t bo nh sp xp theo hnh ch nht, gn trong khi hp nh bng nha dng DIP (Dual in line package). T bo nh c bn l mt mch trig, transistor hay mch c kh nng tch tr in tch, t bo nh ny dng lu tr mt bit tin. Trong phn ny gii thiu mt s b nh bn dn c bn. Trn thc t c rt nhiu dng b nh, c th nh: B nh c kh: h thng cng tc hnh trng/cam B nh t: a cng, a mm, bng t B nh quang: a CD ROM, bng giy c l

So vi cc b nh trn, b nh bn dn c mt s u im nh tc x l, kch thc nh gn, d dng trong iu khin vic truy xut d liu... Trong thc t khi s dng b nh bn dn, ngi ta thng lu cc thng s sau:

Hnh 7.1: B nh bn dn Cc BUS l mt tp hp cc dy dn c s dng mang tn hiu i trao i thng tin gia cc thit b trong h vi x l. in hnh mt my tnh 8 bit c cc thanh ghi vi rng 8 bit v 8 ng trong 1 BUS d liu. Mt my tnh 16 bit c cc thanh ghi 16 bit, BUS d liu c 16 ng C th dng hnh nh ng giao thng minh ho cc BUS (Hnh 4.2): trn ng giao thng c nhiu a im nh A, B, C, D Nu ch dng dy in ni (ni cng) ta phi tn rt nhiu ng dy lin kt gia cc a im li vi nhau nhng khi i trn ng, li xe d khng thng tho vng ny c i dc xa l l c th tm n a im cn n. R rng vi mt BUS ta c th lin kt nhiu thit b trong h vi x l li vi nhau (mi thit b c th xem nh mt a im trn ng giao thng cn xe mang thng tin trao i gia cc thit b trong h thng). GV: Th Hng Thm 180

Gio trnh in t s

Hnh 7.2: Minh ho BUS thng qua hnh nh ng giao thng. Da vo tnh cht thng tin ti trn Bus, ngi ta phn lm ba loi chnh: Tuyn a ch: y l bus 1 chiu, c s dng xc nh a ch ca vng nh trong b nh bn dn, ni m b nh chn truy xut d liu. Tuyn iu khin: y l bus 1 chiu nhng hnh v tng quan th xem nh hai chiu. Tuyn ny xc nh vic c hay vit d liu trn b nh bn dn. C th, d liu c vit vo vng nh c chn hay t xut i. Ngoi ra, cho php b nh ngng lm vic (treo: khng dng n) cng do tn hiu trn tuyn iu khin ny quyt nh. Tuyn d liu: y l bus 1 chiu vi ROM v l 2 chiu vi cc b nh khc, c s dng mang d liu t vng nh c chn bi tuyn a ch trong b nh n cc thit b khc nh CPU, ROM, RAM v cc cng nhp/xut (I/O) trong h thng. Thi gian truy xut (Access Time) l thi gian cn thit thc hin hot ng c, ngha l thi gian t lc b nh nhn c a ch mi u vo cho n khi d liu sn sng cho u ra. K hiu at hay tACC. Dung lng (Capacity): Ni ln s bit ti a c kh nng lu tr trong b nh. V d c mt b nh lu tr c 2048 t 8 bit. Nh vy b nh c dung lng ca b nh l 2048 x 8, trong i lng th nht (2048) l tng s t, v i lng th hai (8) l s bit trong mi t (kch c t). S t trong b nh thng l bi s ca 1024. n v chuyn i nh sau: 1 byte = 8 bit 1Kbyte = 210 = 1024 bit 1Mbyte = 2020 = 1,048,576 bit 1Gbyte = 230 = 1,073,741,824 bit nh (Memory Cell): l phn t, linh kin in t c kh nng lu tr mt bit n (1 hay 0). V d nh flip flop (FF), t tch in, mt vt trn bng t. T nh (Memory Word): l mt nhm bit trong b nh biu din cc ch th hay d liu thuc loi no . V d nh thanh ghi gm 8 Flip-Flop c th xem nh l b nh c kh nng nh 1 t m 8 bit. Kch c t trong mt h thng in t s thng bin thin trong khong 4 n 64 bit.
7.2 T CHC B NH BN DN

GV: Th Hng Thm

181

Gio trnh in t s 7.2.1 Hot ng ca b nh

minh ho vic truy xut d liu trong b nh bn dn, c th mn hnh nh t ng h s trong cc cng s (hnh 4.3 a). Mi mt hc t trong t h s c nh s theo nguyn tc nh sau: ch s u tin (nh theo h 16) ca hc l con s ch th t hng ca hc ny; ch s u th hai (cng nh theo h 16) ca hc l con s ch th t ct ca hc ny. Do mi mt hc t u c mt m a ch c ghi di dng m 16 ring, ch ra v tr ca hc trn t h s. Gi s khi mun a mt h s mi (d liu) vo hc t c m s l 03, ta ch vic trng phng t hng 0 vi ct 3 l gp hc t ny. Vic ghi hay np d liu l tu vo tuyn iu khin. Khi s hc t khng gi s h s cn lu tr, ngi ta mua thm mt t cng loi vi t c v vic nh s a ch tng t nh c. Lc ny vic nh s phn bit gia 2 t ging nh lp m vng trong thu bao in thoi: c th xem t th nht l vng 1 v t mi mua l vng 2 (hnh 4.3 b). Khi cn lin h d liu trong cng mt vng th khng cn s dng m vng (ging nh gi in thoi ni ht), ch khi lin h gia hai vng khc nhau lc ny mi s dng n m vng (ging nh gi in thoi lin tnh). Ngha l h s khi trao i trong cng mt t, ta khng cn s dng thm mt s no trong m a ch nhng mun chuyn h s t t ny sang t kia bt buc phi s dng thm mt con s na trong m a ch (tng t nh m vng khi gi in thoi). C th, t c thm s 0 vo bn tri ca m a ch cn t mi thm s 1 vo bn tri ca m a ch. Nh vy 001; 011; 034; 075 l cc a ch ca cc hc trong t c v 101; 111; 134; 175 l cc a ch ca cc hc trong t mi (cng v tr vt l nhng khc t).

(a)

GV: Th Hng Thm

182

Gio trnh in t s

(b) Hnh 7.3: Hnh nh minh ho vic truy xut d liu trong b nh bn dn Minh ho vic nh s trn qua hnh 7.4. Bn tri l mt s in thoi tht, tng ng vi bn phi l cch nh s a ch trong b nh bn dn theo m hexa.

Hnh 7.4: Minh ho vic nh s trn vng a ch ca b nh bn dn. Hnh 7.5 minh ho mt b nh RAM trong chng trnh m phng SMS 32v23 trnh by ni dung v cch nh a ch cho tng nh cha ni dung nh cch thc t h s va nu trn.

GV: Th Hng Thm

183

Gio trnh in t s

Hnh 7.5: B nh RAM trong chng trnh m phng SMS 32v23 Cho v d n gin minh ho v nguyn tc hot ng ca b nh bn dn: khi bn mun gi mt l th cho bn mnh ang sng Php, bn phi ghi a ch ca bn mnh vo b th: chnh l thng tin trn tuyn a ch, xc nh ni m l th ca bn s n; vit vo th nhng thng tin m mnh mun gi ri cho vo b th dn li: l thng tin trn tuyn d liu; n bu in xc nhn vic mnh s gi l th sang Php theo a ch ghi trn b th: l thng tin yu cu t trn tuyn iu khin. Nh vy vic trao i thng tin trn b nh bn dn cng tng t nh vic trao i th t theo dng truyn thng m thi! S phi hp nhp nhng gia 3 tuyn s gip b nh hon thnh tt cng vic ca mnh: lu tr v trao i thng tin. Hnh 7.6 minh ho s khi tiu biu cho mt ROM, gm c u vo a ch, u vo iu khin v u ra d liu. Gi s ROM c lp trnh vi d liu minh ho nh hnh 7.7. C th c 16 t d liu (nhm 8 bit) ring bit c ghi vo 16 a ch khc nhau di dng nh phn.
HOT NG C

c mt t d liu t ROM, ta phi lm nh sau: p u vo a ch thch hp, sau kch hot u vo iu khin.

GV: Th Hng Thm

184

Gio trnh in t s

Hnh 7.6: S minh ho 3 tuyn: d liu, a ch, iu khin

Hnh 7.7: Bng d liu tng ng vi cc a ch trong ROM V d mun c d liu ti a ch 0111 ca ROM (hnh 7.5) ta phi a A3A2A1A0 = 0111 vo cc chn a ch, sau p dng trng thi thp cho CS . u vo a ch c gii m bn trong ROM chn c d liu ng l 11101101. Gi tr ny s xut hin ti u ra D7 n D0.
7.3. CU TRC B NH BN DN

7.3.1. B nh ROM

GV: Th Hng Thm

185

Gio trnh in t s

B nh ch c c (ROM) l mt dng ca b nh bn dn m n c thit k gi cho d liu khng thay i. Khi hot ng d liu mi khng th vit vo ROM c m ch c th c c. ROM c s dng lu tr d liu v tin tc. N khng lm thay i d liu trong sut qu trnh hot ng ca h thng. ROM ch yu thc hin chc nng c l chnh. 7.3.1.1 S khi ca ROM Hnh 7.8 minh ha s khi tiu biu cho mt ROM, gm c u vo a ch, u vo iu khin v u ra d liu.

Hnh 7-8: S khi c bn ca ROM Gi s ROM c lp trnh vi d liu minh ha nh hnh 7-9. 16 t d liu khc nhau c ghi vo 16 a ch khc nhau di dng nh phn. Ngi ta cn s dng s thp lc phn biu din d liu lp trnh (hnh 7.10).

GV: Th Hng Thm

186

Gio trnh in t s

Hnh 7.9: Bng minh ha d liu nh phn ti mi a ch.

GV: Th Hng Thm

187

Gio trnh in t s

Hnh 7-9: Bng minh ha d liu h thp lc phn ti mi a ch. 7.3.1.2 Cu trc ca ROM Cu trc bn trong ca ROM rt phc tp. Hnh 17-10 l s n gin m t cu trc bn trong ca mt ROM c dung lng 16x8. Gm c 4 phn c bn: mng thanh ghi, b gii m hng, b gii m ct, b m u ra. Mng thanh ghi (Resister array) lu tr d liu c lp trnh vo ROM. Mi thanh ghi gm mt nh bng s kch thc t. Trong trng hp ny mi thanh ghi cha mt t 8 bit. Cc thanh ghi c sp xp theo ma trn vung, cc thanh ghi y l thanh ghi cht , khng ghi thm c.

GV: Th Hng Thm

188

Gio trnh in t s

Hnh 7-10: Cu trc ca ROM c dung lng 16x8. V tr ca tng thanh ghi c nh r qua s hng v s ct c th. 8 u ra d liu ca mi thanh ghi c ni vo mt ng d liu bn trong chy qua ton mch. Mi thanh ghi c hai u vo cho php. C hai phi mc cao th d liu thanh ghi mi c php a vo dng truyn. B gii m a ch GV: Th Hng Thm 189

Gio trnh in t s

M a ch A3A2A1A0 quyt nh thanh ghi no trong dy c php t t d liu 8 bit ca n vo ng truyn. y dng 2 b gii m: b gii m chn hng (chn 1 trong 4) v chn ct. Thanh ghi giao gia hng v ct c chn bi u vo a ch s l thanh ghi c kch hot (cho php). V d: a ch vo l 1101 th thanh ghi no xut d liu. Vi A3A2 = 11, b gii m ct s kch hot ng chn ct s 3 Vi A1A0 = 01, b gii m hng s kch hot ng chn hng s 1 Nh vy kt qu l c hai u vo cho php thanh ghi s 13 s mc cao v d liu ca thanh ghi ny s c a vo ng truyn d liu. B m u ra Thng s dng mch m 3 trng thi, iu khin bng chn u ra chuyn d liu ny ra ngoi. Khi cao. D7 n D0 th ni. 7.3.1.3 Thng s thi gian ca ROM S c mt khong thi gian tr do truyn t khi yu cu c a vo qua u vo ca ROM n khi d liu xut hin u ra trong hot ng c. Thi gian ny gi l thi gian truy xut (tACC). Thi gian truy xut c biu din dng sng trong hnh 7-11. . Khi mc thp, b m mc cao, b m u ra s trng thi tr khng

Hnh 7-11: S thi gian cho mt hot ng c ca ROM Dng sng pha trn biu din u vo a ch; dng sng gia l mt dng sng di cng biu din u ra ca d liu. gia u vo tACC ( TTL) : v u ra d liu hp l. 30 90ns. tch cc mc thp;

Mt thng s thi gian khc cng quan trng l thi gian cho php ra tOE. l thi gian tr

GV: Th Hng Thm

190

Gio trnh in t s

tACC ( NMOS) : 200 900ns. tACC ( CMOS) : 20 60ns tOE (TTL) : ROM 10 - 20ns tOE ( NMOS) : ROM 25 - 100ns tOE ( CMOS) : ROM 10 20ns 7.4. B NH RAM RAM: Random Access Memory b nh truy xut bt k cn gi l b nh c vit (RWM: read write memory). Ngha l mi a ch nh u cho php d dng truy cp nh nhau. Trong my tnh RAM c dng nh b nh tm hay b nh nhp. u im chnh ca RAM c hay vit d liu lu tr RAM bt c lc no. Nhc im ca RAM: do RAM l mt dng b nh bc hi nn khi mt in d liu s b xa do cn ngun nui pin accu d phng (back up batterry). Tng t nh ROM, RAM bao gm mt s thanh ghi, mi thanh ghi lu tr mt t d liu v c a ch khng trng lp. RAM thng c dung lng 1K, 4K, 8K, 64K, 128K, 256K v 1024K vi kch thc t 1, 4 hay 8 bit (c th m rng thm).

GV: Th Hng Thm

191

Gio trnh in t s

Hnh 7-12: Cu trc bn trong ca RAM 64x4. Hnh 7-12 minh ha cu trc ca n gin ca mt RAM lu tr 64 t 4 bit (b nh 64x4). S t ny c a ch trong khong t 0 n 6310. chn 1 trong 64 a ch c hay ghi, mt m a ch nh phn s c a vo mch gii m. V 64=26 nn b gii m cn m vo 6 bit. Hot ng c (Read Operation) M a ch nhn c t chn thanh ghi c hoc vit. c thanh ghi c chn th u vo c ghi ( ) phi l logic 1. Ngoi ra u vo chip select phi mc logic 0. S kt hp gia = 1 v = 0 s cho php b m u ra, sao cho ni dung ca thanh ghi c chn xut hin bn u ra d liu. = 1 cng cm b m u vo nn u vo d liu khng tc ng n b nh sut hot ng c. Hot ng ghi (Write Operation) = 0 v = 0. T hp vit mt t 4 bit mi vo thanh ghi c chn, khi cn phi c ny cho php b m u vo, v vy t 4 bit t vo d liu s c np vo thanh ghi

GV: Th Hng Thm

192

Gio trnh in t s

chn. = 0 cng cm b m u ra. B m u ra l b m 3 trng thi nn u ra d liu s trng thi Hi-Z trong hot ng ghi. Hot ng ghi s xa b t no c lu tr ti a ch . Chn chip (Chip Select) Hu ht cc chip nh u c mt hay nhiu u vo CS dng cho php ton chip hoc cm n hon ton. Trong ch cm, tt c u vo v ra d liu u b v hiu ha (Hi-Z), chnh v vy khng hot ng ghi c no c th xy ra. Ngoi tn gi CHN CHIP cc nh sn xut cn gi l CHIP ENABLE (CE). Khi u vo CS hay CE trng thi tch cc th chip nh c chn cn ngc li th khng c chn. Tc dng ca chn CS hay CE l dng m rng b nh khi kt hp nhiu chip nh vi nhau. Cc chn vo ra chung (Common Input Output) hn ch s chn trong mt IC, cc nh sn xut thng kt hp cc chc nng nhp/xut d liu, da vo chn vo/ra (I/O). u vo iu khin cc chn vo/ra ny. Trong hot ng c, chn I/O ng vai tr nh u ra d liu, ti to ni dung ca nh c chn. Trong hot ng ghi, chn I/O l u vo d liu, d liu cn ghi c a vo y.
7.5. HOT NG CA B NH.

Mc d mi loi b nh u c hot ng ni ti khc nhau, nhng tt c b nh u c chung mt s nguyn tc hot ng c bn. Mi b nh cn thc hin cc chc nng sau: Chn ng a ch vng nh cn c hay vit. Chn ng hot ng c hay vit. Cung cp d liu nhp vo lu tr trong b nh sut hot ng ghi. Cho php (hoc khng cho php) b nh p ng (hay khng p ng) cc u vo a ch hay lnh c ghi. Lu tr d liu xut ra t b nh sut hot ng c. Hnh 7-13 m t mt b nh c bn 32x4 lu tr 32 t 4 bit.

GV: Th Hng Thm

193

Gio trnh in t s

Hnh 7-13: S b nh. V mi t y l 4 bit nn c 4 ng d liu vo t I0 n I3 v 4 ng d liu ra t O0 n O3. Cc ng vo a ch A0 n A4 v cc ng iu khin c/ghi. Ng vo cc a ch: V b nh cha 32 t nn c 32 v tr lu tr khc nhau v c 32 a ch khc nhau bin thin 00000 n 11111 ( t 010 n 3110). Hnh 7-14 cho thy cch b tr cc v tr nh v a ch.

Hnh 7-14: Cch b tr cc v tr. V y c 5 u vo a ch A0 n A4 nn truy cp mt trong nhng v tr trong b nh cho cc hot ng c hay ghi th m a ch 5 bit ca v tr c th c cp cho u vo a ch. Nh vy, vi b nh c dung lng 2N t i hi N u vo a ch. Ng vo u vo chi phi hot ng no s xy ra trong b nh: c (R) hay ghi (W). = 1 ( R mc cao) = 0 ( W mc thp)

Hot ng c xy ra khi Hot ng vit xy ra khi

Hnh 7-15 minh ha n gin hot ng c v ghi

GV: Th Hng Thm

194

Gio trnh in t s

Hnh 7-15: Minh ha hot ng c v ghi trong b nh 32x4. Cho php b nh (Memory Enable) Cho php hay khng cho php cc ng vo, ra ca b nh hot ng. Ngoi tn ME cn c mt s tn khc nh cho php chip (CE) hay chn la chip (CS). u vo ny tch cc mc cao, ngha l cho php b nh hot ng bnh thng khi n ang mc cao. Nu u vo ny mc thp th n khng cho php b nh hot ng. V d 1: Trnh by trng thi ti mi u vo, ra khi d liu 1110 c ghi vo a ch 01101. Gii : u vo a ch: 01101 u vo d liu: 1110 : thp u vo cho php b nh: cao u ra d liu: xxxx (khng s dng) V d 2: Mt b nh c dung lng 4Kx8. Hi a. C bao nhiu u vo d liu v u ra d liu? b. C bao nhiu ng a ch? c. Dung lng ca n tnh theo byte? Gii a. Bi v dung lng 4Kx8 nn c 8 u vo, 8 u ra, kch c t l 8 bit.

GV: Th Hng Thm

195

Gio trnh in t s

b. B nh lu tr 4K= 4x1024 = 4096 t. V vy c 4096 a ch nh. V 4096 = 212 nn cn c m a ch 12 bit nh r mt trong 4096 a ch, cn 12 ng a ch. c. Mt byte = 8 bit nn b nh ny c dung lng 4096 bit. 7.6. CCH NI KT GIA CPU V B NH. Hu ht cc my tnh hin i ngy nay b nh chnh lun giao tip vi CPU. B nh chnh ca my tnh cu thnh t cc IC ROM v RAM. Cc IC ny giao tip vi CPU thng qua ba nhm ng truyn (bus) a ch, ng (bus) d liu v ng ( bus) iu khin. Hnh 7-16 minh ha cch kt ni cc nhm truyn (bus) ni t IC ca b nh chnh vi CPU.

Hnh 7-16: Ba nhm truyn (bus) ni t IC ca b nh chnh vi CPU. Hot ng ghi CPU gi vo ng a ch (address bus) a ch vng nh mun lm vic. CPU t d liu cn lu ln cc ng truyn ca bus d liu. CPU kch hot cc ng tn hiu iu khin thch hp cho hot ng ghi vo b nh. Cc IC nh gii m a ch nh phn nhm xc nh u l v tr c chn cho hot ng lu tr. D liu trn bus d liu c truyn n v tr nh chn. Hot ng c Bt c khi no CPU mun c d liu ti mt v tr nh c th u phi din ra cc buc sau: CPU cp a ch nh phn ca v tr nh cha d liu cn truy xut. N t a ch ny ln ng truyn ca bus a ch. CPU kch hot cc ng truyn tn hiu iu khin thch hp cho hot ng c b nh. Vi mch nh gii m a ch nh phn nhm xc nh u l v tr nh c chn cho hot ng c. Vi mch nh t t d liu t v tr nh c chn vo cc ng truyn d liu, t d liu c chuyn n CPU.

GV: Th Hng Thm

196

Gio trnh in t s

Qua hai hot ng ghi v c ta thy c chc nng ca cc ng bus nh sau: Bus a ch: y l bus mt chiu mang kt qu xut a ch nh phn t CPU n IC nh chn mt v tr nh. Bus d liu: y l bus hai chiu, chuyn ti d liu qua li gia CPU v b nh. Bus iu khin: Bus ny truyn tn hiu iu khin t CPU n cc IC nh.
7.7. CC LOI ROM 7.7.1 ROM lp trnh bng mt n (Mask Programed ROM)

Vi ROM c lp trnh bng mt n, nh sn xut ghi (lp trnh) cc v tr nh ca n theo yu cu ca khch hng. Mt phm m bn, gi l mt n c s dng kim sot cc mi ni in trn chip. V mt n rt t nn loi ROM ny khng c mang li hiu qu kinh t. Nhc im ca loi ROM ny l n khng cho php lp trnh li, v vy n l dng ROM ng ngha. Tuy nhin ROM c lp trnh bng mt n vn ch l phng php tit kim nht khi cn trang b s lng ln ROM cng loi. Hnh 7-17 trnh by cu trc ca mt MROM TTL nh, gm 16 nh c sp xp thnh 4 hng x 4 ct. Mi l mt transistor lng cc c kt ni theo cc C chung. Gii m 1 sang 4 ng c s dng gii m a ch ng vo A1A0 khi chn thanh ghi hng c d liu. Mch gii m trng thi cao cung cp cho php gii m hng ca ng vo cc B cho gi tr ca nh.

Hnh 7-17: Cu trc ca ROM lng cc.

GV: Th Hng Thm

197

Gio trnh in t s

V d : Mt MROM c ng lu tr bng gi tr cc hm ton hc: y = x2 + 3, vi x l ng vo, y l ng ra. Ta c bng gi tr sau: S x biu th qua gi tr A1A0. Khi x = A1A0 = 102 = 210 suy ra y = 22+ 3 = 710 = 01112

7.7.2 ROM cho php lp trnh (Programmable ROM PROM) PROM c cu to nh ROM nhng c hai c im khc bit, l: Tt c cc t bo nh u c diode hay transistor lng cc hay transistor MOS, ty theo cng ngh ch to. Phn t bn dn c ni vi cu ch tch hp. Cu ch t ri khng th ni li c do ta ch c th lp trnh PROM mt ln thi. Mun i t bit 1 sang bit 0 ngi ta dng mt xung in c bin v rng xung thch hp (cho bit bi nh sn xut) gia ng t v ng bit tng ng lm t cu ch. Hnh 7-18 minh ha hot ng lp trnh ca mt PROM

Hnh 7-18: PROM s dng cn ch lp trnh 7.7.3 ROM cho php lp trnh ghi xa c. (Erasable PROM EPROM) PROM ch lp trnh c mt ln v cu ch t khng th ni li c t bn ngoi. Nn khi np chng trnh sai hay mun i chng trnh th ta phi dng mt PROM mi. Do ngui ta ch to ra loi EPROM cho php ngi s dng c th lp trnh v xa c. Cch np nh sau t mc in p t bit (t 25V 50V ty loi) vo ng vo (+Vpp) v cn mt thi gian (50ns cho mt vng nh) do thi gian np mt EPROM mt vi pht.

GV: Th Hng Thm

198

Gio trnh in t s

nh trong EPROM l nhng transistor MOS vi cng logic silic th ni. trng thi bnh thng mi transistor u tt v mi nh lu tr logic 1. Xung in p s y cc electron nng lng cao vo khu vc cng th ni v chng vn cn kt trong lc xung in kt thc, do khng c ng phng in. V vy transistor c tip tc m ngay khi ngt in vi thit b v nh lc ny lu tr logic 0. Khi mt nh ca EPROM c lp trnh th c th xa n bng cch chiu tia cc tm (UV) qua mt ca s trn v chip. Tia UV to mt dng quang in t cng th ni tr v chn bng silic, qua n xa i cc in tch lu tr, tt transitor v phc hi nh v trng thi logic 1. Qu trnh xa ny thng cn t 15 n 20 pht. Nhc im ca EPROM: Phi tho EPROM ra khi mch mi xa ri mi np trnh c. Khi cn xa hay thay i mt t cng khng th np chng ln t m phi xa ht v np li t u. 7.7.4 ROM cho php lp trnh v xa c bng in (Electrically Erasable PROM EEPROM) Khuyt im ca EPROM c khc phc vi s ra i ca EEPROM. EEPROM gi li cu trc cng th ni ca EPROM, nhng c thm mt lp oxit rt mng pha trn cc mng ca nh MOSFET. S b xung ny hnh thnh nn c im chnh ca EEPROM l kh nng xa bng in. Nguyn l cn bn ca EEPROM cng ging nh EPROM dng cu trc tha ni. Nh thm vo mt lp oxide mng gn cc thot ca t bo MOSFET, khi p in cao (21V) gi cc G v D vi mt lng in tch c th len vo cng ni lu tr ti ngay c khi ngng cung cp in tch khi cng ni v xa nh. Do c ch truyn in tch ny ch i hi dng in rt thp nn vic xa v lp trnh EEPROM c th thc hin ngay trong mch (khng cn ngun UV v my lp trnh PROM c bit). u im ca EEPROM C kh nng np tng t ring l (khng ging nh EPROM phi np c IC). Xa rt nhanh (10ms trn mch ) so vi 30 pht phi nh sng UV. Np rt nhanh (10ms so vi 50ms ca EPROM). c tnh np - xa trn mch EEPROM cn c ngun 5V(Vcc) v 21V : ly t 5V qua b chuyn i DC-DC. Mch khng ch xung 10ns to cho qu trnh np v xa. 7.7.5 ng dng ca ROM Lu tr chng trnh chy my tnh Lu tr chng trnh chi ng my (boottrap memory). Hot ng nh mch t hp, ROM m nhim chc nng nh PLA (programmable logic array chui hm logic) Bng d liu: cha cc d liu cn tham kho chng hn nh bng chuyn i m, bng cc gi tr lng gic. B to k t nh to cc k m ASCII.

GV: Th Hng Thm

199

Gio trnh in t s

Mch to dng sng (function generator) l mt mch to cc dng sng nh hnh sine, sng rng ca, sng tam gic, sng vung.
7.8. CC LOI RAM 7.8.1. RAM tnh (Static RAM)

L RAM c th lu tr d liu n khi no chip vn cn c cp in. Ngy nay RAM lng cc tnh c ch to theo cng ngh TTL, cng ngh ECL t n dung lng nh hn 16Kbit, thi gian truy xut di 10ns v cng sut tiu th di 0,1mW/bit v cng ngh NMOS, CMOS, HMOS, MIXMOS, XMOS vi dung lng 256Kb, thigian truy xut thp n 15ns. Bng di y l mt s thng s ca cc loi RAM tnh theo cc cng ngh ch to khc nhau.

T bng thng s trn cho thy: ECL c thi gian truy xut ngn nht ECL, TTL c dung lng nh hn CMOS, NMOS CMOS, NMOS c cng sut thp hn ECL, TTL. ECL c cng sut cao nht
7.8.1.1 Gin thi gian ca SRAM

Cc IC RAM thng c dng lm b nh trong my tnh. Chip nh giao din vi CPU phi nhanh mi p ng c cc lnh c v ghi ca CPU. Khng phi tt c cc loi RAM u c c im thi gian nh nhau. Hnh 7- 19 biu din s thi gian cho mt chu k c v chu k ghi hon chnh ca mt chip SRAM in hnh.

GV: Th Hng Thm

200

Gio trnh in t s

Hnh 7-19: S thi gian tiu biu ca SRAM


7.8.1.2 Chu k c

Dng sng hnh 7-19a minh ha hnh vi ca u vo a ch ca b nh.

, u vo trong chu k c

Chu k c bt u ti thi im t0. Trc thi im ny, u vo a ch c th l bt k a ch no c sn trn bus a ch t hot ng ngay trc . V u vo ca RAM khng tch cc nn n s khng p ng a ch c. Ti thi im t0 CPU cung cp a ch mi cho u vo ca RAM, y chnh l a ch ca v tr nh cn c. Sau thi gian n nh tn hiu a ch, ng c kch hot. RAM p ng bng cch thay t d liu t v tr nh c a ch xc nh vo

GV: Th Hng Thm

201

Gio trnh in t s

ng ra d liu ti thi im t1. tACC l thi gian truy cp ca RAM. tCO l thi gian cn thit u vo ca RAM i t mc Hi-Z n mc d liu hp l mt khi tch cc. Ti thi im t2, tr v mc cao, v u ra ca RAM tr v trng thi Hi-Z sau khong thi gian tOD. Vy d liu ca RAM s trn bus d liu trong khong thi gian t t1 n t3. Thi gian ca mt chu k hon chnh l tRC, ko di t t0 n t4 khi CPU thay i u vo a ch mi cho chu k c/ghi khc din ra tip theo.
7.8.1.3 Chu k ghi

Hnh 7-19b biu din hot ng ca tn hiu cho mt chu k ghi bt u khi CPU cung cp a ch mi cho RAM ti thi im t1. CPU a v xung thp sau khi ch qua khong thi gian tAS, thi gian thit lp a ch, cho php b gii m a ch ca RAM c thi gian p ng a ch mi. v b gi mc thp trong khong thi gian tW gi l thi gian ghi. tDS gi l thi gian thit lp d liu cn tDH gi l thi gian duy tr d liu. Trong thi gian ghi, ti thi im t1, CPU cung cp d liu hp l cho bus d liu ghi vo RAM. D liu ny phi c duy tr ti u vo ca RAM t nht mt khong thi gian tDH sau khi v khng cn tch cc ti thi im t2. Tng t, u vo a ch phi tip tc n nh trong khong thi gian duy tr a ch, tc sau thi im t2. nu khng tha bt k iu kin no v thi gian thit lp v thi gian duy tr th hot ng ghi xy ra s khng ng tin cy. Thi gian ca mt chu k ghi hon chnh tWC ko di t t0 n t4 khi CPU i sang a ch mi cho chu k c/ghi tip theo.
7.8.2. RAM ng (DRAM)

Ram ng c t bo nh l mt FF. RAM ng dng k thut MOS lu tr cc bit 0 hay 1 trong cc in dung bm sinh gia ca v lp nn cu transistor MOS. D liu ny lu tr t ny khng c duy tr lu v s r ca t cng nh ca cc transistor MOS chung quanh nn cn c lm ti (refresh) trong khong vo mili giy (nu khng t x in s mt d liu). S lm ti t cn phi c b iu khin (Dynamic Memory Controller) bn ngoi v trn cng vi mch. V y cng l nhc im ca RAM ng so vi RAM tnh. Nhng ngc li RAM tnh cng c nhiu u im nh: dung lng nh, tc , cng sut tiu th, gi thnh h. Ngy nay RAM ng c ch to theo cng ngh nh NMOS, CMOS, CHMOS, XMOS vi dung lng nh trn Megabit, thi gian thm nhp di 100ns v cng sut tiu tn rt nh. Bng di y cho bit mt vi thng s so snh gia RAM tnh v RAM ng

7.8.2.1 Cu trc v hot ng ca DRAM.

GV: Th Hng Thm

202

Gio trnh in t s

Cu trc bn trong ca DRAM c th hnh dung nh mt mng nh bit n, c minh ha nh hnh 7-19. y, 16384 nh c sp xp thnh ma trn 128 x128. Mi nh chim mt v tr ring bit trong hng v ct thuc phm vi ma trn. C 14 u a ch chn 1 trong 16384 nh (214 = 16384); nhng bit a ch thp t A0 n A6 chn hng, cn nhng bit a ch cao t A7 n A13 chn ct. Mi a ch 14 bit chn nh ring bit c ra hay ghi vo.

Hnh 7-20: Sp xp cc nh trong mt RAM ng 16Kx1. Hnh 7-20 l k hiu mt nh ng v mch tng ng ca n. Da vo s n gin ny ta c th hiu c cch c hay ghi d liu vo DRAM. Cc chuyn mch t SW1 n SW4 thc cht l cc transistor MOSFET c iu khin bng cc u ra khc nhau ca b gii m a ch v bng tn hiu tuy nhin y t in mi l nh ch thc.

Hnh 7-21: Biu din mt nh ca DRAM.

GV: Th Hng Thm

203

Gio trnh in t s

Khi ghi d liu th cng tc SW1 v SW2 ng li trong khi cng tc SW3 v SW4 vn m, ni d liu nhp vo t C. logic 1 ti u vo d liu tch in cho t C cn logic 0 th x in cho t C. V lun c s r in qua cc chuyn mch ng nn t C b mt in dn. c d liu ti nh th chuyn mch SW2, SW3 v SW4 ng li cn SW1 vn m ni in th lu tr vi b khuch i. B khuch i s so snh in th ny vi gi tr tham kho no quyt nh l logic 1 hay logic 0, ri a ra gi tr 0V hay 5V cho u ra d liu. u ra ny li c ni vi t qua SW2 v SW4 nn t in s c lm ti. Nh vy bit d liu trong nh c lm ti mi khi n c c.
7.8.2.2 Dn knh a ch - Address Multiplexing (ghp a ch)

Hin nay cc nh sn xut sn xut ra nhiu loi DRAM vi dung lng kh ln. Vi cc loi DRAM c dung lng ln th i hi phi c nhiu chn vo a ch nu yu cu mt chn ring bit. khc phc yu im ny cc nh sn xut s dng phng php ghp knh a ch. Bng cch ny mi chn vo a ch c th dung np hai bit a ch khc nhau, tit kim c s chn gip gim ng k kch c ca v IC. Hnh 4.20 trnh by b nh c dung lng t 16K v trc cn 14 ng a ch bus ti trc tip t CPU n b nh.

Hnh 7-22: Bus a ch ca CPU iu khin ROM hoc RAM. Hnh 7.23 minh ha a ch CPU dng mch Multiplex a a ch t CPU ti DRAM. Ta thy ch c 7 ng a ch ng vo n DRAM, ngha l 14 ng a ch t bus a ch CPU

GV: Th Hng Thm

204

Gio trnh in t s

c a vo mch Multiplexer s truyn 7 bit a ch ti thi im no ti ng vo a ch nh. Khi MUX = 0 th truyn A0 A6 n DRAM, khi MUX = 1 th truyn A7 A13 n DRAM.

Hnh 7-23: a ch CPU iu khin b dn knh dng dn cc ng a ch t CPU vo DRAM. Gin thi gian ca tn hiu MUX v . MUX phi mc thp khi Thi gian ca tn hiu MUX phi ng b vi tn hiu b kch xung mc thp, sao cho cc ng a ch A7 A13 t CPU s n c u vo a ch DRAM. Tng t MUX phi mc cao khi b kch xung thp, sao cho A0 - A6 t CPU s c mt ti u vo ca DRAM. Hnh 7-24 minh ho thi gian ca tn hiu MUX.

GV: Th Hng Thm

205

Gio trnh in t s

Hnh 7-24: Thi gian cn thit cho tin trnh dn knh a ch. Hnh 7-25 cho thy cu trc ca mt DRAM 16Kx1 sau khi dng MUX

Hnh 7-25 Cu trc ca mt DRAM 16Kx1


7.8.2.3. Chu k c/ghi ca DRAM

Chu trnh c/ghi ca DRAM phc tp hn nhiu so vi SRAM bi v cn c b nh thi khng ch lm ti v b iu khin b m ca thanh ghi, b a hp hng ct thng qua hai v . chn Chu k c DRAM

GV: Th Hng Thm

206

Gio trnh in t s

Hnh 7-26 biu din hot ng tiu biu ca tn hiu trong sut hot ng c.

Hnh 7-26: Hot ng tiu biu ca tn hiu ng vi hot ng c trn DRAM. Gi s ang mc cao trong sut hot ng c. Sau y l phn m t tng bc hot ng xy ra ti nhng thi im trong s tn hiu. t0 : MUX b a xung mc thp p cc bit a ch hng ( A0 A6) vo u vo a ch ca DRAM. t1 : t3 : b a xung mc thp np a ch hng vo DRAM

t2 : MUX ln mc cao t a ch ct (A7 A13 ) ti cc u vo a ch ca DRAM. xung thp np a ch ct vo DRAM. t4 : DRAM p ng li bng cch t d liu hp l t vo nh c chn ln ng d liu ra. t5 : MUX, , v ng d liu ra tr v trng thi ban u.

Chu k ghi ca DRAM Hnh 7-27 biu din hot ng tiu biu ca tn hiu trong hot ng ghi vo DRAM.

GV: Th Hng Thm

207

Gio trnh in t s

Hnh 7-27: Hot ng tiu biu ca tn hiu ng vi hot ng ghi trn DRAM. Qu trnh ghi c m t nh sau: t0 : MUX mc thp t cc bit a ch hng ( A0 A6) vo u vo a ch ca DRAM. t1 : t3 : t5 : = NGT np a ch hng vo DRAM t2 : MUX ln mc cao t a ch ct (A7 A13) ti cc u vo a ch ca DRAM. = NGT np a ch ct vo DRAM. t4 : D liu cn ghi c t ln ng d liu vo. b kch xung thp ghi d liu vo nh c chn. t6 : D liu vo b loi b khi ng d liu vo. t7 : MUX, , v ng d liu vo tr v trng thi ban u.
7.8.2.4. Lm ti DRAM

Vic lm ti DRAM phi c xy ra mi 2ms duy tr d liu. Mi mt trong 256 hng phi c kch bi chn . c th mc cao trong trnh t lm ti gim cng sut tiu th. D c hay vit vo mt t bo no ca mt hng u phi lm ti ton b hng . lm ti DRAM cn phi c b m lm ti DRAM (Refresh Controler). , thc hin bng vic la chn mt Phng php l ti ph bin nht l lm ti ch vi a ch hng vi trong khi v vn mc cao.

GV: Th Hng Thm

208

Gio trnh in t s 7.9. GII THIU VI MCH S IN HNH 7.9.1 Chip EPROM M2732A

Hin nay trn th trng c nhiu loi EPROM vi dung lng v thi gian truy xut khc nhau. IC 2732A l loi EPROM NOMS nh c dung lng 4Kx8 hot ng vi ngun in +5V trong sut tin trnh vn hnh bnh thng. Hnh 7-28 minh ha s chn v cc ch hot ng ca IC ny. IC M2732A c 12 u vi a ch v 8 u ra d liu. Hai u vo iu khin l v . l u vo cho php ca chip, c s dng t thit b vo ch c i khi nng lng tiu th gim. Chn l u vo hai mc ch, c chc nng ph thuc vo ch hot ng ca thit b. cho php u ra v c s dng kim sot vng m u ra d liu ca thit b, sao cho c th ni thit b ny vi bus d liu ca b vi x l m khng xy ra chanh chp bus. Vpp l in th lp trnh t bit bt buc phi c trong sut giai on lp trnh.

GV: Th Hng Thm

209

Gio trnh in t s

Hnh 7-28: (a) K hiu logic ca EPROM M2732A; (b) S chn; (c) V EPROM vi ca s tia t ngoi; (d) Ch hot ng ca EPROM M2732A
7.9.2 Chip EPROM M27C64A

IC EPROM M27C62A l loi EPROM c dung lng ln 8Kx8 v thi gian truy xut l 150ns. y l loi EPROM ang ph bin trn th trng, c hai dng v khc nhau ngi dng c th chn la tu theo nhu cu. Hnh 7-29 minh ha k hiu logic v chc nng ca cc chn EPROM M27C64A.

GV: Th Hng Thm

210

Gio trnh in t s

Hnh 7-29: K hiu logic v chc nng ca cc chn EPROM M27C64A. Ch hot ng ca EPROM M27C64A nh bng sau:

GV: Th Hng Thm

211

Gio trnh in t s

Hnh 7-30: Cc dng v v s chn tng ng.


7.9.3 IC SRAM MCM6264C

Mt loi IC SRAM thc t hin ang c mt trn th trng l MCM6264C CMOS 8Kx8 vi chu k c v chu k ghi l 12ns, cng sut tiu th ch standby ch l 100mW. S chn v hnh dng ca IC ny c minh ha trong hnh 7-31.

GV: Th Hng Thm

212

Gio trnh in t s

Hnh 7-31. Cu trc bn trong ca IC SRAM nh hnh 7-32. y c 13 u vo a ch v 8 ng vo/ra d liu. 4 u vo iu khin quyt nh ch vn hnh ca thit b, theo nh bng cc ch hot ng hnh 7-33.

GV: Th Hng Thm

213

Gio trnh in t s

Hnh 7-32: Cu trc bn trong ca IC SRAM MCM6264C.

Hnh 7-33 u vo cng chnh l u vo . mc thp cho php ghi d liu vo RAM, vi iu kin RAM ny c chn c hai u vo E u tch cc. mc cao s cho php hot ng c, min l linh kin phi c chn v b m u ra c kch hot bng = LOW. Khi khng c chn linh kin ny s tr vo ch nng lng thp, v khng c u vo no c hiu lc.
7.9.4 IC DRAM TMS44100

Hin nng trn th trng ang c mt IC DRAM TMS44100 4Mx1 ca hng Texas Intruments. S chn v chc nng ca cc chn c minh ha hnh 7-34.

Hnh 7-34: S chn v chc nng cc chn ca DRAM TMS44100. Hnh 7-35 l s cu trc bn trong ca IC DRAM TMS44100.

GV: Th Hng Thm

214

Gio trnh in t s

Hnh 7-35 Mt mng nh sp xp thnh 2048 hng x 2048 ct. B gii m a ch, do mi ln ch chn mt hng nn c th xem y nh l b gii m 1 trong 2048. Do cc ng a ch c dn knh nn ton b 22 bit a ch khng th xut hin cng mt lc. Mt iu lu l, y ch c 11 ng a ch v chng phi i n c thanh ghi a ch hng ln thanh ghi a ch ct. Mi thanh ghi a ch cha mt na a ch 22 bit. Thanh ghi hng lu tr na trn, thanh ghi ct lu tr na di. Hai u vo xung chn (strobe) rt quan trng chi phi thi im thng tin a ch c cht li. u vo chn a ch hng m nhp thanh ghi a ch hng 11 bit. u vo chn a ch ct m nhp thanh ghi a ch ct 11 bit.

Hnh 7-36: Biu thi gian ca

GV: Th Hng Thm

215

Gio trnh in t s

Mt a ch 22 bit c p vo DRAM ny qua 2 buc, s dng v . Ban u c l n u mc cao (hnh 4.33 minh ha thi gian).Ti thi im t0, a ch hng 11 bit (A11 n A22) c p vo u vo a ch. Sau thi gian cho php tRS cn thit t thanh ghi a ch hng, u vo b y xung thp ti thi im t1. NGT (chuyn trng thi trn sn xung ca tn hiu) np a ch hng vo thanh ghi a ch hng sao cho t A11 n A21 lc ny xut hin ti u vo b gii m hng. mc thp cn cho php b gii m hng, hu c th gii m a ch hng v chn c 1 hng trong mng. Ti ti im t2, a ch ct 11 bit (t A0 n A10) c p vo u vo a ch. Ti thi im t3 u vo xung thp np a ch ct vo thanh ghi a ch ct, vy l c th tin hnh hot ng c hay ghi trn nh nh trong RAM tnh.
7.10. M RNG DUNG LNG B NH BN DN

Trong thc t nhiu ng dng mt chip nh khng th p ng c, do vic m rng b nh v tng kch c t l mt vn ht sc cn thit.
7.10.1. Tng kch c t.

Gi s chng ta cn mt b nh c th lu tr c 16 t 4 bit, nhng thc t ta ch c cc chip RAM 16x4 vi cc ng vo/ra (I/O) chung. gii quyt vn ny ta c th kt hp hai chip 16x4 li vi nhau to thnh mt b nh mong mun. Hnh 4.26 minh ha cch kt hp ny.

GV: Th Hng Thm

216

Gio trnh in t s

Hnh 7-37: Kt hp hai RAM 16x4 thnh 1 m un 16x8. Bi v mi chip ch c th lu tr 16 t 4 bit nn ta c th xem nh ang s dng mi chip lu tr phn na t. C ngha l RAM-0 cha 4 bit cao ca tng t trong s 16 t, v RAM-1 cha 4 bit thp ca tng t trong s 16 t. Mt t c 8 bit c mt ti cc u ra ca RAM ni vi bus d liu. Nh vy th bt c t no trong s 16 t cng c chn bng cch a m a ch tng ng vo 4 ng ca bus a ch. iu ny c ngha l, mt khi c t ln bus a ch, m a ch s c p vo c hai chip, sao cho mi chip c truy xut cng v tr ng thi. Khi c a ch c chn, ta c th c hoc ghi ti a ch ny di s iu khin ca ng v ng chung.

GV: Th Hng Thm

217

Gio trnh in t s

c th phi mc cao, cn mc thp. iu ny lm cc ng I/O ca RAM hot ng nh u ra. RAM-0 t t 4 bit c chn ca n ln 4 ng trn ca bus d liu, RAM -1 t t 4 bit c chn ca n ln 4 ng di ca bus d liu. Lc ny bus d liu cha t 8 bit hon chnh c chn. ghi th mc thp v cng mc thp, lm cho cc ng I/O ca RAM hot ng nh u vo. T 8 bit cn ghi c t ln bus d liu, 4 bit cao s c ghi vo v tr chn ca RAM-0 v 4 bit thp s c ghi vo v tr chn ca RAM-0.
7.10.2 M rng dung lng.

Gi s ta cn m b nh c dung lng cha 32 t 4 bit m trong tay ta ch c cc chip 16x4. to ra b nh c dung lng 32x4 ta s kt hp 2 chip 16x4. Cch kt hp c minh ha nh hnh 7-38.

Hnh 7-38: Kt hp hai RAM 16x4 thnh 1 m un 32x4. Mi RAM c dng lu tr 16 t 4 bit. 4 chn vo ra d liu (I/O) ca mi RAM c ni chung mt bus d liu 4 ng. Ti mt thi im ch cho php chn mt chip RAM khng ny sinh vn tranh chp bus. V tng dung lng ca m-un nh ny l 32x4 nn phi c 32 a ch khc nhau, i hi n 5 ng a ch. ng a ch AB4 cng chn mt trong hai RAM (qua u vo ) c c ra hay ghi vo. 4 ng a ch cn li dng xc nh mt trong 16 v tr nh ca chip RAM c chn.

GV: Th Hng Thm

218

Gio trnh in t s 7.11. CC MCH NG DNG 7.11.1. n qung co

Hnh 7-39 Mt ng dng rt ph dng ca b nh bn dn trong thc t. Mch in c th trnh by trn hnh 7-39. Mch in gm ba khi chnh: Vi mch 555 to nn mch dao ng to xung, cung cp xung nhp cho h thng. Vi mch 4040 gi chc nng gii m a ch, to a ch gi d liu t EPROM 2764 xut ra iu khin n. Vi mch nh 2764 c np sn chng trnh v chy ch c d liu. Mi a ch a vo cc chn t A0 n A11 s c mt d liu 8 bit xut ra theo chng trnh nh trc np trong EPROM.

7.11.2. n giao thng

GV: Th Hng Thm

219

Gio trnh in t s

Hnh 7-40 Nguyn l lm vic ging nh ng dng n qung co nhng c thm mch chn vng nh khi c d liu cho EPROM 2764. C th nh sau: Trong vng nh t 00000B n 00111B, EPROM 2764 lu tr chng trnh iu khin n giao thng ch u tin 1 (v d ng A u tin hn ng B). Lc ny chn SW1=0 v SW2=0, tng ng A3=0, A4=0. Vi mch 4555 thc LED1 sng, hin th ch lm vic u tin 1 cho mch iu khin n. Trong vng nh t 01000B n 01111B, EPROM 2764 lu tr chng trnh iu khin n giao thng ch u tin 2 (v d ng B u tin hn ng A). Lc ny chn SW1=0 v SW2=1, tng ng A3=1 A4=0. Vi mch 4555 thc LED2 sng, hin th ch lm vic u tin 2 cho mch iu khin n. Trong vng nh t 10000B n 10111B, EPROM 2764 lu tr chng trnh iu khin n giao thng ch ng quyn (ng A v ng B ng cp u tin). Lc ny chn SW1=1 v SW2=0, tng ng A3=0, A4=1. Vi mch 4555 thc LED3 sng, hin th ch lm vic ng quyn cho mch iu khin n. Trong vng nh t 11000B n 11111B, EPROM 2764 lu tr chng trnh iu khin n giao thng ch v khuya (ch c n vng nhp nhy). Lc ny chn SW1=1 v SW2=1, tng ng A3=1, A4=1. Vi mch 4555 thc LED4 sng, hin th ch lm vic v khuya cho mch iu khin n.

GV: Th Hng Thm

220

Gio trnh in t s

Chng trnh np trong mch ny ch mang tnh gii thiu nguyn l lm vic ca EPROM. c th m rng thm cc chng trnh iu khin n giao thng, cn thit phi m rng thm s vng nh cng nh s a ch trong mt vng nh, gip mch in c th ng dng c trong thc tin.

GV: Th Hng Thm

221

Gio trnh in t s CHNG 8

BIN I AD & DA
Trong t nhin a phn cc ngun tn hiu thng l dng tng t (Analog) h thng s c th x l c cc tn hiu ny cn thit phi chuyn i chng sang tn hiu s (ADC). Sau khi tnh ton, x l xong cn thit phi chuyn i cc tn hiu ny t tn hiu s v li tng t (DAC).
8.1. B CHUYN I S - TNG T - DAC

Trong k thut s, ta thy i lng s c gi tr xc nh l mt trong hai kh nng l 0 hoc 1, cao hay thp, ng hoc sai, vv Trong thc t chng ta thy rng mt i lng s (chng hn mc in th) thc ra c th c mt gi tr bt k nm trong khong xc nh v ta nh r cc gi tr trong phm vi xc nh s c chung gi tr dng s. V d: Vi logic TTL ta c: T 0V n 0,8V l mc logic 0, t 2V n 5V l mc logic 1 Nh vy th bt k mc in th no nm trong khong 0 0,8V u mang gi tr s l logic 0, cn mi in th nm trong khong 2 5V u c gn gi tr s l 1. Ngc li trong k thut tng t, i lng tng t c th ly gi tr bt k trong mt khong gi tr lin tc. V iu quan trng hn na l gi tr chnh xc ca i lng tng t l l yu t quan trng. Hu ht trong t nhin u l cc i lng tng t nh nhit , p sut, cng nh sng, Do mun x l trong mt h thng k thut s, ta phi chuyn i sang dng i lng s mi c th x l v iu khin cc h thng c. V ngc li c nhng h thng tng t cn c iu khin chng ta cng phi chuyn i t s sang tng t. Trong phn ny chng ta s tm hiu v qu trnh chuyn i t s sang tng t - DAC (Digital to Analog Converter). Chuyn i s sang tng t l tin trnh ly mt gi tr c biu din di dng m s (digital code) v chuyn i n thnh mc in th hoc dng in t l vi gi tr s. Hnh 8-1 minh ha s khi ca mt b chuyn i DAC.

Hnh 8-1: S khi ca DAC


8.1.1 phn gii

GV: Th Hng Thm

222

Gio trnh in t s

phn gii (resolution) ca b bin i DAC c nh ngha l thay i nh nht c th xy ra u ra tng t bi kt qua ca mt thay i u vo s. phn gii ca DAC ph thuc vo s bit, do cc nh ch to thng n nh phn gii ca DAC dng s bit. DAC 10 bit c phn gii tinh hn DAC 8 bit. DAC c cng nhiu bit th phn gii cng tinh hn. phn gii lun bng trng s ca LSB. Cn gi l kch thc bc thang (step size), v l khong thay i ca Vout khi gi tr ca u vo s thay i t bc ny sang bc khc.

Hnh 8.2: Dng sng bc thang Dng sng bc thang (hnh 8.2) c 16 mc vi 16 thng thi u vo nhng ch c 15 bc gia mc 0 v mc cc i. Vi DAC c N bit th tng s mc khc nhau s l 2N, v tng s bc s l 2N 1. Do phn gii bng vi h s t l trong mi quan h gia u vo v u ra ca DAC. u ra tng t = K x u vo s Vi K l mc in th (hoc cng dng in) mi bc. Nh vy ta c cng thc tnh phn gii nh sau:

Vi

l u ra cc i ( y thang ) N l s bit

Nu tnh theo phn trm ta c cng thc nh sau:

GV: Th Hng Thm

223

Gio trnh in t s

V d nh hnh 8.1 ta c

V d 1: Mt ADC 10 bit c kch thc bc thang = 10mV. Hy xc nh in th u ra cc i ( y thang ) v t l % phn gii. Gii: DAC c 10 bit nn ta c S bc l 210 1 = 1023 bc Vi mi bc l 10mV nn u ra cc i s l 10mVx1023 = 10.23V

T v d trn cho thy t l phn trm phn gii gim i khi s bit u vo tng ln. Do ta cn tnh c % phn gii theo cng thc:

Vi m u vo nh phn N bit ta c tng s bc l 2N 1 bc.


8.1.2 chnh xc

C nhiu cch nh gi chnh xc. Hai cch thng dng nht l sai s ton thang (full scale error) v sai s tuyn tnh (linearity error) thng c biu bin dng phn trm u ra cc i (y thang) ca b chuyn i. Sai s ton thang l khong lch ti a u ra DAC so vi gi tr d kin (l tng), c biu din dng phn trm. Sai s tuyn tnh l khong lch ti a kch thc bc thang so vi kch thc bc thang l tng. iu quan trng ca mt DAC l chnh xc v phn gii phi tng thch vi nhau.
8.1.3 Sai s lch

Theo l tng th u ra ca DAC s l 0V khi tt c u vo nh phn ton l bit 0. Tuy nhin trn thc t th mc in th ra cho trng hp ny s rt nh, gi l sai s lch (offset error). Sai s ny nu khng iu chnh th s c cng vo u ra DAC d kin trong tt c cc trng hp. Nhiu DAC c tnh nng iu chnh sai s lch bn ngoi, s cho php chng ta trit tiu lch ny bng cch p mi bit 0 u vo DAC v theo di u ra. Khi ta iu chnh chit p iu chnh lch cho n khi no u ra bng 0V.
8.1.4 Thi gian n nh

Thi gian n nh (settling time) l thi gian cn thit u ra DAC i t zero n bc thang cao nht khi u vo nh phn bin thin t chui bit ton 0 n chui bit ton l 1. Thc t thi

GV: Th Hng Thm

224

Gio trnh in t s

gian n nh l thi gian u vo DAC n nh trong phm vi 1/2 kch thc bc thang ( phn gii) ca gi tr cui cng. V d: Mt DAC c phn gii 10mV th thi gian n nh c o l thi gian u ra cn c n nh trong phm vi 5mV ca gi tr y thang. Thi gian n nh c gi tr bin thin trong khong 50ns n 10ns. DAC vi u ra dng c thi gian n nh ngn hn thi gian n nh ca DAC c u ra in th.
8.1.5 Trng thi n iu

DAC c tnh cht n iu (monotonic) nu u ra ca n tng khi u vo nh phn tng dn t gi tr ny ln gi tr k tip. Ni cch khc l u ra bc thang s khng c bc i xung khi u vo nh phn tng dn t zero n y thang. T s ph thuc dng: DAC cht lng cao yu cu s nh hng ca bin thin in p ngun i vi in p u ra v cng nh. T s ph thuc ngun l t s bin thin mc in p u ra vi bin thin in p ngun gy ra n. Ngoi cc thng s trn chng ta cn phi quan tm n cc thng s khc ca mt DAC khi s dng nh: cc mc logic cao, thp, in tr, in dung, ca u vo; di rng, in tr, in dung ca u ra; h s nhit,
8.2. CC DNG MCH DAC

C nhiu phng php v s mch gip to DAC vn hnh nh gii thiu. Sau y l mt s dng mch DAC c bn s gip chng ta hiu r v su hn v qu trnh chuyn i t s sang tng t.
8.2.1 DAC dng in tr c trng s nh phn v b khuch i cng.

Hnh 8.3 l s mch ca mt mch DAC 4 bit dng in tr v b khuch i o. Bn u vo A, B, C, D c gi tr gi nh ln lt l 0V v 5V.

Hnh 8.3 : Mch in ca mt mch DAC 4 bit

GV: Th Hng Thm

225

Gio trnh in t s

B khuch i thut ton (Operational Amplifier Op Amp) c dng lm b cng o cho tng trng s ca bn mc in th vo. Ta thy cc in tr u vo gim dn 1/2 ln in tr trc n. Ngha l u vo D (MSB) c RIN = 1k, v vy b khuch i cng chuyn ngay mc in th ti D i m khng lm suy gim (v Rf = 1k). u vo C c R = 2k, suy gim i 1/2, tng t u vo B suy gim 1/4 v u vo A gim 1/8. Do u ra b khuch i c tnh bi biu thc:

du m (-) biu th b khuch i cng y l khuch i cng o. Du m ny chng ta khng cn quan tm. Nh vy ng ra ca b khuch i cng l mc in th tng t, biu th tng trng s ca cc u vo. Da vo biu thc (4) ta tnh c cc mc in p ra tng ng vi cc t hp ca cc ng vo (bng 5.1). Bng 8.1 u ra ng vi iu kin cc u vo thch hp 0V hoc 5V.

phn gii ca mch DAC hnh 8.2 bng vi trng s ca LSB, ngha l bng x 5V = 0.625V. Nhn vo bng 8.1 ta thy u ra tng t tng 0.625V khi s nh phn u vo tng ln mt bc. V d 2: a. Xc nh trng s ca mi bit u vo hnh 8.2 b. Thay i Rf thnh 500W.Xc nh u ra cc i y thang.

GV: Th Hng Thm

226

Gio trnh in t s

Gii: a. MSB chuyn i vi mc khuch i = 1 nn trng s ca n u ra l 5V. Tng t nh vy ta tnh c cc trng s ca cc bit u vo nh sau: MSB MSB th 2 MSB th 3 # 5V # 2.5V (gim i 1/2) # 1.25V (gim i 1/4)

MSB th 4 (LSB) # 0.625V (gim i 1/8) b. Nu Rf = 500W gim theo tha s 2, nn mi trng s u vo s nh hn 2 ln so vi gi tr tnh trn. Do u ra cc i (y thang) s gim theo cng tha s, cn li: -9.375/2 = -4.6875V
8.2.2. DAC R/2R ladder

Mch DAC ta va kho st s dng in tr c trng s nh phn to trng s thch hp cho tng bit vo. Tuy nhin c nhiu hn ch trong thc t. Hn ch ln nht l khong cch chnh lch ng k gi tr in tr gia LSB v MSB, nht l trong cc DAC c phn gii cao (nhiu bit). V d nu in tr MSB = 1k trong DAC 12 bit, th in tr LSB s c gi tr trn 2M. iu ny rt kh cho vic ch to cc IC c bin thin rng v in tr c th duy tr t l chnh xc. khc phc c nhc im ny, ngi ta tm ra mt mch DAC p ng c yu cu l mch DAC mng R/2R ladder. Cc in tr trong mch ny ch bin thin trong khong t 2 n 1. Hnh 8.4 l mt mch DAC R/2R ladder c bn.

Hnh 8-4. T hnh 8.4 ta thy c cch sp xp cc in tr ch c hai gi tr c s dng l R v 2R. Dng IOUT ph thuc vo v tr ca 4 chuyn mch, u vo nh phn B0B1B2B3 chi phi trng thi ca cc chuyn mch ny. Dng ra IOUT c php chy qua b bin i dng thnh in (Op-Amp) bin dng thnh in th ra VOUT. in th ng ra VOUT c tnh theo cng thc:

GV: Th Hng Thm

227

Gio trnh in t s

Vi B l gi tr u vo nh phn, bin thin t 0000 (0) n 1111(15) V d 3: Gi s VREF = 5V ca DAC hnh 5.4. Tnh phn gii v u ra cc i ca DAC ny? Gii phn gii bng vi trng s ca LSB, ta xc nh trng s LSB bng cch gn B = 00012 = 1. Theo cng thc (5), ta c:

u ra cc i xc nh c khi B = 11112 = 1510. p dng cng thc cho Vout ta c:

8.2.3. DAC vi u ra dng

Trong cc thit b k thut s i lc cng i hi qu trnh iu khin bng dng in. Do ngi ta to ra cc DAC vi ng ra dng p ng yu cu . Hnh 8.5 l mt DAC vi ng ra dng tng t t l vi u vo nh phn. Mch DAC ny 4 bit, c 4 ng dn dng song song mi ng c mt chuyn mch iu khin. Trng thi ca mi chuyn mch b chi phi bi mc logic u vo nh phn.

Hnh 8-5: DAC c u ra dng c bn. Dng chy qua mi ng l do mc in th quy chiu VREF v gi tr in tr trong ng dn quyt nh. Gi tr in tr c trng s theo c s 2, nn cng dng in cng c trng s theo h s 2 v tng cng dng in ra IOUT s l tng cc dng ca cc nhnh.

GV: Th Hng Thm

228

Gio trnh in t s

DAC vi u dng ra c th chuyn thnh DAC c u ra in th bng cch dng b khuch i thut ton (Op-Amp) nh hnh 8.6.

Hnh 8-6: Ni vi b i dng thnh in th. hnh trn IOUT ra t DAC phi ni n u vo ca b khuch i thut ton. Hi tip m ca b khuch i thut ton buc dng IOUT phi chy qua RF v to in p ng ra VOUT v c tnh theo cng thc:

Do VOUT s l mc in th tng t, t l vi u vo nh phn ca DAC.


8.2.4. DAC in tr hnh T

Hnh 8.7 l s DAC in tr hnh T 4 bit. Trong s c hai loi in tr l R v 2R c mc thnh 4 cc hnh T ni dy chuyn. Cc S3, S2, S1, S0 l cc chuyn mch in t. Mch DAC ny dng b khuch i thut ton (Op-Amp) khuch i o. VREF l in p chun lm tham kho. B3, B2, B1, B0 l m nh phn 4 bit. Vo l in p tng t ng ra. Ta thy cc chuyn mch chu s iu khin ca s nh phn tng ng vi cc cng tc: khi Bi = 1 th cng tc Si ng vo VREF, kho Bi = 0 th Si ni t.

GV: Th Hng Thm

229

Gio trnh in t s

Hnh 8.7: S DAC in tr hnh T 4 bit. Nguyn l lm vic ca DAC ny cng n gin. Ngi c c th gii thch c hot ng ca mch da trn hnh v v nhng kin thc hc. Chng ta ch cn cho ln lt cc bit Bi bng logic 1 v 0 ta s tnh c VOUT sau dng nguyn xp chng ta s tnh c in p ra:

Biu thc trn chng t rng bin in p tng t u ra t l thun vi gi tr tn hiu s u vo. Chng ta c th thy rng i vi DAC in tr hnh T N bit th in p tng t u ra VOUT s l:

Sai S Chuyn i i vi mch DAC in tr hnh T th sai s chuyn i do cc nguyn nhn sau: * Sai lch in p chun tham chiu VREF . T cng thc Vout ta c th tnh sai s chuyn i DA do ring sai s lch in p chun tham chiu VREF gy ra nh sau:

Biu thc trn cho thy sai s ca in p tng t DVOUT t l vi sai lch DVREF v t l thun vi gi tr tn hiu s u vo. * S tri im 0 ca khuch i thut ton. S tri im 0 ca b khuch i thut ton nh hng nh nhau i vi mi gi tr tn hiu s c bin i. Sai s DVOUT do tri im 0 khng ph thuc gi tr tn hiu s. * in p ri trn in tr tip xc ca tip im chuyn mch.

GV: Th Hng Thm

230

Gio trnh in t s

Cc chuyn mch khng phi l l tng, thc t in p ri khi ni thng ca mch in chuyn mch khng th tuyt i bng 0. Vy in p ri ny ng vai tr tn hiu sai s a n u vo mng in tr hnh T. * Sai s ca in tr . Sai s in tr cng gy ra sai s phi tuyn. Sai s ca cc in tr khng nh nhau, tc ng gy sai s chuyn i DA ca nhng in tr khc nhau v v tr l khc nhau. Tc chuyn i: DAC in tr hnh T cng tc song song (cc bit tn hiu s u vo c a vo song song) nn c tc chuyn i cao. Thi gian cn thit cho mt ln chuyn i gm hai gai on: thi gian tr truyn t ca bit tn hiu vo xa nht n b khuch i thut ton v thi gian cn thit b khuch i thut ton n nh tn hiu ra
8.3. CHUYN I TNG T - S (ADC) 8.3.1 S khi

B chuyn i tng t sang s ADC (Analog to Digital Converter) ly mc in th vo tng t sau mt thi gian s sinh ra m u ra dng s biu din u vo tng t. Tin trnh bin i A/D thng phc tp v mt nhiu thi gian hn tin trnh chuyn i D/A. Do c nhiu phng php khc nhau chuyn i t tng t sang s. Hnh v 8-8 l s khi ca mt lp ADC n gin.

Hnh 8-8: s khi ca mt lp ADC n gin. Hot ng c bn ca lp ADC thuc loi ny nh sau: Xung lnh START khi ng s hot ng ca h thng. Xung Clock quyt nh b iu khin lin tc chnh sa s nh phn lu trong thanh ghi.

GV: Th Hng Thm

231

Gio trnh in t s

S nh phn trong thanh ghi c DAC chuyn i thnh mc in th tng t VAX. B so snh so snh VAX vi u vo trng t VA. Nu VAX < VA u ra ca b so snh ln mc cao. Nu VAX > VA t nht bng mt khong VT (in th ngng), u dra ca b so snh s xung mc thp v ngng tin trnh bin i s nh phn thanh ghi. Ti thi im ny VAX xp x VA. gi dtr nh phn thanh ghi l i lng s tng ng VAX v cng l i lng s tng ng VA, trong gii hn phn gii v chnh xc ca h thng. Logic iu khin kch hot tn hiu ECO khi chu k chuyn i kt thc. Tin trnh ny c th c nhiu thay di i vi mt s loi ADC khc, ch yu l s khc nhau cch thc b iu khin sa i s nh phn trong thanh ghi.
8.3.2 Cc ch tiu k thut ch yu ca ADC

phn gii phn gi ca mt ADC biu th bng s bit ca tn hiu s u ra. S lng bit nhiu sai s lng t cng nh, chnh xc cng cao. Di ng, in tr u vo. Mc logic ca tn hiu s u ra v kh nng chu ti (ni vo u vo). chnh xc tng i Nu l tng ha th tt c cc im chuyn iphi nm trn mt ng thng. chnh xc tng i l sai ds ca cc im chuyn i thc t so vi c tuyn chuyn i l tng. Ngoi ra cn yu cu ADC khng b mt bit trong ton b phm vi cng tc. Tc chuyn i Tc chuyn i c xc nh thi gian bi thi gian cn thit hon thnh mt ln chuyn i A/D. Thi gian ny tnh t khi xut hin tn hiu iu khin chuyn i n khi tn hiu s u ra n nh. H s nhit H s nhit l bin thin tng i tn hiu s u ra khi nhit bin i 10C trong phm vi nhit cng tc cho ph p vi iu kin mc tng t u vo khng i. T s ph thuc cng sut Gi s in p tng t u vo khng i, nu ngun cung cp cho ADC bin thin m nh hng n tn hiu s u ra cng ln th t s ph thuc ngun cng ln. Cng sut tiu hao.
8.3.3 Cc bc chuyn i AD

Qu trnh chuyn i A/D nhn chung c thc hin qua 4 bc c bn, l: ly mu; nh mu; lng t ha v m ha. Cc bc lun lun kt hp vi nhau trong mt qu trnh thng nht.
8.3.3.1 nh l ly mu

i vi tn hiu tng t VI th tn hiu ly mu VS sau qu trnh ly mu c th khi phc tr li VI mt cch trung thc nu iu kin sau y tha mn: GV: Th Hng Thm 232

Gio trnh in t s

fS 2fImax Trong fS

(10) : tn s ly mu

fImax : l gii hn trn ca gii tn s tng t Hnh 8-9 biu din cch ly mu tn hiu tng t u vo. Nu biu thc (10) c tha mn th ta c th dng b t lc thng thp khi phc VI t VS. V mi ln chuyn i in p ly mu thnh tn hiu s tng ng u cn c mt thi gian nht nh nn phi nh mu trong mt khong thi gian cn thit sau mi ln ly mu. in p tng t u vo c thc hin chuyn i A/D trn thc t l gi tr VI i din, gi tr ny l kt qu ca mi ln ly mu.

Hnh 8-9.
8.3.3.2 Lng t ha v m ha

Tn hiu s khng nhng ri rc trong thi gian m cn khng lin tc trong bin i gi tr. Mt gi tr bt k ca tn hiu s u phi biu th bng bi s nguyn ln gi tr n v no , gi tr ny l nh nht c chn. Ngha l nu dng tn hiu s biu th in p ly mu th phi bt in p ly mu ha thnh bi s nguyn ln gi tr n v. Qu trnh ny gi l lng t ha. n v c chn theo qui nh ny gi l n v lng t, k hiu D. Nh vy gi tr bit 1 ca LSB tn hiu s bng D. Vic dng m nh phn biu th gi tr tn hiu s l m ha. M nh phn c c sau qu trnh trn chnh l tn hiu u ra ca chuyn i A/D.
8.3.3.3 Mch ly mu v nh mu

Khi ni trc tip in th tng t vi u vo ca ADC, tin trnh bin i c th b tc ng ngc nu in th tng t thay i trong tin trnh bin i. Ta c th ci thin tnh n nh ca tin trnh chuyn i bng cch s dng mch ly mu v nh mu ghi nh in th tng t khng i trong khi chu k chuyn i din ra. Hnh 5.18 l mt s ca mch ly mu v nh mu.
8.4. GII THIU CC VI MCH IN HNH

GV: Th Hng Thm

233

Gio trnh in t s

Hin nay trn th trng c nhiu loi IC c chc nng chuyn i t s sacng tng t. y ch gii thiu 2 loi IC thng dng, cc loi khc bn c c th tham kho trong Datasheet hay trn Internet.
8.4.1 IC AD7524

IC AD7524 ( IC CMOS) l IC chuyn dng dng chuyn i t s sang tng t. AD7524 l b chuyn i D/A 8 bit, dng mng R/2R ladder. C s bn trong nh hnh 8-10.

Hnh 8-10 AD7524 c u vo 8 bit, c th b cht trong di s iu khin ca u vo CHN CHIP v u vo ghi khi c hai u vo iu khin ny u mc thp, th 8 u vo d liu D7 D0 sinh ra dng tng t OUT1 v OUT2 (thng OUT2 ni t). Nu mt trong hai u vo iu khin ln cao th lc ny d liu vo b cht li v u ra tng t duy tr ti mc ng vi d liu s b cht . Nhng thay i k tip u vo s khng tc ng n ng ra tng t OUT1 trng thi cht ny. Cc thng s ca IC c lit k bng 8.2 Bng 8.2 Cc thng s ca IC DA7524

GV: Th Hng Thm

234

Gio trnh in t s

Quan h ng vo v ng ra tng ng c trnh by bng 8.3 Bng 8.3 Quan h ng vo v ng ra

ng dng ca IC AD7524 thng dng giao tip vi cc vi x l v vi iu khin chuyn i tn hiu s sang tng t nhm iu khin cc i tng cn iu khin. Sau y l mt s ng dng ca IC AD7524 giao tip vi cc IC khc.

GV: Th Hng Thm

235

Gio trnh in t s

Hnh 8-11

Hnh 8-12: Giao tip gia AD 7524 vi 8051.

GV: Th Hng Thm

236

Gio trnh in t s

Hnh 8-13
8.4.2 IC DAC0830

DAC 0830 l IC thuc h CMOS. L b chuyn i D/A 8 bit dng mng R/2R ladder. C th giao tip trc tip vi cc vi x l m rng hot ng chuyn i D/A. S chn v cu trc bn trong ca DAC0830

Hnh 8-14 GV: Th Hng Thm 237

Gio trnh in t s

Hot ng ca cc chn ( CHIP SELECT) l chn chn hot ng mc thp. c kt hp vi chn ITL c th vit d liu. ITL (INPUT LACTH ENABLE) l chn cho php cht ng vo, hot ng mc cao. ITL kt hp vi cho php vit.

(WRITE) hot ng mc thp. c s dng np cc bit d liu ng vo cht. D liu c cht khi mc cao. cht c d liu vo th trong khi ITL phi mc cao. (WRITE) tc ng mc thp. Chn ny kt hp vi chn ng vo mch cht c truyn ti thanh nghi DAC trong IC. v phi mc thp

cho php d liu cht c vit.

(TRANSFER CONTROL SIGNAL) tc ng mc thp. Cho php DI0 DI7 l cc ng vo s trong DI0 l LSB cn DI7 l MSB.

IOUT1 ng ra dng DAC1. C tr s cc i khi tt c cc bit vo u bng 1, cn bng 0 khi tt c cc bit vo u bng 0. IOUT2 ng ra dng DAC2. Nu IOUT1 tng t 0 cho n cc i th IOUT2 s gim t cc i v 0 sao cho IOUT1 + IOUT2 = hng s. Rfb in tr hi tip nm trong IC. Lun c s dng hi tip cho Op Amp mc ngoi. Vref ng vo in p tham chiu t -10 n +10V. VCC in p ngun cp cho IC hot ng t 5 n 15V. GND (mass) chung cho IOUT1 v IOUT2. Sau y l mt s ng dng ca DAC0830 chuyn i t s sang tng t. iu khin volume bng s

GV: Th Hng Thm

238

Gio trnh in t s

Hnh 8-15 iu khin my pht sng bng s

GV: Th Hng Thm

239

Gio trnh in t s

Hnh 8-16 B iu khin dng bng s

GV: Th Hng Thm

240

Gio trnh in t s

Hnh 8-18. DAC8030 c th iu khin c dng ra thay i theo d liu s vo. Dng ra thay i t 4mA (khi D = 0) n 19.9mA (khi D = 255). Mch in trn s dng cho cc mc in p vo khc nhau t 16V n 55V. P2 thay i gi tr dng
8.5. CC MCH NG DNG 8.5.1. iu chnh v n nh v tr ca mt vt

Khi yu cu mch iu khin i hi khng ch mt vt dch chuyn v c nh mt mc khng i c th thc hin mch iu khin theo s trn hnh sau

GV: Th Hng Thm

241

Gio trnh in t s

Khi t mt gi tr s nh phn vo ng vo DAC, in p ng ra Va tc ng mch Op-Amps iu khin ng c servo M a vt cn iu khin n mt v tr t trc (v tr ny c xc lp khi Va = Vp). Nh vy tu vo gi tr ca s nh phn, vt cn iu khin s dch chuyn trong chiu di t 0 n 100mm. V mt l do no lm cho vt cn iu khin lch khi v tr cn bng (Va Vp) v d nh antenna b gi thi lch khi v tr cn bng ... s lm thay i in p Vp so vi mass (do bin tr thay i v tr), iu ny s tc ng vo Op-Amps thay i in p trn ng c servo M, tc ng da vt cn iu khin v v tr cn bng.
8.5.2. Mch khi ng m (Ramp-Start)

Trong mch iu khin h truyn ng c ng c s dng k thut s, ngi ta thng s dng cc b khi ng m gip h thng trnh c cc xung t bin c hc gip ng khng b xon gy trc hay h hng cc chi tit c kh cng nh in t khc. Mch ny c s khi v nguyn l lm vic nh hnh sau:

GV: Th Hng Thm

242

Gio trnh in t s

Khi h nhn c tn hiu "START" t mch iu khin, mch m nhn xung clock v bt u m ln, cng lc ny ng ra Op-Amps l tn hiu EOC (End-Of-Conversion) ang mc cao. Theo nhp m ln ca mch m, DAC chuyn i cc s nh phn theo gi tr ln dn nh dng sng in p VAX trn hnh v. Tn hiu ny iu khin h thng khi ng m cho n khi VA = VAX th tn hiu ng ra Op-Amps EOC s v 0 dn n kt thc qu trnh khi ng m do cng AND 3 ng vo hot ng nh mt kho in t. R rng, thay v t bin t 0 n VA, tn hiu in p VAX tng dn theo tng nc nh. Khong cch gia 2 nc chnh l phn gii ca DAC.
8.5.3. Mch pht xung chnh c tn s v sng dng in p

Nguyn l lm vic ca mch ny tng t nh mch iu khin n qung co trong chng vi mch nh nhng thay v cc ng ra iu khin n th y cc tn hiu ny a vo DAC 0808. Khi thay i chng trnh xut ra t vi mch nh ta s thay i c sng dng in p pht ra vout.

GV: Th Hng Thm

243

Gio trnh in t s

Nu mun tn hiu ng ra l sng vung, ch cn np trnh vo EPROM nh sau:

Nh vy ch cn tnh ton li cc mc in p tng ng vi cc ng vo tn hiu s ly t chng trnh trong EPROM, ta c th to ra bt k sng dng in p no ng ra. Khi mun thay i tn s ca sng dng in p vout, ta ch vic thay i tn s ca mch pht xung dng vi mch 555. Do , tn s ca in p vout c chnh trong mt khong rng v trn.

GV: Th Hng Thm

244

You might also like