You are on page 1of 1

EXPERIMENT NO.

1
An Inverter

library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL;

-- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components. --library UNISIM; --use UNISIM.VComponents.all;

entity inverter is Port ( a : in std_logic; y : out std_logic); end inverter;

architecture Behavioral of inverter is

begin

y<= not a;

end Behavioral;

You might also like