You are on page 1of 164

K THUT S

NGUYN TRUNG LP

________________________________________Chng

I : Cc H Thng S I-1

CHNG 1: CC H THNG S & M


U NGUYN L CA VIC VIT S
U CC H THNG S
H c s 10 (thp phn)
H c s 2 (nh phn)
H c s 8 (bt phn)
H c s 16 (thp lc phn)
U BIN I QUA LI GIA CC H THNG S
i t h b sang h 10
i t h 10 sang h b
i t h b sang h bk & ngc li
i t h bk sang h bp
U CC PHP TON S NH PHN
Php cng
Php tr
Php nhn
Php chia
U M HA
M BCD
M Gray

Nhu cu v nh lng trong quan h gia con ngi vi nhau, nht l trong nhng
trao i thng mi, c t khi x hi hnh thnh. c rt nhiu c gng trong vic tm
kim cc vt dng, cc k hiu . . . dng cho vic nh lng ny nh cc que g, v s, s
La m . . . Hin nay s rp t ra c nhiu u im khi c s dng trong nh lng, tnh
ton. . . ..
Vic s dng h thng s hng ngy tr nn qu quen thuc khin chng ta c th
qun i s hnh thnh v cc qui tc vit cc con s.
Chng ny nhc li mt cch s lc nguyn l ca vic vit s v gii thiu cc h
thng s khc ngoi h thng thp phn quen thuc, phng php bin i qua li ca cc s
trong cc h thng khc nhau. Chng ta s c bit quan tm n h thng nh phn l h
thng c dng trong lnh vc in t-tin hc nh l mt phng tin gii quyt cc vn
mang tnh logic.
Phn cui ca chng s gii thiu cc loi m thng dng chun b cho cc
chng k tip.

1.1 Nguyn l ca vic vit s


Mt s c vit bng cch t k nhau cc k hiu, c chn trong mt tp hp xc
nh. Mi k hiu trong mt s c gi l s m (s hng, digit).
Th d, trong h thng thp phn (c s 10) tp hp ny gm 10 k hiu rt quen
thuc, l cc con s t 0 n 9:
S10 = {0, 1, 2, 3, 4, 5, 6, 7, 8, 9}
Khi mt s gm nhiu s m c vit, gi tr ca cc s m ty thuc v tr ca n
trong s . Gi tr ny c gi l trng s ca s m.
______________________________________________________________
______________________Nguyn Trung Lp__________________________

K THUT S

________________________________________Chng

I : Cc H Thng S I-1

Th d s 1998 trong h thp phn c gi tr xc nh bi trin khai theo a thc ca


10:

199810 = 1x103 + 9x102 +9x101 + 9x100 = 1000 + 900 + 90 + 8


Trong trin khai, s m ca a thc ch v tr ca mt k hiu trong mt s vi qui c
v tr ca hng n v l 0, cc v tr lin tip v pha tri l 1, 2, 3, ... . Nu c phn l, v tr
u tin sau du phy l -1, cc v tr lin tip v pha phi l -2, -3, ... .
Ta thy, s 9 u tin (sau s 1) c trng s l 900 trong khi s 9 th hai ch l 90.
C th nhn xt l vi 2 k hiu ging nhau trong h 10, k hiu ng trc c trng
s gp 10 ln k hiu ng ngay sau n. iu ny hon ton ng cho cc h khc, th d,
i vi h nh phn ( c s 2) th t l ny l 2.
Tng qut, mt h thng s c gi l h b s gm b k hiu trong mt tp hp:
Sb = {S0, S1, S2, . . ., Sb-1}
Mt s N c vit:
N = (anan-1an-2. . .ai . . .a0 , a-1a-2 . . .a-m)b vi ai Sb
S c gi tr:
N = an bn + an-1bn-1 + an-2bn-2 + . . .+ aibi +. . . + a0b0 + a-1 b-1 + a-2 b-2 +. . .+ a-mb-m.
=

a b

i =m

aibi chnh l trng s ca mt k hiu trong Sb v tr th i.

1.2 Cc h thng s
1.2.1 H c s 10 (thp phn, Decimal system)
H thp phn l h thng s rt quen thuc, gm 10 s m nh ni trn.
Di y l vi v d s thp phn:
N = 199810 = 1x103 + 9x102 + 9x101 + 8x100 = 1x1000 + 9x100 + 9x10 + 8x1
N = 3,1410 = 3x100 + 1x10-1 +4x10-2 = 3x1 + 1x1/10 + 4x1/100

1.2.2 H c s 2 (nh phn, Binary system)


H nh phn gm hai s m trong tp hp
S2 = {0, 1}
Mi s m trong mt s nh phn c gi l mt bit (vit tt ca binary digit).
S N trong h nh phn:
N = (anan-1an-2. . .ai . . .a0 , a-1a-2 . . .a-m)2
(vi ai S2)
C gi tr l:
N = an 2n + an-12n-1 + . . .+ ai2i +. . . + a020 + a-1 2-1 + a-2 2-2 + . . .+ a-m2-m
an l bit c trng s ln nht, c gi l bit MSB (Most significant bit) v a-m l bit
c trng s nh nht, gi l bit LSB (Least significant bit).
Th d: N = 1010,12 = 1x23 + 0x22 + 1x21 + 0x20 + 1x2-1 = 10,510

1.2.3 H c s 8 (bt phn ,Octal system)


H bt phn gm tm s trong tp hp
S8 = {0,1, 2, 3, 4, 5, 6, 7}.
S N trong h bt phn:
N = (anan-1an-2. . .ai . . .a0 , a-1a-2 . . .a-m)8 (vi ai S8)
______________________________________________________________
______________________Nguyn Trung Lp__________________________

K THUT S

________________________________________Chng

I : Cc H Thng S I-1

C gi tr l:
N = an 8n + an-18n-1 + an-28n-2 +. . + ai8i . . .+a080 + a-1 8-1 + a-2 8-2 +. . .+ a-m8-m
Th d: N = 1307,18 = 1x83 + 3x82 + 0x81 + 7x80 + 1x8-1 = 711,12510

1.2.4 H c s 16 (thp lc phn, Hexadecimal system)


H thp lc phn c dng rt thun tin con ngi giao tip vi my tnh, h
ny gm mi su s trong tp hp
S16 ={0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F }
(A tng ng vi 1010 , B =1110 , . . . . . . , F=1510) .
S N trong h thp lc phn:
N = (anan-1an-2. . .ai . . .a0 , a-1a-2 . . .a-m)16 (vi ai S16)
C gi tr l:
N = an 16n + an-116n-1 + an-216n-2 +. . + ai16i . . .+a0160+ a-1 16-1 + a-2 16-2 +. . .+ a-m16-m
Ngi ta thng dng ch H (hay h) sau con s ch s thp lc phn.
Th d: N = 20EA,8H = 20EA,816 = 2x163 + 0x162 + 14x161 + 10x160 + 8x16-1
= 4330,510

1.3 Bin i qua li gia cc h thng s


Khi c nhiu h thng s, vic xc nh gi tr tng ng ca mt s trong h
ny so vi h kia l cn thit. Phn sau y cho php ta bin i qua li gia cc s trong bt
c h no sang bt c h khc trong cc h c gii thiu.

1.3.1 i mt s t h b sang h 10
i mt s t h b sang h 10 ta trin khai trc tip a thc ca b
Mt s N trong h b:
N = (anan-1an-2. . .ai . . .a0 , a-1a-2 . . .a-m)b vi ai Sb
C gi tr tng ng trong h 10 l:
N = an bn + an-1bn-1 +. . .+ aibi +. . . + a0b0+ a-1 b-1 + a-2 b-2 +. . .+ a-mb-m.
Th d:
* i s 10110,112 sang h 10
10110,112 = 1x24 + 0 + 1x22 + 1x2 + 0 + 1x2-1 + 1x2-2 = 22,7510
* i s 4BE,ADH sang h 10
4BE,ADH=4x162+11x161+14x160+10x16-1+13x16-2 = 1214,67510

1.3.2 i mt s t h 10 sang h b
y l bi ton tm mt dy k hiu cho s N vit trong h b.
Tng qut, mt s N cho h 10, vit sang h b c dng:
N = (anan-1 . . .a0 , a-1a-2 . . .a-m)b = (anan-1 . . .a0)b + (0,a-1a-2 . . .a-m)b
Trong
(anan-1 . . .a0)b
= PE(N) l phn nguyn ca N
v
(0,a-1a-2 . . .a-m)b = PF(N) l phn l ca N
Phn nguyn v phn l c bin i theo hai cch khc nhau:
______________________________________________________________
______________________Nguyn Trung Lp__________________________

K THUT S

________________________________________Chng

I : Cc H Thng S I-1

Phn nguyn:
Gi tr ca phn nguyn xc nh nh trin khai:
PE(N) = anbn + an-1bn-1 + . . .+ a1b 1+ a0b0
Hay c th vit li
PE(N) = (anbn-1 + an-1bn-2 + . . .+ a1)b + a0
Vi cch vit ny ta thy nu chia PE(N) cho b, ta c thng s l PE(N) = (anbn1
+ an-1bn-2 + . . .+ a1) v s d l a0.
Vy s d ca ln chia th nht ny chnh l s m c trng s nh nht (a0) ca
phn nguyn.
Lp li bi ton chia PE(N) cho b:
PE(N) = anbn-1 + an-1bn-2 + . . .+ a1= (anbn-2 + an-1bn-3 + . . .+ a2)b+ a1
Ta c s d th hai, chnh l s m c trng s ln hn k tip (a1) v thng s
l PE(N)= anbn-2 + an-1bn-3 + . . .+ a2.
Tip tc bi ton chia thng s c c vi b, cho n khi c s d ca php chia
cui cng, chnh l s m c trng s ln nht (an)
Phn l:
Gi tr ca phn l xc nh bi:
PF(N) = a-1 b-1 + a-2 b-2 +. . .+ a-mb-m
Hay vit li
PF(N) = b-1 (a-1 + a-2 b-1 +. . .+ a-mb-m+1 )
Nhn PF(N) vi b, ta c : bPF(N) = a-1 + (a-2 b-1 +. . .+ a-mb-m+1 ) = a-1+ PF(N).
Vy ln nhn th nht ny ta c phn nguyn ca php nhn, chnh l s m c
trng s ln nht ca phn l (a-1) (s a-1 ny c th vn l s 0).
PF(N) l phn l xut hin trong php nhn.
Tip tc nhn PF(N) vi b, ta tm c a-2 v phn l PF(N).
Lp li bi ton nhn phn l vi b cho n khi kt qu c phn l bng khng, ta s
tm c dy s (a-1a-2 . . .a-m).
Ch : Phn l ca s N khi i sang h b c th gm v s s hng (do kt qu ca
php nhn lun khc 0), iu ny c ngha l ta khng tm c mt s trong h b c gi tr
ng bng phn l ca s thp phn, vy ty theo yu cu v chnh xc khi chuyn i m
ngi ta ly mt s s hng nht nh.
Th d:
* i 25,310 sang h nh phn
Phn nguyn:
25 : 2 = 12 d 1
a0 = 1
12 : 2 = 6 d 0
a1 = 0
6 : 2 = 3 d 0
a2 = 0
3 : 2 = 1 d 1
a3 = 1
thng s cui cng l 1 cng chnh l bit a4:
a4 = 1
Vy PE(N) = 11001
Phn l:
0,3 * 2 = 0,6
a-1 = 0
0,6 * 2 = 1,2
a -2 = 1
0,2 * 2 = 0,4
a-3 = 0
0,4 * 2 = 0,8
a-4 = 0
0,8 * 2 = 1,6
a-5 = 1 . . .

______________________________________________________________
______________________Nguyn Trung Lp__________________________

K THUT S

________________________________________Chng

I : Cc H Thng S I-1

Nhn thy kt qu ca cc bi ton nhn lun khc khng, do phn l ca ln nhn


cui cng l 0,6, lp li kt qu ca ln nhn th nht, nh vy bi ton khng th kt thc
vi kt qu ng bng 0,3 ca h 10.
Gi s bi ton yu cu ly 5 s l th ta c th dng y v
PF(N) = 0,01001.
Kt qu cui cng l:
25,310 = 11001,010012
* i 1376,8510 sang h thp lc phn
Phn nguyn: 1376 : 16 = 86 s d = 0
a0 = 0
86 : 16 = 5 s d = 6
a1 = 6 & a2 = 5
137610 = 560H
Phn l:
0,85 * 16 = 13,6
a-1 = 1310=DH
0,6 * 16 = 9,6
a -2 = 9
0,6 * 16 = 9,6
a-3 = 9
Nu ch cn ly 3 s l:
0,8510= 0,D99H
V kt qu cui cng:
1376,8510 = 560,D99H

1.3.3 i mt s t h b sang h bk v ngc li


T cch trin khai a thc ca s N trong h b, ta c th nhm thnh tng k s hng t
du phy v hai pha v t thnh tha s chung
N = anbn +. . . +a5b5 + a4b4 +a3b3 +a2b2 +a1b1 +a0b0 +a-1 b-1 +a-2 b-2 +a-3 b-3. . .+a-mb-m
d hiu, chng ta ly th d k = 3, N c vit li bng cch nhm tng 3 s hng,
k t du phy v 2 pha
N = ...+ (a5b2 + a4b1 + a3b0)b3 + (a2b2 + a1b1 + a0b0 )b0+ (a-1 b2 + a-2 b1 + a-3b0)b-3 +...
Phn cha trong mi du ngoc lun lun nh hn b3 , vy s ny to nn mt s
trong h b3 v lc c biu din bi k hiu tng ng trong h ny.
Tht vy, s N c dng:
N = ...+A2B2+A1B1+A0B0 + A-1B-1 +...
Trong :
B=b3 (B0=b0; B1=b3; B2=b6, B-1=b-3 ....)
A2= a8b2 + a7b1 + a6b0 = b3(a8b-1 + a7b-2 + a6b-3) < B=b3
A1= a5b2 + a4b1 + a3b0 = b3(a5b-1 + a4b-2 + a3b-3) < B=b3
A0= a2b2 + a1b1 + a0b0 = b3(a2b-1 + a1b-2 + a0b-3) < B=b3
Cc s Ai lun lun nh hn B=b3 nh vy n chnh l mt phn t ca tp hp s to
nn h B=b3
Ta c kt qu bin i tng t cho cc h s k khc.
Tm li, i mt s t h b sang h bk, t du phy i v hai pha, ta nhm tng k
s hng, gi tr ca mi k s hng ny (tnh theo h b) chnh l s trong h bk .
Th d:
* i s N = 10111110101 , 011012 sang h 8 = 23
T du phy, nhm tng 3 s hng v hai pha (nu cn, thm s 0 vo nhm u v
cui 3 s hng m khng lm thay i gi tr ca s N):
N = 010 111 110 101 , 011 0102
Ghi gi tr tng ng ca cc s 3 bit, ta c s N trong h 8
N= 2 7 6 5 , 3 2 8
* i s N trn sang h 16 = 24
______________________________________________________________
______________________Nguyn Trung Lp__________________________

K THUT S

________________________________________Chng

I : Cc H Thng S I-1

Cng nh trn nhng nhm tng 4 s hng


N = 0101 1111 0101 , 0110 10002
N= 5
F
5 , 6
8 16
k
T kt qu ca php i s t h b sang h b , ta c th suy ra cch bin i ngc
mt cch d dng: Thay mi s hng ca s trong h bk bng mt s gm k s hng trong h
b.
Th d i s N = 5 F5, 6816 (h 24) sang h nh phn (2) ta dng 4 bit vit cho
mi s hng ca s ny:
N = 0101 1111 0101 , 0110 10002

1.3.4 i mt s t h bk sang h bp
Qua trung gian ca h b, ta c th i t h bk sang h bp. Mun i s N t h bk
sang h bp, trc nht i s N sang h b ri t h b tip tc i sang h bp.
Th d:
- i s 1234,678 sang h 16
1234,678 = 001 010 011 100,110 1112 = 0010 1001 1100,1101 11002 = 29C,DCH
- i s ABCD,EFH sang h 8
ABCD,EFH = 1010 1011 1100 1101,1110 11112 = 1 010 101 111 001 101,111 011
1102 = 125715,7368
Di y l bng k cc s u tin trong cc h khc nhau:
Thp
phn
0
1
2
3
4
5
6
7
8
9
10
11
12

Nh
phn
0
1
10
11
100
101
110
111
1000
1001
1010
1011
1100

Bt
phn
0
1
2
3
4
5
6
7
10
11
12
13
14

Thp lc
phn
0
1
2
3
4
5
6
7
8
9
A
B
C

Thp
phn
13
14
15
16
17
18
19
20
21
22
23
24
25

Nh
phn
1101
1110
1111
10000
10001
10010
10011
10100
10101
10110
10111
11000
11001

Bt
phn
15
16
17
20
21
22
23
24
25
26
17
30
31

Thp lc
phn
D
E
F
10
11
12
12
14
15
16
17
18
19

Bng 1.1

1.4 Cc php tnh trong h nh phn


Cc php tnh trong h nh phn c thc hin tng t nh trong h thp phn, tuy
nhin cng c mt s im cn lu

______________________________________________________________
______________________Nguyn Trung Lp__________________________

K THUT S

________________________________________Chng

I : Cc H Thng S I-1

1.4.1 Php cng


L php tnh lm c s cho cc php tnh khc.
Khi thc hin php cng cn lu :
0+0=0;
0+1=1;
1 + 1 = 0 nh 1 (em qua bt cao hn).
Ngoi ra nu cng nhiu s nh phn cng mt lc ta nn nh :
- Nu s bit 1 chn, kt qu l 0;
- Nu s bit 1 l kt qu l 1
- V c 1 cp s 1 cho 1 s nh (b qua s 1 d, th d vi 5 s 1 ta k l 2 cp)
Th d: Tnh

011 + 101 + 011 + 011


1 1 s nh
1 1 1 s nh
011
+ 101
011
011
-------1110

1.4.2 Php tr
Cn lu :
0-0=0;
1-1=0;
1-0=1;
0 - 1 = 1 nh 1 cho bit cao hn
Th d: Tnh 1011 - 0101
1
s nh
1011
- 0101
--------0110

1.4.3 Php nhn


Cn lu :
0x0=0;
0x1=0;
1x1=1
Th d: Tnh 1101 x 101
11 01
1 01
--------1101
0000
1101
--------------x

______________________________________________________________
______________________Nguyn Trung Lp__________________________

K THUT S

________________________________________Chng

I : Cc H Thng S I-1

1000001

1.4.4 Php chia


Th d: Chia 1001100100 cho 11000
Ln chia u tin, 5 bit ca s b chia nh hn s chia nn ta c kt qu l 0, sau
ta ly 6 bit ca s b chia chia tip (tng ng vi vic dch phi s chia 1 bit trc khi
thc hin php tr)

Kt qu : (11001.1) 2 = (25.5)10

1.5 M ha
1.5.1 Tng qut
M ha l gn mt k hiu cho mt i tng thun tin cho vic thc hin mt
yu cu c th no .
Mt cch ton hc, m ha l mt php p mt i mt t mt tp hp ngun vo
mt tp hp khc gi l tp hp ch.

(H 1.1)
Tp hp ngun c th l tp hp cc s, cc k t, du, cc lnh dng trong truyn d
liu . . . v tp hp ch thng l tp hp cha cc t hp th t ca cc s nh phn.
Mt t hp cc s nh phn tng ng vi mt s c gi l t m. Tp hp cc t
m c to ra theo mt qui lut cho ta mt b m. Vic chn mt b m ty vo mc ch
s dng.
Th d biu din cc ch v s, ngi ta c m ASCII (American Standard Code
for Information Interchange), m Baudot, EBCDIC . . .. Trong truyn d liu ta c m d
li, d v sa li, mt m . . ..
Vn ngc li m ha gi l gii m.
______________________________________________________________
______________________Nguyn Trung Lp__________________________

K THUT S

________________________________________Chng

I : Cc H Thng S I-1

Cch biu din cc s trong cc h khc nhau cng c th c xem l mt hnh thc
m ha, l cc m thp phn, nh phn, thp lc phn . . . v vic chuyn t m ny sang
m khc cng thuc loi bi ton m ha.
Trong k thut s ta thng dng cc m sau y:

1.5.2 M BCD (Binary Coded Decimal)


M BCD dng s nh phn 4 bit c gi tr tng ng thay th cho tng s hng
trong s thp phn.
Th d:
S 62510 c m BCD l 0110 0010 0101.
M BCD dng rt thun li : mch in t c cc s BCD v hin th ra bng n
by on (led hoc LCD) hon ton ging nh con ngi c v vit ra s thp phn.

1.5.3 M Gray
M Gray hay cn gi l m cch khong n v.
Nu quan st thng tin ra t mt my m ang m cc s kin tng dn tng n v,
ta s c cc s nh phn dn dn thay i. Ti thi im ang quan st c th c nhng li
rt quan trng. Th d gia s 7(0111) v 8 (1000), cc phn t nh phn u phi thay i
trong qu trnh m, nhng s giao hon ny khng bt buc xy ra ng thi, ta c th c
cc trng thi lin tip sau:
0111 0110 0100 0000 1000
Trong mt quan st ngn cc kt qu thy c khc nhau. trnh hin tng ny,
ngi ta cn m ha mi s hng sao cho hai s lin tip ch khc nhau mt phn t nh phn
(1 bit) gi l m cch khong n v hay m Gray.
Tnh k nhau ca cc t hp m Gray (tc cc m lin tip ch khc nhau mt bit)
c dng rt c hiu qu rt gn hm logic ti mc ti gin.
Ngoi ra, m Gray cn c gi l m phn chiu (do tnh i xng ca cc s hng
trong tp hp m, ging nh phn chiu qua gng)
Ngi ta c th thit lp m Gray bng cch da vo tnh i xng ny:
- Gi s ta c tp hp 2n t m ca s n bit th c th suy ra tp hp 2n+1 t m ca
s (n+1) bit bng cch:
- Vit ra 2n t m theo th t t nh n ln
- Thm s 0 vo trc tt c cc t m c c mt phn ca tp hp t m mi
- Phn th hai ca tp hp gm cc t m ging nh phn th nht nhng trnh by
theo th t ngc li (ging nh phn chiu qua gng) v pha trc thm vo s 1 thay v
s 0 (H 1.2).

______________________________________________________________
______________________Nguyn Trung Lp__________________________

K THUT S

________________________________________Chng

I : Cc H Thng S I-1

(H 1.2)
thit lp m Gray ca s nhiu bit ta c th thc hin cc bc lin tip t tp hp
u tin ca s mt bit (gm hai bit 0, 1).
Di y l cc bc to m Gray ca s 4 bit. Ct bn phi ca bng m 4 bit cho gi
tr tng ng trong h thp phn ca m Gray tng ng (H 1.3).

0
1

0 0
0 1

1 1
1 0

2 bi
t

1
bit

0 0
0 0
0
1
0 1
0 1
1
0
1 1
1 0
1
1
1 0
1 1
0
0
3 bi
t

0 000
0 001

Tr thp
phn
tng
ng
0
1

0 011
0 010

2
3

0 110
0 111

4
5

0 101
0 100

6
7

1
1
1
1
1
1
1
1
4

8
9
10
11
12
13
14
15

100
101
111
110
010
011
001
000
bit

(H 1.3)
______________________________________________________________
______________________Nguyn Trung Lp__________________________

K THUT S

________________________________________Chng

I : Cc H Thng S I-1

Nhn xt cc bng m ca cc s Gray (1 bit, 2 bit, 3 bit v 4 bit) ta thy cc s gn


nhau lun lun khc nhau mt bit, ngoi ra, trong tng b m, cc s i xng nhau qua
gng cng khc nhau mt bit.

Bi Tp
1. i cc s thp phn di y sang h nh phn v h thp lc phn :
a/ 12 b/ 24 c/ 192 d/ 2079
e/ 15492
f/ 0,25 g/ 0,375 h/ 0,376 i/ 17,150 j/ 192,1875
2. i sang h thp phn v m BCD cc s nh phn sau y:
a/ 1011 b/ 10110 c/ 101,1 d/ 0,1101
e/ 0,001 f/ 110,01
g/ 1011011 h/ 10101101011
3. i cc s thp lc phn di y sang h 10 v h 8:
a/ FF b/ 1A c/ 789 d/ 0,13 e/ ABCD,EF
4. i cc s nh phn di y sang h 8 v h 16:
a/ 111001001,001110001 b/ 10101110001,00011010101
c/ 1010101011001100,1010110010101 d/ 1111011100001,01010111001
5. M ha s thp phn di y dng m BCD :
a/ 12 b/ 192 c/ 2079 d/15436 e/ 0,375 f/ 17,250

______________________________________________________________
______________________Nguyn Trung Lp__________________________

K THUT S

______________________________________________________Chng 2

Hm Logic II - 1

" CHNG 2 HM LOGIC


D HM LOGIC C BN
D CC DNG CHUN CA HM LOGIC
Dng tng chun
Dng tch chun
Dng s
Bin i qua li gia cc dng chun
D RT GN HM LOGIC
Phng php i s
Phng php dng bng Karnaugh
Phng php Quine Mc. Cluskey
___________________________________________________________________________
____________
Nm 1854 Georges Boole, mt trit gia ng thi l nh ton hc ngi Anh cho xut
bn mt tc phm v l lun logic, ni dung ca tc phm t ra nhng mnh m tr li
ngi ta ch phi dng mt trong hai t ng (c, yes) hoc sai (khng, no).
Tp hp cc thut ton dng cho cc mnh ny hnh thnh mn i s Boole. y l
mn ton hc dng h thng s nh phn m ng dng ca n trong k thut chnh l cc
mch logic, nn tng ca k thut s.
Chng ny khng c tham vng trnh by l thuyt i s Boole m ch gii hn trong
vic gii thiu cc hm logic c bn v cc tnh cht cn thit gip sinh vin hiu vn
hnh ca mt h thng logic.

2.1. HM LOGIC C BN
2.1.1. Mt s nh ngha
- Trng thi logic: trng thi ca mt thc th. Xt v mt logic th mt thc th ch
tn ti mt trong hai trng thi. Th d, i vi mt bng n ta ch quan tm n ang
trng thi no: tt hay chy. Vy tt / chy l 2 trng thi logic ca n.
- Bin logic dng c trng cho cc trng thi logic ca cc thc th. Ngi ta biu
din bin logic bi mt k hiu (ch hay du) v n ch nhn 1 trong 2 gi tr : 0 hoc 1.
Th d trng thi logic ca mt cng tc l ng hoc m, m ta c th c trng bi tr
1 hoc 0.
- Hm logic din t bi mt nhm bin logic lin h nhau bi cc php ton logic.
Cng nh bin logic, hm logic ch nhn 1 trong 2 gi tr: 0 hoc 1 ty theo cc iu kin lin
quan n cc bin.
Th d, mt mch gm mt ngun hiu th cp cho mt bng n qua hai cng tc mc
ni tip, bng n ch chy khi c 2 cng tc u ng. Trng thi ca bng n l mt hm
theo 2 bin l trng thi ca 2 cng tc.
Gi A v B l tn bin ch cng tc, cng tc ng ng vi tr 1 v h ng vi tr 0. Y l
hm ch trng thi bng n, 1 ch n chy v 0 khi n tt. Quan h gia hm Y v cc bin
A, B c din t nh bng sau:

___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 2
A
0 (h)
0 (h)
1 (ng)
1 (ng)

B
0 (h)
1 (ng)
0 (h)
1 (ng)

Y=f(A,B)
0 (tt)
0 (tt)
0 (tt)
1 (chy)

2.1.2. Biu din bin v hm logic


2.1.2.1. Gin Venn
Cn gi l gin Euler, c bit dng trong lnh vc tp hp. Mi bin logic chia
khng gian ra 2 vng khng gian con, mt vng trong gi tr bin l ng (hay=1), v vng
cn li l vng ph trong gi tr bin l sai (hay=0).
Th d: Phn giao nhau ca hai tp hp con A v B (gch cho) biu din tp hp trong
A v B l ng (A AND B) (H 2.1)

(H 2.1)

2.1.2.2. Bng s tht


Nu hm c n bin, bng s tht c n+1 ct v 2n + 1 hng. Hng u tin ch tn bin
v hm, cc hng cn li trnh by cc t hp ca n bin trong 2n t hp c th c. Cc ct u
ghi gi tr ca bin, ct cui cng ghi gi tr ca hm tng ng vi t hp bin trn cng
hng (gi l tr ring ca hm).
Th d: Hm OR ca 2 bin A, B: f(A,B) = (A OR B) c bng s tht tng ng.
A
0
0
1
1

B
0
1
0
1

f(A,B) = A OR B
0
1
1
1

2.1.2.3. Bng Karnaugh


y l cch biu din khc ca bng s tht trong mi hng ca bng s tht c
thay th bi mt m ta (gm hng v ct) xc nh bi t hp cho ca bin.
Bng Karnaugh ca n bin gm 2n . Gi tr ca hm c ghi ti mi ca bng. Bng
Karnaugh rt thun tin n gin hm logic bng cch nhm cc li vi nhau.
Th d: Hm OR trn c din t bi bng Karnaugh sau y
A\B
0
1
0
1
0
1
1
1
___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 3

2.1.2.4. Gin thi gian


Dng din t quan h gia cc hm v bin theo thi gian, ng thi vi quan h
logic.
Th d: Gin thi gian ca hm OR ca 2 bin A v B, ti nhng thi im c mt
(hoc 2) bin c gi tr 1 th hm c tr 1 v hm ch c tr 0 ti nhng thi im m c 2 bin
u bng 0.

(H 2.2)

2.1.3. Qui c
Khi nghin cu mt h thng logic, cn xc nh qui c logic. Qui c ny khng
c thay i trong sut qu trnh nghin cu.
Ngi ta dng 2 mc in th thp v cao gn cho 2 trng thi logic 1 v 0.
Qui c logic dng gn in th thp cho logic 0 v in th cao cho logic 1
Qui c logic m th ngc li.

2.1.4. Hm logic c bn (Cc php ton logic)


2.1.4.1. Hm NOT (o, b) :

Y=A

Bng s tht
A

Y=A

0
1

1
0

2.1.4.2. Hm AND [tch logic, ton t (.)] :

Y = A.B

Bng s tht
A
B
Y=A.B
0
0
0
0
1
0
1
0
0
___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 4
1

Nhn xt: Tnh cht ca hm AND c th c pht biu nh sau:


- Hm AND ca 2 (hay nhiu) bin ch c gi tr 1 khi tt c cc bin u bng 1
hoc
- Hm AND ca 2 (hay nhiu) bin c gi tr 0 khi c mt bin bng 0.

2.1.4.3. Hm OR [tng logic, ton t (+)] :

Y=A+B

Bng s tht
A
0
0
1
1

B
0
1
0
1

Y=A + B
0
1
1
1

Nhn xt: Tnh cht ca hm OR c th c pht biu nh sau:


- Hm OR ca 2 (hay nhiu) bin ch c gi tr 0 khi tt c cc bin u bng 0
hoc
- Hm OR ca 2 (hay nhiu) bin c gi tr 1 khi c mt bin bng 1.

2.1.4.4.Hm EX-OR (OR loi tr)

Y = A B

Bng s tht
A
0
0
1
1

B
0
1
0
1

Y = A B
0
1
1
0

Nhn xt: Mt s tnh cht ca hm EX - OR:


- Hm EX - OR ca 2 bin ch c gi tr 1 khi hai bin khc nhau v ngc li. Tnh
cht ny c dng so snh 2 bin.
- Hm EX - OR ca 2 bin cho php thc hin cng hai s nh phn 1 bit m khng
quan tm ti s nh.
- T kt qu ca hm EX-OR 2 bin ta suy ra bng s tht cho hm 3 bin
A
0
0
0
0
1
1
1
1

B
0
0
1
1
0
0
1
1

C
0
1
0
1
0
1
0
1

Y = A B C
0
1
1
0
1
0
0
1

___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 5
- Trong trng hp 3 bin (v suy rng ra cho nhiu bin), hm EX - OR c gi tr 1 khi
s bin bng 1 l s l. Tnh cht ny c dng nhn dng mt chui d liu c s bit 1 l
chn hay l trong thit k mch pht chn l.

2.1.5. Tnh cht ca cc hm logic c bn:


2.1.5.1. Tnh cht c bn:
C mt phn t trung tnh duy nht cho mi ton t (+) v (.):
A + 0 = A ; 0 l phn t trung tnh ca hm OR
A . 1 = A ; 1 l phn t trung tnh ca hm AND
Tnh giao hon:
A+B=B+A
A.B =B.A
Tnh phi hp:
(A + B) + C = A + (B + C) = A + B + C
(A . B) . C = A . (B . C) = A . B . C
Tnh phn b:
- Phn b i vi php nhn: A . (B + C) = A . B + A . C
- Phn b i vi php cng: A + (B . C) = (A + B) . (A + C)
Phn b i vi php cng l mt tnh cht c bit ca php ton logic
Khng c php tnh ly tha v tha s:
A+A+.....+A=A
A.A ........ A=A
Tnh b:
A =A
A +A = 1
A.A = 0

2.1.5.2. Tnh song i (duality):


Tt c biu thc logic vn ng khi [thay php ton (+) bi php (.) v 0 bi 1] hay
ngc li. iu ny c th chng minh d dng cho tt c biu thc trn.
Th d :
+ = +
. = .
+ A = +
( A +) = .
A+1= 1

A.0 = 0

2.1.5.3. nh l De Morgan
nh l De Morgan c pht biu bi hai biu thc:
A + B + C = A .B.C
A.B.C = A + B + C

nh l De Morgan cho php bin i qua li gia hai php cng v nhn nh vo php
o.
___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 6
nh l De Morgan c chng minh bng cch lp bng s tht cho tt c trng hp
c th c ca cc bin A, B, C vi cc hm AND, OR v NOT ca chng.

2.1.5.4. S ph thuc ln nhau ca cc hm logic c bn


nh l De Morgan cho thy cc hm logic khng c lp vi nhau, chng c th bin
i qua li, s bin i ny cn c s tham gia ca hm NOT. Kt qu l ta c th dng hm
(AND v NOT) hoc (OR v NOT) din t tt c cc hm.
Th d:
Ch dng hm AND v NOT din t hm sau: Y = A.B + B.C + A .C
Ch cn o hm Y hai ln, ta c kt qu:
Y = Y = A.B + B.C + A .C = A.B.B.C.A .C
Nu dng hm OR v NOT din t hm trn lm nh sau:

Y = A.B + B.C + A .C = A + B + B + C + A + C

2.2. CC DNG CHUN CA HM LOGIC


Mt hm logic c biu din bi mt t hp ca nhng tng v tch logic.
Nu biu thc l tng ca nhng tch, ta c dng tng
Th d : f(X, Y, Z) = XY + XZ + Y Z
Nu biu thc l tch ca nhng tng, ta c dng tch
Th d : f(X, Y, Z) = (X + Y).(X + Z).(Y + Z )
Mt hm logic c gi l hm chun nu mi s hng cha y cc bin, dng
nguyn hay dng o ca chng.
Th d : f(X, Y, Z) = XYZ + X YZ + XY Z l mt tng chun.
Mi s hng ca tng chun c gi l minterm.
f(X, Y, Z) = (X + Y + Z).(X + Y + Z).( X + Y + Z) l mt tch chun.
Mi s hng ca tch chun c gi l maxterm.
Phn sau y cho php chng ta vit ra mt hm di dng tng chun hay tch chun
khi c bng s tht din t hm .

2.2.1. Dng tng chun


c c hm logic di dng chun, ta p dng cc nh l trin khai ca Shanon.
Dng tng chun c c t trin khai theo nh l Shanon th nht:
Tt c cc hm logic c th trin khai theo mt trong nhng bin di dng tng
ca hai tch nh sau:
f(A,B,...,Z) = A.f(1,B,...,Z) + A .f(0,B,...,Z)
(1)
H thc (1) c th c chng minh rt d dng bng cch ln lt cho A bng 2 gi
tr 0 v 1, ta c kt qu l 2 v ca (1) lun lun bng nhau. Tht vy
Cho A=0:
f(0,B,...,Z) = 0.f(1,B,...,Z) + 1. f(0,B,...,Z) = f(0,B,...,Z)
Cho A=1:
f(1,B,...,Z) = 1.f(1,B,...,Z) + 0. f(0,B,...,Z) = f(1,B,...,Z)
Vi 2 bin, hm f(A,B) c th trin khai theo bin A :
___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 7
f(A,B) = A.f(1,B) + A .f(0,B)
Mi hm trong hai hm va tm c li c th trin khai theo bin B
f(1,B) = B.f(1,1) + .f(1,0) & f(0,B) = B.f(0,1) + B .f(0,0)
Vy:
f(A,B) = AB.f(1,1) + A .B.f(0,1) + A B .f(1,0) + A B .f(0,0)
f(i,j) l gi tr ring ca f(A,B) khi A=i v B=j trong bng s tht ca hm.
Vi 3 bin, tr ring ca f(A, B, C) l f(i, j, k) khi A=i, B=j v C=k ta c:
f(A,B,C) = A.B.C.f(1,1,1) + A.B. C .f (1,1,0) + A. B .C.f(1,0,1) + A. B . C .f(1,0,0) +
A .B.C.f(0,1,1) + A .B. C .f(0,1,0) + A . B .C.f(0,0,1) + A . B . C .f(0,0,0)
Khi trin khai hm 2 bin ta c tng ca 22 = 4 s hng
Khi trin khai hm 3 bin ta c tng ca 23 = 8 s hng
Khi trin khai hm n bin ta c tng ca 2n s hng
Mi s hng l tch ca mt t hp bin v mt tr ring ca hm. Hai trng hp c
th xy ra:
- Gi tr ring = 1, s hng thu gn li ch cn cc bin:
A . B .C.f(0,0,1) = A . B .C nu f(0,0,1) = 1
- Gi tr ring = 0, tch bng 0 :
A . B . C .f(0,0,0)= 0 nu f(0,0,0) = 0
v s hng ny bin mt trong biu thc ca tng chun.
Th d:
Cho hm 3 bin A,B,C xc nh bi bng s tht:

Hng
0
1
2
3
4
5
6
7

A
0
0
0
0
1
1
1
1

B
0
0
1
1
0
0
1
1

C
0
1
0
1
0
1
0
1

Z=f(A,B,C)
0
1
1
1
0
1
0
1

Vi hm Z cho nh trn ta c cc tr ring f(i, j, k) xc nh bi:


f(0,0,1) = f(0,1,0) = f(0,1,1) = f(1,0,1) = f(1,1,1) =1
f(0,0,0) = f(1,0,0) = f(1,1,0) = 0
- Hm Z c tr ring f(0,0,1)=1 tng ng vi cc gi tr ca t hp bin hng (1) l
A=0, B=0 v C=1 ng thi, vy A . B .C l mt s hng trong tng chun
- Tng t vi cc t hp bin tng ng vi cc hng (2), (3), (5) v (7) cng l cc s
hng ca tng chun, l cc t hp: A .B. C , A .B.C, A. B .C v A.B.C
- Vi cc hng cn li (hng 0,4,6), tr ring ca f(A,B,C) = 0 nn khng xut hin trong
trin khai.
___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 8
Tm li ta c:

Z = A . B .C + A .B. C + A .B.C + A. B .C + A..C

- ngha ca nh l Shanon th nht:


Nhc li tnh cht ca cc hm AND v OR: b1.b2.... bn = 1 khi b1, b2..., bn ng thi
bng 1 v a1 + a2 + ... + ap = 1 ch cn t nht mt bin a1, a2, ..., ap bng 1
Tr li th d trn, biu thc logic tng ng vi hng 1 (A=0, B=0, C=1) c
vit A . B .C =1 v A = 1 , B = 1, C = 1 ng thi.
Biu thc logic tng ng vi hng 2 l A .B. C =1 v A=0 ( A = 1), B=1, C=0 ( C = 1)
ng thi
Tng t, vi cc hng 3, 5 v 7 ta c cc kt qu: A .B.C , A. B .C v A..C
Nh vy, trong th d trn
Z = hng 1 + hng 2 + hng 3 + hng 5 + hng 7
Z = A . B .C + A .B. C + A .B.C + A. B .C + A..C
Tm li, t mt hm cho di dng bng s tht, ta c th vit ngay biu thc ca hm
di dng tng chun nh sau:
- S s hng ca biu thc bng s gi tr 1 ca hm th hin trn bng s tht
- Mi s hng trong tng chun l tch ca tt c cc bin tng ng vi t hp m
hm c tr ring bng 1, bin c gi nguyn khi c gi tr 1 v c o nu gi tr
ca n = 0.

2.2.2. Dng tch chun


y l dng ca hm logic c c t trin khai theo nh l Shanon th hai:
Tt c cc hm logic c th trin khai theo mt trong nhng bin di dng tch
ca hai tng nh sau:
f(A,B,...,Z) = [ A + f(1,B,...,Z)].[A + f(0,B,...,Z)]
(2)
Cch chng minh nh l Shanon th hai cng ging nh chng minh nh l
Shanon th nht.
Vi hai bin, hm f(A,B) c th trin khai theo bin A
f(A,B) = [ A + f(1,B)].[A + f(0,B)]
Mi hm trong hai hm va tm c li c th trin khai theo bin B
f(1,B) = [ B + f(1,1)].[B + f(1,0)] & f(0,B) = [ B + f(0,1)].[B + f(0,0)]
f(A,B) = A + [ B + f(1,1)].[B + f(1,0)].A + [ B + f(0,1)].[B + f(0,0)]
Vy:
f(A,B) = [ A + B + f(1,1)].[ A +B + f(1,0)].[A+ B + f(0,1)].[A+B + f(0,0)]
Cng nh dng chun th nht, f(i,j) l gi tr ring ca f(A,B) khi A=i v B=j trong
bng s tht ca hm.
Vi hm 3 bin:
f(A,B,C)=[ A + B + C +f(1,1,1)].[ A + B +C+f(1,1,0)].[ A +B+ C +f(1,0,1)].[ A +B+C+f(1,0,0)].
[A+ B + C +f(0,1,1)].[A+ B +C+ f(0,1,0)].[A+B+ C +f(0,0,1)].[A+B+C+f(0,0,0)]
S s hng trong trin khai n bin l 2n. Mi s hng l tng (OR) ca cc bin v tr
ring ca hm.
- Nu tr ring bng 0 s hng c rt gn li ch cn cc bin (0 l tr trung tnh ca
php cng logic)
A + B + C + f(0,0,0) = A + B + C nu f(0,0,0) = 0
- Nu tr ring bng 1, s hng trin khai = 1
A + B + C + f(0,0,1) = 1 nu f(0,0,1) = 1
___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 9
v bin mt trong biu thc ca tch chun.
Ly li th d trn:
Hng

Z=f(A,B,C)

0
1
2
3
4
5
6
7

0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

0
1
1
1
0
1
0
1

Cc tr ring ca hm nu trn.
- Hm Z c gi tr ring f(0,0,0) = 0 tng ng vi cc gi tr ca bin hng 0 l
A=B=C=0 ng thi, vy A+B+C l mt s hng trong tch chun.
- Tng t vi cc hng (4) v (6) ta c cc t hp A +B+C v A + B +C.
- Vi cc hng cn li (hng 1, 2, 3, 5, 7), tr ring ca f(A,B,C) = 1 nn khng xut
hin trong trin khai.
Tm li, ta c: Z = (A + B + C).( A + B + C).( A + B +C )
- ngha ca nh l th hai:
Nhc li tnh cht ca cc hm AND v OR: b1.b2.... bn =0 ch cn t nht mt bin
trong b1, b2,..., bn =0 v a1 + a2 + ... + ap =0 khi cc bin a1, a2, ..., ap ng thi bng 0.
Nh vy trong th d trn:
Z = (hng 0).(hng 4).(hng 6)
Z = (A + B + C).( A + B + C).( A + B +C )
Tht vy, hng 0 tt c bin = 0: A=0, B=0, C=0 ng thi nn c th vit (A+B+C) =
0. Tng t cho hng (4) v hng (6).
Tm li,
Biu thc tch chun gm cc tha s, mi tha s l tng cc bin tng ng vi
t hp c gi tr ring =0, mt bin gi nguyn nu n c gi tr 0 v c o nu c gi
tr 1. S tha s ca biu thc bng s s 0 ca hm th hin trn bng s tht.

2.2.3. i t dng chun ny sang dng chun khc:


Nh nh l De Morgan, hai nh l trn c th chuyn i qua li.
Tr li th d trn, thm ct Z vo bng s tht
\

Hng

Z=f(A,B,C)

___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 10
0
1
2
3
4
5
6
7

0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

0
1
1
1
0
1
0
1

1
0
0
0
1
0
1
0

Din t Z theo dng tng chun:


Z = A BC + A BC + AB C
Ly o hai v:
Z = A BC + A BC + AB C = A BC.A BC.AB C
Dng nh l De Morgan mt ln na cho tng tha s trong biu thc, ta c:
Z = (A + B + C).(A + B + C).(A + B + C)

Din t Z theo dng tch chun:


Z = (A + B + C)(A + B + C)(A + B + C)(A + B + C)(A + B + C)
Ly o hai v:

Z = (A + B + C)(A + B + C)(A + B + C)(A + B + C)(A + B + C)


Z = A + B+ C+ A + B+ C+ A + B+ C+ A + B+ C+ A + B+ C
= A BC + A BC + A BC + A BC + ABC

2.2.4. Dng s
n gin cch vit ngi ta c th din t mt hm Tng chun hay Tch chun bi
tp hp cc s di du tng () hay tch (). Mi t hp bin c thay bi mt s thp
phn tng ng vi tr nh phn ca chng. Khi s dng cch vit ny trng lng cc bin
phi c ch r.
Th d : Cho hm Z xc nh nh trn, tng ng vi dng chun th nht, hm ny
ly gi tr ca cc hng 1, 2, 3, 5, 7, ta vit Z=f(A,B,C) = (1,2,3,5,7). Tng t, nu dng
dng chun th hai ta c th vit Z =f(A,B,C)= (0,4,6).
Ch : Khi vit cc hm theo dng s ta phi ch r trng s ca cc bit, th d ta c
th ghi km theo hm Z trn 1 trong 3 cch nh sau: A=MSB hoc C=LSB hoc A=4, B=2,
C=1

2.3. RT GN HM LOGIC
thc hin mt hm logic bng mch in t, ngi ta lun lun ngh n vic s
dng lng linh kin t nht. Mun vy, hm logic phi dng ti gin, nn vn rt gn
hm logic l bc u tin phi thc hin trong qu trnh thit k. C 3 phng php rt
gn hm logic:
- Phng php i s
- Phng php dng bng Karnaugh
- Phng php Quine Mc. Cluskey
___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 11
2.3.1. Phng php i s
Phng php ny bao gm vic p dng cc tnh cht ca hm logic c bn. Mt s
ng thc thng c s dng c nhm li nh sau:
(1) AB + A B = B
(A+B).( A +B) = B
(1)
(2) A + AB = A
A.(A+B)
=A
(2)
(3) A + A B = A + B A.( A +B)
= A.B
(3)
Chng minh cc ng thc 1, 2, 3:
(1) AB + A B = B(A+ A ) = B.1 = B
(2) A + AB = A(1+B) = A
(3) A + A B = (A+ A ).(A+B) = A+B
Cc ng thc (1), (2), (3) l song i ca (1), (2), (3).
Cc qui tc rt gn:
- Qui tc 1: Nh cc ng thc trn nhm cc s hng li.
Th d: Rt gn biu thc ABC + AB C + A B CD
Theo (1) ABC + AB C = AB
Vy
ABC + AB C + A B CD = AB + A B CD = A(B+ B CD)
Theo (3) B + B CD = B + CD
V kt qu cui cng: ABC + AB C + A B CD = A(B+CD)
- Qui tc 2: Ta c th thm mt s hng c trong biu thc logic vo biu thc m
khng lm thay i biu thc.
Th d: Rt gn biu thc: ABC + A BC + A B C + AB C
Thm ABC vo c: (ABC + A BC) + (ABC + A B C) + (ABC + AB C )
Theo (1) cc nhm trong du ngoc rt gn thnh: BC + AC + AB
Vy: ABC + A BC + A B C + AB C = BC + AC + AB
- Qui tc 3: C th b s hng cha cc bin c trong s hng khc
Th d 1: Rt gn biu thc AB + B C + AC
Biu thc khng i nu ta nhn mt s hng trong biu thc vi 1, v d (B+ B ):
AB + B C + AC = AB + C + AC(B+ B )
Trin khai s hng cui cng ca v phi, ta c:
AB + B C +ABC + A B C
Tha s chung: AB(1+C) + B C(1+A) = AB + B C
Tm li:
AB + B C + AC = AB + B C.
Trong bi tan ny ta n gin c s hng AC.
Th d 2: Rt gn biu thc (A+B).( B +C).(A+C)
Biu thc khng i nu ta thm vo mt tha s c tr =0, v d B.
(A+B).( B +C).(A+C) = (A+B).( B +C).(A+C+ B .)
= (A+B).( B +C).(A + B +C).(A ++C)
Theo (2)
(A+B).(A +B+C) = (A+B) v ( B +C).(A+ B +C) = ( B +C)
Vy:
(A+B).( B +C).(A+C) = (A+B).( B +C)
Trong bi tan ny ta b s hng A+C
___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 12
- Qui tc 4: C th n gin bng cch dng hm chun tng ng c s hng t
nht.
Th d: Hm f(A,B,C) = (2,3,4,5,6,7) vi trng lng A=4, B=2, C=1
Hm o ca f: f(A, B, C) = (0,1) = A .B.C + A .B.C = A .B = A + B
Vy
f(A,B,C) = A+B

2.3.2. Dng bng Karnaugh


Dng bng Karnaugh cho php rt gn d dng cc hm logic cha t 3 ti 6 bin.

2.3.2.1.Nguyn tc
Xt hai t hp bin AB v A B , hai t hp ny ch khc nhau mt bit, ta gi chng l
hai t hp k nhau.
Ta c: AB + A B = A , bin B c n gin .
Phng php ca bng Karnaugh da vo vic nhm cc t hp k nhau trn bng
n gin bin c gi tr khc nhau trong cc t hp ny.
Cng vic rt gn hm c thc hin theo bn bc:
V bng Karnaugh theo s bin ca hm
Chuyn hm cn n gin vo bng Karnaugh
Gom cc cha cc t hp k nhau li thnh cc nhm sao cho c th rt gn hm
ti mc ti gin
Vit kt qu hm rt gn t cc nhm gom c.

2.3.2.2 V bng Karnaugh


- Bng Karnaugh thc cht l mt dng khc ca bng s tht, trong mi ca bng
tng ng vi mt hng trong bng s tht.
v bng Karnaugh cho n bin, ngi ta chia s bin ra lm i, phn na dng to
n/2
2 ct, phn na cn li to 2n/2 hng (nu n l s l, ngi ta c th cho s lng bin trn
ct ln hn s lng bin cho hng hay ngc li cng c). Nh vy, vi mt hm c n
bin, bng Karnaugh gm 2n , mi tng ng vi t hp bin ny. Cc trong bng c
sp t sao cho hai k nhau ch khc nhau mt n v nh phn (khc nhau mt bit), iu
ny cho thy rt thun tin nu chng ta dng m Gray. Chnh s sp t ny cho php ta n
gin bng cch nhm cc k nhau li.
Vi 2 bin AB, s sp t s theo th t: AB = 00, 01, 11, 10 (y l th t m Gray,
nhng cho d ta dng s nh phn tng ng c th t ny: 0, 1, 3, 2)
Th d : Bng Karnaugh cho hm 3 bin (A = MSB, v C = LSB) (H 2.3)

(H 2.3)
___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 13
Vi 3 bin ABC, ta c: ABC = 000, 001, 011, 010, 110, 111, 101, 100 (s nh phn
tng ng: 0, 1, 3, 2, 6, 7, 5, 4)
Lu l ta c th thit lp bng Karnaugh theo chiu nm ngang hay theo chiu ng.
Do cc t hp cc ba tri v phi k nhau nn ta c th coi bng c dng hnh tr
thng ng v cc t hp ba trn v di cng k nhau nn ta c th coi bng c dng hnh
tr trc nm ngang. V 4 t hp bin 4 gc cng l cc t hp k nhau.
Hnh (H 2.4) l bng Karnaugh cho 4 bin.

(H 2.4)

2.3.2.3. Chuyn hm logic vo bng Karnaugh.


Trong mi ca bng ta a vo gi tr ca hm tng ng vi t hp bin, n gin
chng ta c th ch ghi cc tr 1 m b qua cc tr 0 ca hm. Ta c cc trng hp sau:
T hm vit di dng tng chun:
Th d 1 : f(A,B,C) = A . B .C + A .B.C + A.B.C

(H 2.5)
Nu hm khng phi l dng chun, ta phi a v dng chun bng cch thm vo
cc s hng sao cho hm vn khng i nhng cc s hng cha cc bin.
Th d 2 :
Y = A BC + AB D + A B C + A C D
Hm ny gm 4 bin, nn a v dng tng chun ta lm nh sau:
Y = A BC(D+ D ) + AB D (C+ C ) + A B C(D+ D ) + A C D(B+ B )
Y = A BCD+ A BC D + ABC D + AB C D + A B CD + A B C D + AB C D +A B C D
V Hm Y c a vo bng Karnaugh nh sau (H 2.6):

___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 14

(H 2.6)
T dng s th nht, vi cc trng lng tng ng A=4, B=2, C=1
Th d 3 : f(A,B,C) = (1,3,7). Hm s s ly gi tr 1 trong cc 1,3 v 7.
T dng tch chun: Ta ly hm o c dng tng chun v ghi tr 0 vo cc
tng ng vi t hp bin trong tng chun ny. Cc cn li cha s 1.
Th d 4 : Y = f(A,B,C) = (A+B+C).(A+ B +C).( A +B+C).( A +B+ C ).( A + B C)
Y = A . B . C + A .B. C + A. B . C + A. B .C + A.B. C
V bng Karnaugh tng ng (H 2.7).

(H 2.7)
T dng s th hai:
Th d 5 : f(A,B,C) = (0,2,4,5,6)
Hm s ly cc tr 0 cc 0, 2, 4, 5, 6. D nhin l ta phi ghi cc gi tr 1 trong cc
cn li (H 2.7).
T bng s tht:
Th d 6 : Hm f(A,B,C) cho bi bng s tht

f(A,B,C)

___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 15
0
1
2
3
4
5
6
7

0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

0
1
0
1
0
0
0
1

Ta ghi 1 vo cc tng ng vi cc t hp bin hng 1, 3 v 7, kt qu ging nh


th d 1.
Trng hp c mt s t hp cho gi tr hm khng xc nh: ngha l ng vi
cc t hp ny hm c th c gi tr 1 hoc 0, do , ta ghi du X vo cc tng ng vi cc
t hp ny, lc gom nhm ta s dng n nh s 1 hay s 0 mt cch ty sao cho c c
kt qu rt gn nht.
Th d 7: f(A,B,C,D) = (3,4,5,6,7) vi cc t hp t 10 dn 15 cho hm c tr bt k
(khng xc nh) (H 2.8).

(H 2.8)

2.3.2.4. Qui tc gom nhm


Cc t hp bin c trong hm logic hin din trong bng Karnaugh di dng cc s 1
trong cc , vy vic gom thnh nhm cc t hp k nhau c thc hin theo qui tc sau:
- Gom cc s 1 k nhau thnh tng nhm sao cho s nhm cng t cng tt. iu ny c
ngha l s s hng trong kt qu s cng t i.
- Tt c cc s 1 phi c gom thnh nhm v mt s 1 c th nhiu nhm.
- S s 1 trong mi nhm cng nhiu cng tt nhng phi l bi ca 2k (mi nhm c
th c 1, 2, 4, 8 ... s 1). C mi nhm cha 2k s 1 th t hp bin tng ng vi nhm
gim i k s hng.
- Kim tra bo m s nhm gom c khng tha.

2.3.2.5. Qui tc rt gn
- Kt qu cui cng c ly nh sau:
Hm rt gn l tng ca cc tch: Mi s hng ca tng tng ng vi mt nhm cc s
1 ni trn v s hng ny l tch ca cc bin, bin A (hay A ) l tha s ca tch khi tt c cc
___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 16
s 1 ca nhm ch cha trong phn na bng trong bin A c gi tr 1 (hay 0). Ni cch
khc nu cc s 1 ca nhm ng thi nm trong cc ca bin A v A th bin A s c
n gin. Hnh di y minh ha vic ly cc tha s trong tch
Th d i vi bng (H 2.9) ta c kt qu nh sau:
- Hm Y l hm 4 bin A,B,C,D
- Nhm 1 cha 2 s 1 (k=1), nh vy nhm 1 s
cn 3 bin, theo hng, 2 s 1 ny 2 ng vi A B v
AB, bin A s c n gin v theo ct th 2 ny ng
vi t hp C . D .
Kt qu ng vi nhm 1 l: B. C . D
- Nhm 2 cha 4 s 1 (4=22 , k=2), nh vy nhm
2 s cn 2 bin, theo hng, 4 s 1 ny 2 ng vi t
hp A B v A B, bin B s c n gin v theo ct
th 4 ny ng vi t hp CD v C D , cho php n
gin bin D .
Kt qu ng vi nhm 2 l: A C.
(H 2.9)

- Nhm 3 cha 4 s 1 (4=22 , k=2), nh vy nhm


2 s cn 2 bin, theo hng, 4 s 1 ny ng vi t hp A B, theo ct 4 s 1 ny chim ht 4
ct nn 2 bin Cv D c n gin.
Kt qu ng vi nhm 3 l: A B.
V hm Y rt gn l: Y = B C D + A C + A B
Di y l mt s th d
Th d 1 : Rt gn hm Y = f(A,B,C) = A . B .C+ A .B.C+A. B . C +A. B .C+A.B.C

(H 2.10)
(H 2.10) cho

Y = AB + C

Th d 2 : Rt gn hm Y = f(A,B,C,D) = (0,2,4,5,8,10,12,13) vi A=MSB

(H 2.11)
___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 17
Y = BC + B D

(H 2.11) cho

Th d 3 : Rt gn hm S cho bi bng s tht:


N

0
1
2
3
4
5
6
7
8
9
1015

0
0
0
0
0
0
0
0
1
1

0
0
0
0
1
1
1
1
0
0

0
0
1
1
0
0
1
1
0
0

0
1
0
1
0
1
0
1
0
1

S
0
0
1
1
1
1
0
0
0
0
x (Khng xc nh)

Bng Karnaugh: (H 2.12)

(H 2.12)
Kt qu : S = B C + B C

2.3.2.6. Rt gn cc hm nhiu bin bng cch dng bng Karnaugh 4


bin:
rt gn cc hm nhiu bin (5 v 6 bin) ngi ta c th dng bng Karnaugh 4
bin. Di y l vi th d:
Th d 4 : Rt gn hm f(A,B,C,D,E) = (0,2,8,10,13,15,16,18,24,25,26,29,31) vi
(7,9,14,30) khng xc nh
- Trc nht v 2 bng Karnaugh cho 4 bin BCDE, mt ng vi A v mt vi A
- Bng ng vi A dng cho cc s t 0 n 15
- Bng ng vi A dng cho cc s t 16 n 31
- Nhm cc s 1 c cng v tr hai bng, kt qu s n gin bin A
- Nhm cc s 1 ca tng bng cho n ht , kt qu c xc nh nh cch lm thng
thng, nh A v A trong tng nhm (H 2.13).

___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 18

(H 2.13)
nhm (1) cho : C E ;
(2) cho : BCE ;
Vy f(A,B,C,D,E) = C E + BCE + BDE

(3) cho : BDE

Th d 5 : Rt gn hm
f(A,B,C,D,E,F)=(2,3,6,7,8,9,12,13,14,17,24,25,28,29,30,40,41,44,45,46,56,57,59,60,61,63)
Tng t nh trn nhng phi v 4 bng cho:
A B cho cc s (0-15) ;
A B cho cc s (16-31) ;
AB cho cc s (48-63) v
A B cho cc s (32-47).

(H 2.14)
Kt qu: (1) cho C E ; (2) A CD F + BCD F ; (3) A BCE ; (4) A BD EF ; (5) ABCF
Vy:
f(A,B,C,D,E,F) = C E + A BCE + ABCF + A CD F + BCD F + A BD EF

___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 19
2.3.3. Phng php Quine-Mc. Cluskey
Phng php Quine-Mc. Cluskey cng da trn tnh k ca cc t hp bin n gin
s bin trong cc s hng ca biu thc dng tng (minterm). Trong qu trnh n gin ny c
th xut hin cc s hng ging nhau m ta c th b bt c.
Phng php c thc hin qua 2 giai an:
Giai an 1: Da trn tnh k ca cc t hp bin n gin s bin trong cc s hng
ca biu thc dng tng (minterm).
Giai an 2: Kim tra v thc hin vic ti gin .
Th d di y minh ha cho vic thc hin phng php rt gn mt hm logic.
Th d 1: Rt gn hm f(A,B,C,D) = (1,2,4,5,6,10,12,13,14)
Giai an 1
- Cc minterm c nhm li theo s s 1 c trong t hp v ghi li trong bng theo th
t s 1 tng dn:
Trong th d ny c 3 nhm:
Nhm cha mt s 1 gm cc t hp 1, 2, 4
Nhm cha hai s 1 gm cc t hp 5, 6, 10, 12
Nhm cha ba s 1 gm cc t hp 13, 14
Bng 1:
x
x
x
x
x
x
x
x
x

1
2
4
5
6
10
12
13
14

A
0
0
0

B
0
0
1

C
0
1
0

D
1
0
0

0
0
1
1
1
1

1
1
0
1
1
1

0
1
1
0
0
1

1
0
0
0
1
0

- Mi t hp trong mt nhm s c so snh vi mi t hp trong nhm k cn. Nu


2 t hp ch khc nhau mt bin, ta c th dng biu thc AB + A B = B n gin c 1
bin. Bin n gin c thay bi du -. nh du x vo cc t hp xt trnh sai st
Nh vy, t hp th nht ca nhm th nht 0001 so snh vi t hp th nht ca nhm
th hai 0101 v chng ch khc nhau bin B, vy chng c th n gin thnh 0-01. Hai s
hng 1 v 5 c gom li thnh nhm (1,5) v c ghi vo bng 2.
Tip tc so snh t hp 0001 ny vi cc t hp cn li ca nhm 2 (0110, 1010, 1100),
v chng khc nhau nhiu hn 1 bit nn ta khng c kt qu no khc. Nh vy, ta so
snh xong t hp th nht, nh du x trc t hp ny ghi nh.
Cng vic tin hnh tng t cho nhm th hai v th ba.
Lu : Nhn xt v vic so snh cc t hp vi nhau ta thy c th thc hin nhanh c
bng cch lm bi ton tr 2 s nh phn tng ng ca 2 t hp, nu kt qu l mt s c tr
= 2k (1, 2, 4,8 ...) th 2 t hp so snh c v bin c n gin chnh l bin c trng
___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 20
s =2k (th d 2 t hp 1 v 5 c hiu s l 4 nn n gin c bin B), nu hiu s 2k th 2
t hp khng so snh c, tc khng c bin c n gin.
Kt qu cho bng th hai
- Bng th hai gm cc t hp c rt gn v ch cn li 2 nhm (gim mt nhm
so vi bng 1).
Bng 2
A
B
C
D
1,5 0
0
1
x
2,6 0
1
0
x
2,10 0
1
0
x
4,5 0
1
0
x
4,6 0
1
0
x
4,12 1
0
0
x
5,13 1
0
1
x
6,14 1
1
0
x
10,14 1
1
0
x
12,13 1
1
0
x
12,14 1
1
0
Thc hin cng vic tng t nh trn vi hai nhm trong bng th hai ny, cc s
hng s c nhm li nu chng ch khc nhau mt bin v c v tr du - trng nhau. Ta
c bng th 3.
Bng 3:
2,6 ; 10,14
2,10 ; 6,14
4,5 ; 12,13
4,6 ; 12,14
4,12 ; 5,13
4,12 ; 6,14

A
-

B
1
1
1
1

C
1
1
0
0
-

D
0
0
0
0

Quan st bng th 3 ta thy c cc t hp ging nhau, nh vy ta c th lai b bt cc


t hp ny v ch gi li mt.
Kt qu ca hm rt gn gm tng cc s hng tng ng vi cc t hp khng gom
thnh nhm trong cc bng u tin, l t hp (1,5) trong bng 2, tr tng ng l A C D
vi cc t hp cn li trong bng cui cng, l cc t hp (2,6 ; 10,14) m tr tng ng l
C D , (4,5 ; 12,13) cho B C v (4,6 ; 12,14) cho B D trong bng 3. Vy:
f(A,B,C,D) = A C D + C D + B C + B D
n y, nu quan st cc t hp cho cc kt qu trn, ta thy cc t hp cn cha cc
s hng ging nhau (s 4 v s 12 chng hn), nh vy kt qu trn c th l cha ti gin.
Giai an 2:
c th rt gn hn na ta lp mt bng nh sau:
Ct bn tri ghi li cc t hp chn c trong giai on 1, cc ct cn li ghi cc tr
thp phn c trong hm ban u.
___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 21
Trn cng hng ca t hp ta nh du * di cc ct c s tng ng (v d hng cha
t hp 1,5 c cc du * ct 1 v 5). Tng t cho cc t hp khc.
Bng 4

1,5
2,6 ; 10,14
4,5 ; 12,13
4,6 ; 12,14

1
*

5
*

*
*
x

10

*
x

*
x

12

13

14
*

*
*
x

*
x

*
x

Xt cc ct ch cha mt du *, l cc ct 1,2,10 v 13, cc t hp cng hng vi


cc du * ny s c chn, l cc t hp (1,5), (2,6 ; 10,14), (4,5 ; 12,13), tng ng vi
A C D + C D + B C . nh du X di cc ct tng ng vi cc s c trong cc t hp
chn. Nu tt c cc ct u c nh du th cc t hp chn din t hm ban u.
Trong trng hp ca bi ton ny, sau khi chn cc t hp ni trn th tt c ct
c nh du do kt qu cui cng l (sau khi loai b t hp B D ):
f(A,B,C,D) = A C D + C D + B C
Th d 2: Rt gn hm f(A,B,C,D) = (3,4,6,7,8,11,12,15)
Giai an 1
Bng 1:
A
B
C
D
x
4 0
1
0
0
0
0
1
0
x
8
0
1
1
x
3 0
1
1
0
x
6 0
1
1
0
0
x
12
x
7 0
1
1
1
x
11 1
0
1
1
x
15 1
1
1
1
So snh cc t hp ca 2 nhm gn nhau ta c kt qu cho bng th hai
- Bng th hai gm cc t hp c rt gn v ch cn li 3 nhm (gim mt nhm
so vi bng 1).
Bng 2

x
x
x
x

4,6
4,12
8,12
3,7
3,11
6,7
7,15
11,15

A
0
1
0
0
1

B
1
1
0
1
1
-

C
0
0
1
1
1
1
1

D
0
0
0
1
1
1
1

___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 22
Bng 3:
A
-

3,7 ; 11,15
3,11 ; 7,15

B
-

C
1
1

D
1
1

Kt qu ca hm rt gn gm tng cc s hng tng ng vi cc t hp khng gom


thnh nhm: (4,6), (4,12), (8,12), (6,7) v (3,7;11,15)
f(A,B,C,D) = CD+ A B D + B C D + A C D + A BC
Giai an 2:
Bng 4
3,7;11,15
4,6
4,12
8,12
6,7

3
*

*
*

7
*

11
*

*
x

15
*

*
*

*
*

12

Cc ct 3, v 8 ch cha mt du *, cc t hp cng hng vi cc du * ny s c


chn, l cc t hp (3,7;11,15) v , (8,12), tng ng vi CD v A C D .
nh du X di cc ct tng ng vi cc s c trong cc t hp chn.
n y ta thy cn 2 ct 4 v 6 cha c du X, trong lc chng ta cn n 3 t hp
chn. D nhin trong trng hp ny ta ch cn chn t hp (4,6) ( A B D ) thay v chn (4,12)
v (6,7) th du X lp y cc ct.
Tm li:
f(A,B,C,D) = CD + A B D + A C D
Th d v bi ton y :
Th d 1:
Cho hm logic F(A, B, C) tha tnh cht: F(A,B,C) = 1 nu c mt v ch mt bin
bng 1
a- Lp bng s tht cho hm F.
b- Rt gn hm F.
c- Din t hm F ch dng hm AND v NOT
Gii
a. Da vo iu kin ca bi ton ta c bng s tht ca hm F:
A
0
0
0
0
1
1
1
1

B
0
0
1
1
0
0
1
1

C
0
1
0
1
0
1
0
1

F(A,B,C)
0
1
1
0
1
0
0
0

___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 23
b. Rt gn hm F
Bng Karnaugh

F(A, B.C) = A BC + A BC + A BC
c. Din t hm F ch dng hm AND v NOT
Dng nhl De Morgan, ly o 2 ln hm F:

F(A, B.C) = F(A, B.C) = A BC + A BC + A BC = A BC.A BC.A BC


Th d 2:
Cho hm logic F(A, B, C, D) tha tnh cht: F(A,B,C,D) = 1 khi c t nht 3 bin
bng 1
a- Rt gn hm F.
b- Din t hm F ch dng hm OR v NOT
Gii
a- Rt gn hm F
Ta c th a hm v bng Karnaugh m khng cn v bng s tht.
Ta a s 1 vo tt c cc cha 3 tr 1 tr ln

V kt qu ca hm rt gn l:
F(A,B,C,D) = ABC + ABD + ACD + BCD
b- Din t hm F ch dng hm OR v NOT
Dng nh l De Morgan cho tng s hng trong tng
Vit li hm F:

F(A, B,C,D) = ABC + ABD + ACD + BCD


= A + B+ C+ A + B+ D + A + C+ D + B+ C + D

BI TP
1. Din t mi mnh di y bng mt biu thc logic:
a/ Tt c cc bin A,B,C,D u bng 1
b/ Tt c cc bin A,B,C,D u bng 0
___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 24
c/ t nht 1 trong cc bin X,Y,Z,T bng 1
d/ t nht 1 trong cc bin X,Y,Z,T bng 0
e/ Cc bin A,B,C,D ln lt c gi tr 0,1,1,0
2. Tnh o ca cc hm sau:
a/ f1 = (A + B)( A + B )
b/ f2 = (A + B + C )(B + C + D)( A + C + D)
c/ f3 = A(C + D) + ( A + C)( B + C + D)
d/ f4 = (AB + C)(BC + D) + A BC + C D
e/ f5 = A B C + A B C + A(BC + B C )
3. Chng minh bng i s cc biu thc sau:
a/ A.B + A .B = A .B + A. B
b/ A.B + A .C = (A + C)(A + B)
c/ A.C + B.C = A .C + B.C
d/ (A + B)(A + C)(B + C) = (A + B)(A + C)
e/ (A + C)(B + C) = (A + C)(B + C)
4. Vit di dng tng chun cc hm xc nh bi:
a/ f(A,B,C) = 1 nu s nh phn (ABC)2 l s chn
b/ f(A,B,C) = 1 nu c t nht 2 bin s = 1
c/ f(A,B,C) = 1 nu s nh phn (ABC)2 >5
d/ f(A,B,C) = 1 nu s bin s 1 l s chn
e/ f(A,B,C) = 1 nu c 1 v ch 1 bin s =1
5. Vit di dng tch chun cc hm bi tp 4
6. Vit di dng s cc bi tp 4
7. Vit di dng s cc bi tp 5
8. Rt gn cc hm di y bng phng php i s (A = MSB)
a/ f1 = ABC + A B C + AB C D
b/ f2 = (A+BC) + A ( B + C )(AD+C)
c/ f3 = (A+B+C)(A+B+ C )( A +B+C)( A +B+ C )
d/ f4(A,B,C,D) = (0,3,4,7,8,9,14,15)
e/ f5 = A B + AC + BC
f/ f6 = (A+ C )(B+C)(A+B)
9. Dng bng Karnaugh rt gn cc hm sau: (A = MSB)
a/ f(A,B,C) = (1,3,4)
b/ f(A,B,C) = (1,3,7)
c/ f(A,B,C) = (0,3,4,6,7)
d/ f(A,B,C) = (1,3,4) . Cc t hp bin 6,7 cho hm khng xc nh
e/ f(A,B,C) = A .B.C + A .B.C + A. B.C + A.B.C
f/ f(A,B,C,D) = (5,7,13,15)
g/ f(A,B,C,D) = (0,4,8,12)
h/ f(A,B,C,D) = (0,2,8,10)
i/ f(A,B,C,D) = (0,2,5,6,9,11,13,14)
j/ f(A,B,C,D) = (0,1,5,9,10,15)
k/ f(A,B,C,D) = (0,5,9,10) vi cc t hp bin (2,3,8,15) cho hm khng xc nh
l/ f(A,B,C,D,E) = (2,7,9,11,12,13,15,18,22,24,25,27,28,29,31)
___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 2

Hm Logic II - 25
m/ f(A,B,C,D.E) = (0,2,8,10,13,15,16,18,24,25,26,29,31) vi cc t hp bin
(7,9,14,30) cho hm khng xc nh
n/ f(A,B,C,D,E,F) =
(2,3,6,7,8,9,12,13,14,17,24,25,28,29,30,40,41,44,45,46,56,57,59,60,61,63)
o/ f(A,B,C,D,E,F) =
(9,11,13,15,16,18,20,22,25,27,29,31,32,34,36,38,41,43,45,47,48,50,52,54)
10. Lm li cc bi tp t 9f bng phng php Quine-Mc Cluskey.

___________________________________________________________________________
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 1

 CHNG 3 CNG LOGIC


CC KHI NIM LIN QUAN
CNG LOGIC C BN
THNG S K THUT
H TTL
Cng c bn
Cc kiu ng ra

H MOS
NMOS
CMOS

GIAO TIP GIA CC H IC S


TTL thc CMOS
CMOS thc TTL

Cng logic l tn gi chung ca cc mch in t c chc nng thc hin cc hm


logic. Cng logic c th c ch to bng cc cng ngh khc nhau (Lng cc, MOS), c
th c t hp bng cc linh kin ri nhng thng c ch to bi cng ngh tch hp IC
(Integrated circuit).
Chng ny gii thiu cc loi cng c bn, cc h IC s, cc tnh nng k thut v s
giao tip gia chng.

3.1 CC KHI NIM LIN QUAN


3.1.1 Tn hiu tng t v tn hiu s
Tn hiu tng t l tn hiu c bin bin thin lin tc theo thi gian. N thng
do cc hin tng t nhin sinh ra. Th d, tn hiu c trng cho ting ni l tng hp ca
cc tn hiu hnh sin trong di tn s thp vi cc ha tn khc nhau.
Tn hiu s l tn hiu c dng xung, gin on v thi gian v bin ch c 2 mc
r rt: mc cao v mc thp. Tn hiu s ch c pht sinh bi nhng mch in thch hp.
c tn hiu s ngi ta phi s ha tn hiu tng t bng cc mch bin i tng t sang
s (ADC)

3.1.2 Mch tng t v mch s


Mch in t x l cc tn hiu tng t c gi l mch tng t v mch x l tn
hiu s c gi l mch s.
Mt cch tng qut, mch s c nhiu u im so vi mch tng t:
______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 2
- D thit k v phn tch. Vn hnh ca cc cng logic da trn tnh cht dn in
(bo ha) hoc ngng dn ca transistor. Vic phn tch v thit k da trn chc nng v c
tnh k thut ca cc IC v cc khi mch ch khng da trn tng linh kin ri
- C th hot ng theo chng trnh lp sn nn rt thun tin trong iu khin t
ng, tnh ton, lu tr d liu v lin kt vi my tnh.
- t b nh hng ca nhiu tc c kh nng dung np tn hiu nhiu vi bin ln
hn rt nhiu so vi mch tng t.
- D ch to thnh mch tch hp v c kh nng tch hp vi mt cao.
Da vo s cng trong mt chip, ngi ta phn loi IC s nh sau:
- S cng < 10: SSI (Small Scale Integrated), mc tch hp nh.
- 10 < S cng < 100: MSI (Medium Scale Integrated), mc tch hp trung bnh.
- 100 < S cng < 1000: LSI (Large Scale Integrated), mc tch hp ln.
- 1000 < S cng < 10000: VLSI (Very Large Scale Integrated), mc tch hp rt
ln
- S cng > 10000: ULSI (Ultra Large Scale Integrated), mc tch hp siu ln.

3.1.3 Biu din cc trng thi Logic 1 v 0


Trong h thng mch logic, cc trng thi logic c biu din bi cc mc in th.
Vi qui c logic dng, in th cao biu din logic 1, in th thp biu din logic 0.
Ngc li ta c qui c logic m. Trong thc t, mc 1 v 0 tng ng vi mt khong in
th xc nh v c mt khong chuyn tip gia mc cao v thp, ta gi l khong khng xc
nh. Khi in p ca tn hiu ri vo khong ny, mch s khng nhn ra l mc 0 hay 1.
Khong ny ty thuc vo h IC s dng v c cho trong bng thng s k thut ca linh
kin. (H 3.1) l gin in th ca cc mc logic ca mt s cng logic thuc h TTL.

(H 3.1)

3.2 CNG LOGIC C BN


3.2.1 Cng NOT
- Cn gi l cng o (Inverter), dng thc hin hm o Y= A
- K hiu (H 3.2), mi tn ch chiu di chuyn ca tn hiu v vng trn l k hiu
o. Trong nhng trng hp khng th nhm ln v chiu ny, ngi ta c th b mi tn.

(H 3.2)
Bng s tht
______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 3

3.2.2 Cng AND


- Dng thc hin hm AND 2 hay nhiu bin.
- Cng AND c s ng vo ty thuc s bin v mt ng ra. Ng ra ca cng l hm
AND ca cc bin ng vo.
- K hiu cng AND 2 ng vo cho 2 bin (H 3.3a)

(a)
A
0
0
1
1

B
0
1
0
1

Y=A.B
0
0
0
1

(H 3.3)

Hoc

(b)
A
x
x

B
0
1

Y=A.B
0
A

- Nhn xt:
- Ng ra cng AND ch mc cao khi tt c ng vo ln cao.
- Khi c mt ng vo = 0, ng ra = 0 bt chp cc ng vo cn li.
- Khi c mt ng vo =1, ng ra = AND ca cc ng vo cn li.
Vy vi cng AND 2 ng vo ta c th dng 1 ng vo lm ng kim sot (H 3.3b),
khi ng kim sot = 1, cng m cho php tn hiu logic ng vo cn li qua cng v khi ng
kim sot = 0, cng ng , ng ra lun bng 0, bt chp ng vo cn li.
Vi cng AND c nhiu ng vo hn, khi c mt ng vo c a ln mc cao th
ng ra bng AND ca cc bin cc ng vo cn li.
Hnh (H 3.4) l gin thi gian ca cng AND hai ng vo. Trn gin , ng ra Y
ch ln mc 1 khi c A v B u mc 1.

(H 3.4)

3.2.3 Cng OR
- Dng thc hin hm OR 2 hay nhiu bin.
- Cng OR c s ng vo ty thuc s bin v mt ng ra.
- K hiu cng OR 2 ng vo

______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 4

(H 3.5)
- Bng s tht
A
0
0
1
1

B
0
1
0
1

Y=A+B
0
1
1
1

Hoc

A
x
x

B
1
0

Y=A+B
1
A

- Nhn xt: - Ng ra cng OR ch mc thp khi c 2 ng vo xung thp.


- Khi c mt ng vo =1, ng ra = 1 bt chp ng vo cn li.
- Khi c mt ng vo =0, ng ra = OR cc ng vo cn li.
Vy vi cng OR 2 ng vo ta c th dng 1 ng vo lm ng kim sot, khi ng kim
sot = 0, cng m, cho php tn hiu logic ng vo cn li qua cng v khi ng kim sot =
1, cng ng, ng ra lun bng 1.
Vi cng OR nhiu ng vo hn, khi c mt ng vo c a xung mc thp th
ng ra bng OR ca cc bin cc ng vo cn li.

3.2.4 Cng BUFFER


Cn gi l cng m. Tn hiu s qua cng BUFFER khng i trng thi logic. Cng
BUFFER c dng vi cc mc ch sau:
- Sa dng tn hiu.
- a in th ca tn hiu v ng chun ca cc mc logic.
- Nng kh nng cp dng cho mch.
- K hiu ca cng BUFFER.

(H 3.6)
Tuy cng m khng lm thay i trng thi logic ca tn hiu vo cng nhng n gi
vai tr rt quan trng trong cc mch s.

3.2.5 Cng NAND


- L kt hp ca cng AND v cng NOT, thc hin hm Y = A.B
( y ch xt cng NAND 2 ng vo, c gi t suy ra trng hp nhiu ng vo).
- K hiu ca cng NAND (Gm AND v NOT, cng NOT thu gn li mt vng trn)
- Tng t nh cng AND, cng NAND ta c th dng 1 ng vo lm ng kim
sot. Khi ng kim sot = 1, cng m cho php tn hiu logic ng vo cn li qua cng v b
o, khi ng kim sot = 0, cng ng, ng ra lun bng 1.
- Khi ni tt c ng vo ca cng NAND li vi nhau, n hot ng nh mt cng o

______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 5

(H 3.7)

3.2.6 Cng NOR


- L kt hp ca cng OR v cng NOT, thc hin hm Y = A + B
K hiu ca cng NOR (Gm cng OR v NOT, nhng cng NOT thu gn li mt
vng trn)

(H 3.8)
Cc bng s tht v cc gin thi gian ca cc cng BUFFER, NAND, NOR, sinh
vin c th t thc hin ly

3.2.7 Cng EX-OR


- Dng thc hin hm EX-OR. Y = A B = AB + A B
- Cng EX-OR ch c 2 ng vo v 1 ng ra
- K hiu (H 3.9a)
- Mt tnh cht rt quan trng ca cng EX-OR:
+ Tng ng vi mt cng o khi c mt ng vo ni ln mc cao, (H
3.9b)
+ Tng ng vi mt cng m khi c mt ng vo ni xung mc thp, (H
3.9c)

(a)

(b)
(H 3.9)

(c)

3.2.8 Cng EX-NOR


- L kt hp ca cng EX-OR v cng NOT
- Cng EX-NOR c 2 ng vo v mt ng ra
- Hm logic ng vi cng EX-NOR l
Y = A B = A B + A.B
- K hiu (H 3.10)
- Cc tnh cht ca cng EX-NOR ging cng EX-OR nhng c ng ra o li.

______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 6
(H 3.10)

3.2.9 Cng phc AOI (AND-OR-INVERTER)


ng dng cc kt qu ca i s BOOLE, ngi ta c th kt ni nhiu cng khc
nhau trn mt chip IC thc hin mt hm logic phc tp no . Cng AOI l mt kt hp
ca 3 loi cng AND (A), OR (O) v INVERTER (I). Th d thc hin hm logic
Y = A.B.C + D.E , ta c cng phc sau:

(H 3.11)

3.2.10 Bin i qua li gia cc cng logic


Trong chng Hm Logic chng ta thy tt c cc hm logic c th c thay th
bi 2 hm duy nht l hm AND (hoc OR) kt hp vi hm NOT. Cc cng logic c chc
nng thc hin hm logic, nh vy chng ta ch cn dng 2 cng AND (hoc OR) v NOT
thc hin tt c cc hm logic. Tuy nhin, v cng NOT cng c th to ra t cng NAND
(hoc NOR). Nh vy, tt c cc hm logic c th c thc hin bi mt cng duy nht,
l cng NAND (hoc NOR). Hm ny cho php chng ta bin i qua li gia cc cng vi
nhau.
Quan st nh l De Morgan chng ta rt ra qui tc bin i qua li gia cc cng
AND, NOT v OR , NOT nh sau:
Ch cn thm cc cng o ng vo v ng ra khi bin i t AND sang OR hoc
ngc li. D nhin nu cc ng c o ri th o ny s mt i.
Th d 1: Ba mch di y tng ng nhau:
(H 3.12b) c c bng cch i AND - OR thm cc o cc ng vo v ra. T (H
3.12b) i sang (H 3.12c) ta b 2 cng o ni t ng ra cng NOR n ng vo cng AND

(a)

(b)

(c)

(H 3.12)
Th d 2: V mch tng ng ca cng EX-OR dng ton cng NAND
Dng nh l De-Morgan, biu thc hm EX-OR vit li:

Y = AB + AB = AB.AB
V mch tng ng cho (H 3.13)
______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 7

(H 3.13)

3.3 THNG S K THUT CA IC S


s dng IC s c hiu qu, ngoi s chn v bng s tht ca chng, ta nn bit
qua mt s thut ng ch cc thng s cho bit cc c tnh ca IC.

3.3.1 Cc i lng in c trng


- VCC: in th ngun (power supply): khong in th cho php cp cho IC hot
ng tt. Th d vi IC s h TTL, VCC=50,5 V , h CMOS VDD=3-15V (Ngi ta thng
dng k hiu VDD v VSS ch ngun v mass ca IC h MOS)
- VIH(min): in th ng vo mc cao (High level input voltage): y l in th ng
vo nh nht cn c xem l mc 1
- VIL(max): in th ng vo mc thp (Low level input voltage): in th ng vo
ln nht cn c xem l mc 0.
- VOH(min): in th ng ra mc cao (High level output voltage): in th nh nht
ca ng ra khi mc cao.
- VOL(max): in th ng ra mc thp (Low level output voltage): in th ln nht
ca ng ra khi mc thp.
- IIH: Dng in ng vo mc cao (High level input current): Dng in ln nht vo
ng vo IC khi ng vo ny mc cao.
- IIL: Dng in ng vo mc thp (Low level input current) : Dng in ra khi ng
vo IC khi ng vo ny mc thp
- IOH: Dng in ng ra mc cao (High level output current): Dng in ln nht ng
ra c th cp cho ti khi n mc cao.
- IOL: Dng in ng ra mc thp (Low level output current): Dng in ln nht ng
ra c th nhn khi mc thp.
- ICCH,ICCL: Dng in chy qua IC khi ng ra ln lt mc cao v thp.
Ngoi ra cn mt s thng s khc c nu ra di y

3.3.2 Cng sut tiu tn (Power requirement)


Mi IC khi hot ng s tiu th mt cng sut t ngun cung cp VCC (hay VDD).
Cng sut tiu tn ny xc nh bi in th ngun v dng in qua IC. Do khi hot ng
dng qua IC thng xuyn thay i gia hai trng thi cao v thp nn cng sut tiu tn s
c tnh t dng trung bnh qua IC v cng sut tnh c l cng sut tiu tn trung bnh
PD (avg) = I CC (avg).VCC

Trong
______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 8
I CCH + I CCL
2
i vi cc cng logic h TTL, cng sut tiu tn hng mW v vi h MOS th ch
hng nW.
I CC (avg) =

3.3.3 Fan-Out:
Mt cch tng qut, ng ra ca mt mch logic i hi phi cp dng cho mt s ng
vo cc mch logic khc. Fan Out l s ng vo ln nht c th ni vi ng ra ca mt IC
cng loi m vn bo m mch hot ng bnh thng. Ni cch khc Fan Out ch kh nng
chu ti ca mt cng logic
Ta c hai loi Fan-Out ng vi 2 trng thi logic ca ng ra:
I
Fan Out H = OH
I IH

I OL
I IL
Thng hai gi tr Fan-Out ny khc nhau, khi s dng, an ton, ta nn dng tr nh
nht trong hai tr ny.
Fan-Out c tnh theo n v Unit Load UL (ti n v).
Fan Out L =

3.3.4 Thi tr truyn (Propagation delays)


Tn hiu logic khi truyn qua mt cng lun lun c mt thi gian tr.
C hai loi thi tr truyn: Thi tr truyn t thp ln cao tPLH v thi tr truyn t
cao xung thp tPHL. Hai gi tr ny thng khc nhau. S thay i trng thi c xc nh
tn hiu ra. Th d tn hiu qua mt cng o, thi tr truyn c xc nh nh (H 3.14)
Ty theo h IC, thi tr truyn thay i t vi ns n vi trm ns. Thi tr truyn cng
ln th tc lm vic ca IC cng nh.

(H 3.14)

3.3.5 Tch s cng sut-vn tc (speed- power product)


nh gi cht lng IC, ngi ta dng i lng tch s cng sut-vn tc l
tch s cng sut tiu tn v thi tr truyn. Th d h IC c thi tr truyn l 10 ns v cng
sut tiu tn trung bnh l 50 mW th tch s cng sut-vn tc l:
10 ns x 5 mW =10.10-9x5.10-3 = 50x10-12 watt-sec = 50 picojoules (pj)
Trong qu trnh pht trin ca cng ngh ch to IC ngi ta lun mun t c cc
IC c cng sut tiu tn v thi tr truyn cng nh cng tt. Nh vy mt IC c cht lng
cng tt khi tch s cng sut-vn tc cng nh. Tuy nhin trn thc t hai gi tr ny thay i
theo chiu ngc vi nhau, nn ta kh m t c cc gi tr theo mun, d sao trong qu
trnh pht trin ca cng ngh ch to linh kin in t tr s ny lun c ci thin .
______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 9
3.3.6 Tnh min nhiu (noise immunity)
Cc tn hiu nhiu nh tia la in, cm ng t c th lm thay i trng thi logic
ca tn hiu do nh hng n kt qu hot ng ca mch.
Tnh min nhiu ca mt mch logic ty thuc kh nng dung np hiu th nhiu ca
mch v c xc nh bi l nhiu. L nhiu c c do s chnh lch ca cc in th gii
hn (cn c gi l ngng logic) ca mc cao v thp gia ng ra v ng vo ca cc cng
(H 3.15).

(H 3.15)
Tn hiu khi vo mch logic c xem l mc 1 khi c tr >VIH(min) v l mc 0 khi
<V IL(max). in th trong khong gia khng ng vi mt mc logic no nn gi l vng
bt nh. Do c s khc bit gia VOH(min) vi VIH(min) v VOL(max) vi VIL(max) nn ta c
2 gi tr l nhiu:
L nhiu mc cao: VNH = VOH(min) - VIH(min)
L nhiu mc thp: VNL = VIL(max) - VOL(max)
Khi tn hiu ra mc cao a vo ng vo, bt c tn hiu nhiu no c gi tr m v
bin >VNH u lm cho in th ng vo ri vo vng bt nh v mch khng nhn ra
c tn hiu thuc mc logic no. Tng t cho trng hp ng ra mc thp tn hiu nhiu
c tr dng bin >VNL s a mch vo trng thi bt nh.

3.3.7 Logic cp dng v logic nhn dng


Mt mch logic thng gm nhiu tng kt ni vi nhau. Tng cp tn hiu gi l tng
thc v tng nhn tn hiu gi l tng ti. S trao i dng in gia hai tng thc v ti th
hin bi logic cp dng v logic nhn dng.
(H 3.16a) cho thy hot ng gi l cp dng: Khi ng ra mch logic 1 mc cao, n
cp dng IIH cho ng vo ca mch logic 2, vai tr nh mt ti ni mass. Ng ra cng 1 nh l
mt ngun dng cp cho ng vo cng 2
(H 3.16b) cho thy hot ng gi l nhn dng: Khi ng ra mch logic 1 mc thp,
n nhn dng IIL t ng vo ca mch logic 2 xem nh ni vi ngun VCC.

______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 10

(a)

(b)
(H 3.16)
Thng dng nhn ca tng thc khi mc thp c tr kh ln so vi dng cp ca n
khi mc cao, nn ngi ta hay dng trng thi ny khi cn gnh nhng ti tng i nh, v
d khi ch cn thc cho mt led, ngi ta c th dng mch (H 3.17a) m khng th dng
mch (H 3.17b).

(a)

(H 3.17)

(b)

3.3.8 Tnh Schmitt Trigger


Trong phn gii thiu l nhiu, ta thy cn mt khong in th nm gia cc ngng
logic, y chnh l khong in th ng vi transistor lm vic trong vng tc ng. Khong
cch ny xc nh l nhiu v c tc dng lm gim rng sn xung (tc lm cho ng
dc ln v dc xung ca tn hiu ra dc hn) khi qua mch. L nhiu cng ln khi vng
chuyn tip ca ng vo cng nh, tn hiu ra thay i trng thi trong mt khong thi gian
cng nh nn sn xung cng dc. Tuy nhin vn cn mt khong sn xung nm trong vng
chuyn tip nn tn hiu ra khng vung hon ton. (H 3.18a) v (H 3.18b) minh ha iu

(a)

(b)

(H 3.18)
ci thin hn na dng tn hiu ng ra, bo m tnh min nhiu cao, ngi ta ch
to cc cng c tnh tr in th (H 3.19a), c gi l cng Schmitt Trigger
(H 3.19b) m t mi quan h gia Vout v Vin ca mt cng o Schmitt Trigger.

______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 11

(a)

(b)
(H 3.19)

(H 3.20a&b) l k hiu cc cng Schmitt Trigger.

(a)

(b)
(H 3.20)

3.4 H TTL
Trong qu trnh pht trin ca cng ngh ch to mch s ta c cc h: RTL (Resistortransistor logic), DCTL (Direct couple-transistor logic), RCTL (Resistor-Capacitor-transistor
logic), DTL (Diod-transistor logic), ECL (Emitter- couple logic) v.v.... n by gi tn ti hai
h c nhiu tnh nng k thut cao nh thi tr truyn nh, tiu hao cng sut t, l h TTL
(transistor-transistor logic) dng cng ngh ch to BJT v h MOS (Cng ngh ch to
MOS)
Di y, ln lt kho st cc cng logic ca hai h TTL v MOS

3.4.1 Cng c bn h TTL


Ly cng NAND 3 ng vo lm th d thy cu to v vn hnh ca mt cng c
bn

(H 3.21)
Khi mt trong cc ng vo A, B, C xung mc khng T1 dn a n T2 ngng, T3
ngng, ng ra Y ln cao; khi c 3 ng vo ln cao, T1 ngng, T2 dn, T3 dn, ng ra Y xung
thp. chnh l kt qu ca cng NAND.
______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 12
T CL trong mch chnh l t k sinh to bi s kt hp gia ng ra ca mch (tng
thc) vi ng vo ca tng ti, khi mch hot ng t s np in qua R4 (lc T3 ngng) v
phng qua T3 khi transistor ny dn do thi tr truyn ca mch quyt nh bi R4 v CL,
khi R4 nh mch hot ng nhanh nhng cng sut tiu th lc ln, mun gim cng sut
phi tng R4 nhng nh vy thi tr truyn s ln hn (mch giao hon chm hn). gii
quyt khuyt im ny ng thi tha mn mt s yu cu khc , ngi ta ch to cc cng
logic vi cc kiu ng ra khc nhau.

3.4.2 Cc kiu ng ra
@ Ng ra totempole

(H 3.22)
R4 trong mch c bn c thay th bi cm T4, RC v Diod D, trong RC c tr rt
nh, khng ng k. T2 by gi gi vai tr mch o pha: khi T2 dn th T3 dn v T4 ngng,
Y xung thp, khi T2 ngng th T3 ngng v T4 dn, ng ra Y ln cao. T CL np in qua T4
khi T4 dn v phng qua T3 (dn), thi hng mch rt nh v kt qu l thi tr truyn nh.
Ngoi ra do T3 & T4 lun phin ngng tng ng vi 2 trng thi ca ng ra nn cng sut
tiu th gim ng k. Diod D c tc dng nng in th cc B ca T4 ln bo m khi T3
dn th T4 ngng.
Mch ny c khuyt im l khng th ni chung nhiu ng ra ca cc cng khc nhau
v c th gy h hng khi cc trng thi logic ca cc cng ny khc nhau.
@ Ng ra cc thu h

(H 3.23)
Ng ra cc thu h c mt s li im sau:
- Cho php kt ni cc ng ra ca nhiu cng khc nhau, nhng khi s dng phi mc
mt in tr t ng ra ln ngun Vcc, gi l in tr ko ln, tr s ca in tr ny c th
c chn ln hay nh ty theo yu cu c li v mt cng sut hay tc lm vic.

______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 13
im ni chung ca cc ng ra c tc dng nh mt cng AND nn ta gi l im
AND (H 3.24)
- Ngi ta cng ch to cc IC ng ra c cc thu h cho php in tr ko ln mc
vo ngun in th cao, dng cho cc ti c bit hoc dng to s giao tip gia h TTL vi
CMOS dng ngun cao.
Th d IC 7406 l loi cng o c ng ra cc thu h c th mc ln ngun 24 V (H
3.25)

(H 3.24)

(H 3.25)

@ Ng ra ba trng thi

(H 3.26)

(H 3.27)

Mch (H 3.26) l mt cng o c ng ra 3 trng thi, trong T4 & T5 c mc


Darlington cp dng ra ln cho ti. Diod D ni vo ng vo C iu khin. Hot ng
ca mch gii thch nh sau:
- Khi C=1, Diod D ngng dn, mch hot ng nh mt cng o
- Khi C=0, Diod D dn, cc thu T2 b ghim p mc thp nn T3, T4 & T5 u ngng,
ng ra mch trng thi tng tr cao.
K hiu ca cng o ng ra 3 trng thi, c ng iu khin C tc ng mc cao v
bng s tht cho (H 3.27)
Cng c cc cng o v cng m 3 trng thi vi ng iu khin C tc ng mc
thp m SV c th t v k hiu v bng s tht.
(H 3.28) l mt ng dng ca cng m c ng ra 3 trng thi: Mch chn d liu

______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 14
(H 3.28)
Vn chuyn: ng vi mt gi tr a ch AB , mt ng ra mch gii m a ch c
tc ng (ln cao) cho php mt cng m v d liu ng vo cng c truyn ra ng ra.
Th d khi AB = 00, Y0 = 1 (Y1=Y2=Y3=0) G1 m, D0 truyn qua G1 n ng ra, trong lc G2,
G3, G4 ng, c ng ra trng thi Z cao, khng nh hng n hot ng ca mch.

3.4.3 c tnh cc lot TTL


Cc IC s h TTL c sn xut ln u tin vo nm 1964 bi hng Texas
Instrument Corporation ca M, ly s hiu l 74XXXX & 54XXXX. S khc bit gia 2 h
74XXXX v 54 XXXX ch hai im:
74: VCC=5 0,5 V v khong nhit hot ng t 0o C n 70o C
54: VCC=5 0,25 V v khong nhit hot ng t -55o C n 125o C
Cc tnh cht khc hon ton ging nhau nu chng c cng s.
Trc s 74 thng c thm k hiu ch hng sn xut. Th d SN ca hng Texas,
DM ca National Semiconductor, S ca Signetics
Ngoi ra trong qu trnh pht trin, cc thng s k thut (nht l tch s cng sut
vn tc) lun c ci tin v ta c cc lot khc nhau: 74 chun, 74L (Low power), 74 H
(High speed), 74S (Schottky), 74LS (Low power Schottky), 74AS (Advance Schottky),
74ALS (Advance Low power Schottky), 74F (Fast, Fair Child).
Bng 3.1 cho thy mt s tnh cht ca cc lot k trn:
Thng s k thut

74

74L

74H

74S

Thi tr truyn (ns)


Cng sut tiu tn (mW)
Tch s cng sut vn tc (pJ)
Tn s xung CK max (MHz)
Fan Out (cng lot)
in th
VOH(min)
VOL (max)
VIH (min)
VIL (max)

9
10
90
35
10

33
1
33
3
20

6
23
138
50
10

3
20
60
125
20

2,4
0,4
2,0
0,8

2,4
0,4
2,0
0,7

74L
S
9,5
2
19
45
20

74AS

74ALS

74F

1,7
8
13,6
200
40

4
1,2
4,8
70
20

3
6
18
100
33

2,4
2,7
2,7
2,5
2,5
2,5
0,4
0,5
0,5
0,5
0,4
0,5
2,0
2,0
2,0
2,0
2,0
2,0
0,8
0,8
0,8
0,8
0,8
0,8
Bng 3.1
- Lot 74S: Cc transistor trong mch c mc thm mt Diod Schottky gia hai cc
CB vi mc ch gim thi gian chuyn trng thi ca transistor do lm gim thi tr
truyn.
- Lot 74AS v 74ALS l ci tin ca 74S lm gim hn na gi tr tch s Cng
sut - Vn tc.
- Lot 74F: Dng k thut c bit lm gim din dung k sinh do ci thin thi tr
truyn ca cng.

3.5 HO MOS
Gm cc IC s dng cng ngh ch to ca transistor MOSFET loi tng, knh N v
knh P . Vi transistor knh N ta c NMOS, transistor knh P ta c PMOS v nu dng c hai
loi transistor knh P & N ta c CMOS. Tnh nng k thut ca loi NMOS v PMOS c th
______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 15
ni l ging nhau, tr ngun cp in c chiu ngc vi nhau do ta ch xt loi NMOS v
CMOS.
Cc transistor MOS dng trong IC s cng ch hot ng mt trong 2 trng thi: dn
hoc ngng.
- Khi dn, ty theo nng pha ca cht bn dn m transistor c ni tr rt nh (t
vi chc n hng trm K) tng ng vi mt kha ng.
- Khi ngng, transistor c ni tr rt ln (hng 1010), tng ng vi mt kha h.

3.5.1 Cng c bn NMOS

(a)

(b)

(c)
(H 3.29)

(H 3.29a), (H 3.29b) v (H3.29c) l cc cng NOT, NAND v NOR dng NMOS


Bng 3.2 cho thy quan h gia cc in th ca cc ng vo , ra cng NOT
Vin

T1

T2

Vout

0V (logic 0)

RON = 100K

ROFF=1010

+5V (logic 1)

+5V (logic1)

RON = 100K

RON = 1K

0,05V (logic 0)

Bng 3.2
Ngoi ra vn hnh ca cng NAND v NOR c gii thch nh sau:
Cng NAND:
- Khi 2 ng vo ni ln mc cao, T2 v T3 dn, ng ra xung thp.
- Khi c 1 ng vo ni xung mc thp, mt trong 2 transistor T2 hoc T3
ngng, ng ra ln cao.
chnh l kt qu ca cng NAND 2 ng vo.
Cng NOR:
- Khi 2 ng vo ni xung mc thp, T2 v T3 ngng, ng ra ln cao.
- Khi c 1 ng vo ni ln mc cao, mt trong 2 transistor T2 hoc T3 dn, ng
ra xung thp.
chnh l kt qu ca cng NOR 2 ng vo.

3.5.2 Cng c bn CMOS


H CMOS s dng hai loi transistor knh N v P vi mc ch ci thin tch s cng
sut vn tc, mc d kh nng tch hp thp hn loi N v P. (H 3.30a), (H 3.30b) v (H
3.30c) l cc cng NOT, NAND v NOR h CMOS
______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 16

(a)

(b)
(H 3.30)

(c)

Bng 3.3 cho thy quan h in th ca cc ng vo , ra cng NOT


Vin

T1

T2

Vout

VDD (logic1)

ROFF=1010

RON = 1K

0V (logic 0)

0V (logic0)

RON = 1K

ROFF=1010

VDD (logic 1)

Bng 3.3
Ngoi ra vn hnh ca cng NAND v NOR c gii thch nh sau:
Cng NAND:
- Khi 2 ng vo ni ln mc cao, T1 v T2 ngng, T3 v T4 dn, ng ra xung
thp.
- Khi c 1 ng vo ni xung mc thp, mt trong 2 transistor T3 hoc T4
ngng, mt trong 2 transistor T1 hoc T2 dn, ng ra ln cao.
chnh l kt qu ca cng NAND 2 ng vo.
Cng NOR:
- Khi 2 ng vo ni xung mc thp, T1v T2 dn, T3 v T4 ngng, ng ra ln
cao.
- Khi c 1 ng vo ni ln mc cao, mt trong 2 transistor T3 hoc T4 dn, mt
trong 2 transistor T1 hoc T2 ngng, ng ra xung thp.
chnh l kt qu ca cng NOR 2 ng vo.

3.5.3 Cc cng CMOS khc


Ngi ta cng sn xut cc cng CMOS vi cc Drain h v ng ra 3 trng thi
s dng trong cc trng hp c bit nh h TTL

______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 17

(a)

(H 3.31)

(b)

(H 3.31a) l mt cng NOT c cc D h, khi s dng phi c in tr ko ln


(H 3.31b) l mt cng NOT c ng ra 3 trng thi:
- Khi ng vo Enable =1, T1 v T4 dn, mch hot ng nh l cng o,
- Khi ng vo Enable =0, T1 v T4 u ngng a mch vo trng thi Z cao.
Ngoi ra li dng tnh cht ca transistor MOS c ni tr rt nh khi dn, ngi ta
cng ch to cc mch c kh nng truyn tn hiu theo 2 chiu, gi l kha 2 chiu. (H 3.32)
l mt kha 2 chiu vi A l ng vo iu khin. Khi A = 0 kha h, khi A = 1, kha ng
cho tn hiu truyn qua theo 2 chiu

A
0
1

X to Y
OFF
ON

Y to X
OFF
ON

(H 3.32)
Vn hnh: T3 v T4 vai tr l mt cng o
- Khi A = 0, cc G ca T2 mc thp nn T2 (knh N) ngng, cc G ca T1 (knh P)
mc cao nn T1 ngng, mch tng ng vi kha h.
- Khi A =1, cc G ca T2 mc cao nn T2 dn, cc G ca T1 mc thp nn T1 dn,
mch tng ng vi kha ng. Tn hiu truyn qua mt chiu nh T1 (loi P) v theo
chiu ngc li nh T2 (loi N)
Bin ca tn hiu Vi truyn qua kha phi tha iu kin 0 <Vi < VDD .
Nh vy nu ta s dng ngun VDD th kha cho tn hiu xoay chiu i qua.

______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 18
3.5.3 c tnh ca h MOS
Mt s tnh cht chung ca cc cng logic h MOS (NMOS, PMOS v CMOS) c th
k ra nh sau:
- Ngun cp in : VDD t 3V n 15V
- Mc logic:
VOL (max) = 0V
VOH (min) = VDD
VIL (max) = 30% VDD
VIH (min) = 70%VDD
- L nhiu :
VNH = 30%VDD
VNL = 30%VDD
Vi ngun 5V, l nhiu khang 1,5V, rt ln so vi h TTL.
- Thi tr truyn tng i ln, khang vi chc ns, do in dung k sinh ng vo v
tng tr ra ca transistor kh ln.
- Cng sut tiu tn tng i nh, hng nW, do dng qua transistor MOS rt nh.
- S Fan Out:
50 UL
Do tng tr vo ca transistor MOS rt ln nn dng ti cho cc cng h MOS rt
nh, do s Fan Out ca h MOS rt ln, tuy nhin khi mc nhiu tng ti vo mt tng
thc th in dung k sinh tng ln (gm nhiu t mc song song) nh hng n thi gian
giao hon ca mch nn khi dng tn s cao ngi ta gii hn s Fan Out l 50, ngha l
mt cng MOS c th cp dng cho 50 cng ti cng lot.
- Nh ni trn, CMOS c ci thin thi tr truyn so vi loi NMOS v PMOS,
tuy nhin mt tch hp ca CMOS th nh hn hai loi ny. D sao so vi h TTL th mt
tch hp ca h MOS ni chung ln hn rt nhiu, do h MOS rt thch hp ch to
di dng LSI v VLSI.

3.5.4 Cc lot CMOS


CMOS c hai k hiu: 4XXX do hng RCA ch to v 14XXX ca hng
MOTOROLA, c hai lot 4XXXA (14XXXA) v 4XXXB (14XXXB), lot B ra i sau c
ci thin dng ra.
Ngoi ra cn c cc lot :
- 74C : CMOS c cng s chn v chc nng vi IC TTL nu c cng s. Th d
IC 74C74 l IC gm 2 FF D tc ng bi cnh xung ng h ging nh IC 7474 ca TTL.
Hu ht (nhng khng tt c) cc thng s ca lot 74C ging vi 74 TTL nn ta c th thay
th 2 loi ny cho nhau c.
- 74HC (High speed CMOS), 74HCT: y l lot ci tin ca 74C, tc giao hon
c th so snh vi 74LS, ring 74HCT th hon ton tng thch vi TTL k c cc mc
logic. y l lot IC CMOS c dng rng ri.
- 74AC v 74ACT (Advance CMOS) ci tin ca 74 HC v HCT v mt nhiu bng
cch sp xp li th t cc chn, do n khng tng thch vi TTL v s chn.

3.6 GIAO TIP GIA CC H IC S


Giao tip l thc hin vic kt ni ng ra ca mt mch hay h thng vi ng vo ca
mch hay h thng khc. Do tnh cht v in khc nhau gia hai h TTL v CMOS nn
vic giao tip gia chng trong nhiu trng hp khng th ni trc tip c m phi nh
mt mch trung gian ni gia tng thc v tng ti sao cho in th tn hiu ra tng thc ph
hp vi tn hiu vo ca tng ti v dng in tng thc phi cp cho tng ti.
TTL
CMOS (VDD=
______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 19
Thng s
VIH(min)
VIL(max)
VOH(min)
VOL(max)
IIH(max)
IIL(max)
IOH(max)
IOL(max)

4000B
3,5V
1,5V
4,95V
0,05V
1A
1A
0,4 mA
0,4 mA

74HC
3,5V
1,0V
4,9V
0,1V
1A
1A
4 mA
4 mA

5V)
74HCT
2,0V
0,8V
4,9V
0,1V
1A
1A
4 mA
4 mA

74
2,0V
0,8V
2,4V
0,4V
40A
1,6 mA
0,4 mA
16 mA
Bng 3.4

74LS
2,0V
0,8V
2,7V
0,5V
20A
0,4 mA
0,4 mA
8 mA

74AS
2,0V
0,8V
2,7V
0,5V
200A
2 mA
2 mA
20 mA

74ALS
2,0V
0,8V
2,7V
0,4V
20A
100A
0,4 mA
8 mA

C th ni iu kin thc trc tip


- Khi dng in ra ca tng thc ln hn hoc bng dng in vo ca tng ti c hai
trng thi thp v cao.
- Khi hiu th ng ra ca tng thc hai trng thi thp v cao ph hp vi in th
vo ca tng ti.
Nh vy, trc khi xt cc trng hp c th ta xem qua bng k cc thng s ca hai
h IC

3.6.1 TTL thc CMOS


- TTL thc CMOS dng in th thp (VDD = 5V):
T bng 3.4 dng in vo ca CMOS c tr rt nh so vi dng ra ca cc lot TTL,
vy v dng in khng c vn
Tuy nhin khi so snh hiu th ra ca TTL vi hiu th vo ca CMOS ta thy
VOH(max) ca tt c cc lot TTL u kh thp so vi VIH(min) ca TTL, nh vy phi c
bin php nng hiu th ra ca TTL ln. iu ny thc hin c bng mt in tr ko ln
mc ng ra ca IC TTL (H 3.33)
- TTL thc 74 HCT:
Nh ni trc y, ring lot 74HCT l lot CMOS c thit k tng thch vi
TTL nn c th thc hin kt ni m khng cn in tr ko ln.
- TTL thc CMOS dng ngun cao (VDD = +10V)
Ngay c khi dng in tr ko ln, in th ng ra mc cao ca TTL vn khng cp
cho ng vo CMOS, ngi ta phi dng mt cng m c ng ra h c th dng ngun cao
(Th d IC 7407) thc hin s giao tip (H 3.34)

(H 3.33)

(H 3.34)

3.6.2 CMOS thc TTL


- CMOS thc TTL trng thi cao:
______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 20
Bng 3.4 cho thy in th ra v dng in ra mc cao ca CMOS cp cho TTL
. Vy khng c vn trng thi cao
- CMOS thc TTL trng thi thp:
Dng in vo trng thi thp ca TTL thay i trong khong t 100 A n 2 mA.
Hai lot 74HC v 74HCT c th nhn dng 4 mA . Vy hai lot ny c th giao tip vi mt
IC TTL m khng c vn . Tuy nhin, vi lot 4000B, IOL rt nh khng giao tip vi
ngay c mt IC TTL, ngi ta phi dng mt cng m nng dng ti ca lot 4000B trc
khi thc vi IC 74LS (H 3.35)
- CMOS dng ngun cao thc TTL:
C mt s IC lot 74LS c ch to c bit c th nhn in th ng vo cao
khong 15V c th c thc trc tip bi CMOS dng ngun cao, tuy nhin a s IC TTL
khng c tnh cht ny, vy c th giao tip vi CMOS dng ngun cao, ngi ta phi
dng cng m h in th ra xung cho ph hp vi IC TTL (H 3.36)

(H 3.35)

(H 3.36)

Vi th d dng cng thit k mch


1. Dng cng NAND 2 ng vo thit k mch to hm Y = f(A,B,C) =1 khi tha cc iu kin
sau:
a. A=0, B=1 v C=1
b. A=1, B=1 bt chp C
Gii
D vo iu kin ca bi ton ta c bng s tht ca hm Y
A
0
0
0
0
1
1
1
1

B
0
0
1
1
0
0
1
1

C
0
1
0
1
0
1
0
1

Y
0
0
0
1
0
0
1
1

Rt gn hm:
______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 21

Y =AB+BC

(H 3.37)

dng tan cng NAND to hm, ta dng nh l De Morgan, bin i hm Y:


Y = Y = AB + BC = AB .BC
V mch c dng (H 3.37)

2. Cho mch

(H P3.38)
a./ Vit biu thc hm Y theo cc bin A,B,C.
b./ Rt gn hm logic ny
c./ Thay th mch trn bng mt mch ch gm cng NAND 2 ng vo
Gii
a./ Ta c Y = A .B.C + A B.C + A .BD
b./ Rt gn
Y= A .B.C + A B.C + A .BD = B.C(A + A) + A .BD = B.C + A .BD = B( C + A D)
c./ V mch thay th dng cng NAND 2 ng vo
Trc nht ta v mch tng ng hm rt gn, sau dng bin i cng

(H P3.39)

______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 22

BI TP
1. Thit k mch thc hin cc hm sau y dng ton cng NAND 2 ng vo:
a./ f(A,B,C) = 1 nu (ABC)2 l s chn.
b./ f(A,B,C) = 1 nu c t nht 2 bin = 1.
c./ f(A,B,C) = 1 nu s nh phn (ABC)2 > 5.
d./ f(A,B,C) = 1 nu s bin c gi tr 1 l s chn.
e./ f(A,B,C) = 1 nu c mt v ch mt bin = 1.
2. Thit k mch gm 2 ng vo D, E v 2 ng ra P, C tha cc iu kin sau y:
- Nu E = 1 D = 0
P = 1, C = 0
- Nu E = 1 D = 1
P = 0, C = 1
- Nu E = 0 D bt k
P = 1, C = 1
3. Hm logic F(A, B, C) tha tnh cht sau y :
F(A,B,C) = 1 nu c mt v ch mt bin bng 1
a- Lp bng s tht cho hm F.
b- V mch logic to hm F.
4. Thit K mch to hm Y = A .B.C + A B.C + A .BC bng cc cng NAND 2 ng vo
5. Hm F(A,B,C) xc inh bi bng s tht
A
0
0
0
0
1
1
1
1

B
0
0
1
1
0
0
1
1

C
0
1
0
1
0
1
0
1

F
1
0
0
1
1
0
1
1

a- Dng bn Karnaugh rt gn hm F.
b- V s mch logic thc hin hm F.
c- V li mch ch dng cng NOR hai ng vo.

6. Rt gn hm logic :
f(A,B,C,D) = (0,1, 2, 4, 5, 8), A = MSB. Hm khng xc nh vi cc t hp bin (3,
7,10).
Dng s cng NOR t nht thc hin mch to hm trn.
7. Hm f(A,B,C) =1 khi s bin = 1 l s chn
- Vit biu thc logic ca hm f(A,B,C) theo t hp bin A,B,C.
- Dng cc cng EX-OR thc hin mch to hm trn.
8. Mt mch t hp nhn vo mt s nh phn A=A3A2A1A0 (A0 l LSB) to ra ng ra Y
mc cao khi v ch khi 0010<A<1000. Hy thit k mch vi:
a) Cu trc NAND-NAND.
b) Ton cng NAND 2 ng vo.

______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

______________________________________________________Chng 3 Cng

logic III - 23
9. Mt mch t hp nhn vo mt s BCD, c tn l X. Ng ra ca mch ln 1 khi tha iu
kin 110 X 510.
Hy thit k mch t hp trn, dng ton cng NAND 2 ng vo.
10. Hm f(A,B,C,D) =1 khi c t nht 3 bin = 1
- Vit biu thc logic ca hm f(A,B,C,D) theo t hp bin A,B,C,D.
- Dng cc cng NAND 2 ng vo (s cng t nht) thc hin mch to hm trn.

______________________________________________________________
______________________________________________ Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 1

CHNG 4: MCH T HP
MCH M HA
7 Mch m ha 2 ng sang n ng
7 Mch to m BCD cho s thp phn
MCH GII M
7 Mch gii m n ng sang 2n ng
7 Mch gii m BCD sang 7 on
MCH A HP V GII A HP
7 Khi nim
7 Mch a hp
7 ng dng ca mch a hp
7 Mch gii a hp
MCH SO SNH
7 Mch so snh hai s mt bit
7 Mch so snh hai s nhiu bit
MCH KIM / PHT CHN L
7 Mch pht chn l
7 Mch kim chn l
___________________________________________________________________________
____
n

Cc mch s c chia ra lm hai loi: Mch t hp v Mch tun t.


- Mch t hp: Trng thi ng ra ch ph thuc vo t hp cc ng vo khi t hp ny
n nh. Ng ra Q ca mch t hp l hm logic ca cc bin ng vo A, B, C . . ..
Q = f(A,B,C . . .)
- Mch tun t : Trng thi ng ra khng nhng ph thuc vo t hp cc ng vo m
cn ph thuc trng thi ng ra trc . Ta ni mch tun t c tnh nh. Ng ra Q+ ca
mch tun t l hm logic ca cc bin ng vo A, B, C . . . . v ng ra Q trc .
Q+ = f(Q,A,B,C . . .)
Chng ny nghin cu mt s mch t hp thng dng thng qua vic thit k mt
s mch n gin v kho st mt s IC trn thc t.

4.1. MCH M HA
M ha l gn cc k hiu cho cc i tng trong mt tp hp thun tin cho vic
thc hin mt yu cu c th no . Th d m BCD gn s nh phn 4 bit cho tng s m
ca s thp phn (t 0 n 9) thun tin cho my c mt s c nhiu s m; m Gray
dng tin li trong vic ti gin cc hm logic . . .. Mch chuyn t m ny sang m khc gi
l mch chuyn m, cng c xp vo loi mch m ha. Th d mch chuyn s nh phn 4
bit sang s Gray l mt mch chuyn m.

___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 2
4.1.1 Mch m ha 2n ng sang n ng
Mt s nh phn n bit cho 2n t hp s khc nhau. Vy ta c th dng s n bit m
cho 2n ng vo khc nhau, khi c mt ng vo c chn bng cch a n ln mc tc ng,
ng ra s ch bo s nh phn tng ng. l mch m ha 2n ng sang n ng.
(H 4.1) l m hnh mt mch m ha 2n ng sang n ng.
- (H 4.1a) l mch c ng vo v ra tc ng cao : Khi cc ng vo u mc thp,
mch cha hot ng, cc ng ra u mc thp. Khi c mt ng vo c tc ng bng
cch n kha K tng ng a ng vo ln mc cao, cc ng ra s cho s nh phn
tng ng.
- (H 4.1b) l mch c ng vo v ra tc ng thp. Hot ng tng t nh mch trn
nhng c mc tc ng ngc li. (trong m hnh (H 4.1b) k hiu du o ng ra ch mc
tc ng thp, cn ng vo khng c du o v l mch tht)
Trong trng hp ng ra c mc tc ng thp, mun c ng s nh phn ng ra,
ta phi o cc bit c.

(a)

(b)
(H 4.1)

D nhin, ngi ta cng c th thit k theo kiu ng vo tc ng thp v ng ra tc


ng cao hay ngc li. Trn thc t, ta c th c bt c loi ng vo hay ra tc ng theo bt
c kiu no (mc cao hay thp).
Ngoi ra, trnh trng hp mch cho ra mt m sai khi ngi s dng v tnh (hay
c ) tc ng ng thi vo hai hay nhiu ng vo, ngi ta thit k cc mch m ha u
tin: l mch ch cho ra mt m duy nht c tnh u tin khi c nhiu ng vo cng c tc
ng.

4.1.1.1 M ha u tin 4 ng sang 2 ng


Thit k mch m ha 4 ng sang 2 ng, u tin cho m c tr cao, ng vo v ra
tc ng cao
Bng s tht v s mch (H 4.2)
0
1

1
0

2
0

3
0

A1
0

A0
0

___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 3
x
x
x

0
1
x

1
x
x

1
0
1

0
1
1

0
0
1
Bng 4.1

Nhn thy bin 0 trong bng s tht khng nh hng n kt qu nn ta ch v bng


Karnaugh cho 3 bin 1, 2 v 3. Lu l do trong bng s tht c cc trng hp bt chp ca
bin nn ng vi mt tr ring ca hm ta c th c n 2 hoc 4 s 1 trong bng Karnaugh.
Th d vi tr 1 ca c 2 hm A1 v A0 dng cui cng a n 4 s 1 trong cc 001, 011,
101 v 111 ca 3 bin 123.
T bng Karnaugh, ta c kt qu v mch tng ng. Trong mch khng c ng vo
0, iu ny c hiu l mch s ch bo s 0 khi khng tc ng vo ng vo no.

(H 4.2)

4.1.1.2 M ha 8 ng sang 3 ng
Chng ta s kho st mt IC m ha 8 ng sang 3 ng.
Trn thc t khi ch to mt IC, ngoi cc ng vo/ra thc hin chc nng chnh
ca n, ngi ta thng d tr thm cc ng vo v ra cho mt s chc nng khc nh cho
php, ni mch m rng hot ng ca IC.
IC 74148 l IC m ha u tin 8 ng sang 3 ng, vo/ ra tc ng thp, c cc
ng ni mch m rng m ha vi s ng vo nhiu hn.
Di y l bng s tht ca IC 74148, trong Ei ng vo ni mch v cho php, Eo
l ng ra ni mch v Gs dng m rng cho s nh phn ra.
Da vo bng s tht, ta thy IC lm vic theo 10 trng thi:
- Cc trng thi t 0 n 7: IC m ha cho ra s 3 bit
- Cc trng thi 8 v 9: dng cho vic m rng, s gii thch r hn khi ni 2 IC m
rng m ha cho s 4 bit

Trng
thi
9
8
7
6
5
4

Ei 0
7
1 x
0 x
0 1
0 1
0 x
0 0

Ng vo
2 3 4

A2

Ng ra
A1 A0

Gs Eo

1
1
0
0
0
0

1
1
0
0
1
1

1
1
0
0
0
0

1
1
0
1
0
1

1
0
1
1
1
1

___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 4
3
2
1
0

0
0
0
0

x
1
x
1
x
1
x
1
x
1
x
1
0
1

0 1

1 1

1 1

1
1
1
1

0
0
1
1

0
1
0
1

0
0
0
0

1
1
1
1

Bng 4.2
(H 4.3) l cch ni 2 IC thc hin m ha 16 ng sang 4 ng

(H 4.3)
- IC2 c Ei = 0 nn hot ng theo cc trng thi t 0 n 8, ngha l m ha t 0 n
7 cho cc ng ra A2A1A0.
- IC1 c Ei ni vi Eo ca IC2 nn IC1 ch hot ng khi tt c ng vo d liu ca
IC2 ln mc 1 (IC2 hot ng trng thi 8)
* m ha cc s t 0 n 7, cho cc ng vo 8 n 15 (tc cc ng vo d liu ca
IC2) ln mc 1, IC2 hot ng trng thi 8.
Lc Ei1 = Eo2 = 0: kt qu l IC1 s hot ng trng thi t 0 n 7, cho php to
m cc s t 0 n 7 (t 111 n 000) v IC2 hot ng trng thi 8 nn cc ng ra
(A2A1A0)2= 111, y l iu kin m cc cng AND cho m s ra l B2B1B0 = A2A1A0 ca
IC1, trong lc B3 = Gs2 = 1, ta c kt qu t 1111 n 1000, tc t 0 n 7 (tc ng
thp).
Th d m s 4 , a ng vo 4 xung mc 0, cc ng vo t 5 n 15 ln mc 1,
bt chp cc ng vo t 0 n 3, m s ra l B3B2B1B0=Gs2B2B1B0=1011, tc s 4
* m ha cc s t 8 n 15, cho IC2 hot ng trng thi t 0 n 7 (a ng
vo ng vi s mun m xung thp, cc ng vo cao hn ln mc 1 v cc ng vo thp hn
xung mc 0), bt chp cc ng vo d liu ca IC1 (cho IC1 hot ng trng thi 9), nn
cc ng ra (A2A1A0)1=111, y l iu kin m cc cng AND cho m s ra l B2B1B0=
___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 5
A2A1A0 ca IC2, , trong lc B3 = Gs2 = 0, ta c kt qu t 0111 n 0000, tc t 8 n
15.
Th d m s 14, a ng vo 14 xung mc 0, a ng vo 15 ln mc 1, bt chp
cc ng vo t 0 n 13, m s ra l B3B2B1B0 = Gs2B2B1B0 = 0001, tc s 14
Mun c ng ra ch s nh phn ng vi ng vo c tc ng m khng phi o
cc bit ta c th thay cc cng AND bng cng NAND

4.1.2 Mch to m BCD cho s thp phn


Mch gm 10 ng vo tng trng cho 10 s thp phn v 4 ng ra l 4 bit ca s
BCD. Khi mt ng vo (tng trng cho mt s thp phn) c tc ng bng cch a ln
mc cao cc ng ra s cho s BCD tng ng
Bng s tht ca mch:
Trng thi cc ng vo
8 7 6 5 4 3 2

9
1

0
0

0 0

0 0

0 0

0 0

0 0

0 0

0 1

1 0

0 0

1
0

0
0

0
0

0
0

0
0

0
0

0
0

0
0

0
1

0 0

M s ra
A3 A2 A1
A0
0
0
0
0
0
0
0
1
0
0
1
0
0
0
1
1
0
1
0
0
0
1
0
1
0
1
1
0
0
1
1
1
1
0
0
0
1
0
0
1

Bng 4.3
Khng cn bng Karnaugh ta c th vit ngay cc hm xc nh cc ng ra:
A0 = 1 + 3 + 5 + 7 + 9
A1 = 2 + 3 + 6 + 7
A2 = 4 + 5 + 6 + 7
A3 = 8 + 9
Mch cho (H 4.4)

___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 6

(H 4.4)
to m BCD u tin cho s ln, ta vit li bng s tht v dng phng php i s
n gin cc hm xc nh cc ng ra A3 , A2 , A1 , A0
Trng thi cc ng vo
9 8 7 6 5 4 3
1 0
0 0 0 0 0 0 0
0 1
0 0 0 0 0 0 0
1 x
0 0 0 0 0 0 0
x x
0 0 0 0 0 0 1
x x
0 0 0 0 0 1 x
x x
0 0 0 0 1 x x
x x
0 0 0 1 x x x
x x
0 0 1 x x x x
x x
0 1 x x x x x
x x
1 x x x x x x
x x

2
0
0
1
x
x
x
x
x
x
x

M s ra
A3 A2 A1
A0
0
0
0
0
0
0
0
1
0
0
1
0
0
0
1
1
0
1
0
0
0
1
0
1
0
1
1
0
0
1
1
1
1
0
0
0
1
0
0
1

Bng 4.4

A 3 = 9.8 + 9 = 9 + 8

A 2 = 7.8.9 + 6.7.8.9 + 5.6.7.8.9 + 4.5.6.7.8.9 = (7 + 6.7 + 5.6.7 + 4.5.6.7)8.9


A 2 = (7 + 6 + 5 + 4)8.9 = (7 + 6 + 5 + 4)(8 + 9)

A 1 = 7.8.9 + 6.7.8.9 + 3.4.5.6.7.8.9 + 2.3.4.5.6.7.8.9 = (7 + 6.7 + 3.4.5.6.7 + 2.3.4.5.6.7)8.9


A 1 = (7 + 6 + 3.4.5 + 2.3.4.5)8.9 = (7 + 6 + 3.4.5 + 2.4.5)( 8 + 9)

A 0 = 9 + 7.8.9 + 5.6.7.8.9 + 3.4.5.6.7.8.9 + 1.2.3.4.5.6.7.8.9


___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 7
= 9 + ( 7 + 5.6.7 + 3.4.5.6.7 + 1.2.3.4.5.6.7)8.9
A 0 = 9 + (7 + 5.6 + 3.4.6 + 1.2.4.6)8.9 = 9 + (7 + 5.6 + 3.4.6 + 1.2.4.6)( 8 + 9)
Mch cho (H 4.5)

(H 4.5)

4.1.3 Mch chuyn m


Mch chuyn t mt m ny sang mt m khc cng thuc loi m ha.
DMch chuyn m nh phn sang Gray
Th thit k mch chuyn t m nh phn sang m Gray ca s 4 bit.
Trc tin vit bng s tht ca s nh phn v s Gray tng ng. Cc s nh phn l
cc bin v cc s Gray s l hm ca cc bin .

___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 8
A

0 0 0 0

0 0 0 1

0 0 1 1

0 0 1 0

0 1 1 0

0 1 1 1

0 1 0 1

0 1 0 0

1 1 0 0

1 1 0 1

1 1 1 1

1 1 1 0

1 0 1 0

1 0 1 1

1 0 0 1

1 0 0 0

Bng 4.5
Dng bng Karnaugh xc nh X, Y, Z, T theo A, B, C, D
Quan st bng s tht ta thy ngay:
X = A,
Vy ch cn lp 3 bng Karnaugh cho cc bin Y, Z, T (H 4.6 a,b,c) v kt qu cho
(H 4.6 d)
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

(a)

0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

(b)

(c)

(H 4.6 ) (d)

___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 9

4.2 . MCH GII M


4.2.1 Gii m n ng sang 2n ng
4.2.1.1 Gii m 2 ng sang 4 ng:
Thit k mch Gii m 2 ng sang 4 ng c ng vo cho php (cng c dng
ni mch)
n gin, ta xt mch gii m 2 ng sang 4 ng c cc ng vo v ra u tc
ng cao .
Bng s tht, cc hm ng ra v s mch:

Vo
A1

A0

Y0

R a
Y1
Y2

Y3

0
1
1
1
1

x
0
0
1
1

x
0
1
0
1

0
1
0
0
0

0
0
1
0
0

0
0
0
0
1

0
0
0
1
0

Y0 = G.A 1 A 0
Y1 = G.A 1A 0
Y2 = G.A 1 A 0
Y3 = G.A 1A 0

00

(H 4.7)

4.2.1.2 Gii m 3 ng sang 8 ng


Dng 2 mch gii m 2 ng sang 4 ng thc hin mch gii m 3 ng
sang 8 ng (H 4.8)
A2

Vo
A1

A0

Y0

Y1

Y2

0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

1
0
0
0
0
0
0
0

0
1
0
0
0
0
0
0

0
0
1
0
0
0
0
0

Y3

R a
Y4 Y5

Y6

Y7

0
0
0
1
0
0
0
0

0
0
0
0
1
0
0
0

0
0
0
0
0
1
0
0

0
0
0
0
0
0
1
0

0
0
0
0
0
0
0
1

___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 10
Quan st bng s tht ta thy: Trong cc t hp s 3 bit c 2 nhm trong cc bit
thp A1A0 hon ton ging nhau, mt nhm c bit A2 = 0 v nhm kia c A2 = 1. Nh vy ta
c th dng ng vo G cho bit A2 v mc mch nh sau.

(H 4.8)
Khi A2=G=0, IC1 gii m cho 1 trong 4 ng ra thp v khi A2=G=1, IC2 gii m cho 1
trong 4 ng ra cao
Trn th trng hin c cc loi IC gii m nh:
- 74139 l IC cha 2 mch gii m 2 ng sang 4 ng, c ng vo tc ng cao,
cc ng ra tc ng thp, ng vo cho php tc ng thp.
- 74138 l IC gii m 3 ng sang 8 ng c ng vo tc ng cao, cc ng ra tc
ng thp, hai ng vo cho php G2A v G2B tc ng thp, G1 tc ng cao.
- 74154 l IC gii m 4 ng sang 16 ng c ng vo tc ng cao, cc ng ra tc
ng thp, 2 ng vo cho php E1 v E2 tc ng thp
Di y l bng s tht ca IC 74138 v cch ni 2 IC m rng mch gii m ln
4 ng sang 16 ng (H 4.9)
Vo
Ra
Ch php
D
o
liu
G1
G2
C B A Y0 Y1 Y2 Y3
H
H
H
x x x H
H
x
H
H
H
x x x H
x
L
H
H
H
L L L L
L
H
H
H
L
L L H H
L
H
H
L
H
L H L H
L
H
L
H
H
L H H H
L
H
H
H
H
H L L H
L
H
H
H
H
H L H H
L
H
H
H
H
H H L H
L
H
H
H
H
H H H H
L
H
Ghi ch G2 =G2A+G2B , H = 1, L =0, x: bt chp

Y4
H
H
H
H
H
H
L
H
H
H

Y5
H
H
H
H
H
H
H
L
H
H

Y6
H
H
H
H
H
H
H
H
L
H

Y7
H
H
H
H
H
H
H
H
H
L

___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 11

(H 4.9)
Mt ng dng quan trng ca mch gii m l dng gii m a ch cho b nh bn
dn.
Ngoi ra, mch gii m kt hp vi mt cng OR c th to c hm logic.
Th d, thit k mch to hm Y=f(A,B,C)= A BC + A BC + A BC + ABC
Vi hm 3 bin, ta dng mch gii m 3 ng sang 8 ng. 8 ng ra mch gii m
tng ng vi 8 t hp bin ca 3 bin, cc ng ra tng ng vi cc t hp bin c trong
hm s ln mc 1. Vi mt hm vit di dng tng chun, ta ch cn dng mt cng OR
c s ng vo bng vi s t hp bin trong hm ni vo cc ng ra tng ng ca mch gii
m cng cc t hp bin c trong hm li ta s c hm cn to.
Nh vy, mch to hm trn c dng (H 4.10)

(H 4.10)
D nhin, vi nhng hm cha phi dng tng chun, chng ta phi chun ha. V nu
bi ton c yu cu ta phi thc hin vic i cng, bng cch dng nh l De Morgan.

4.2.2 Gii m BCD sang 7 an


4.2.2.1 n 7 an
y l lai n dng hin th cc s t 0 n 9, n gm 7 an a, b, c, d, e, f, g, bn
di mi an l mt led (n nh) hoc mt nhm led mc song song (n ln). Qui c cc
an cho bi (H 4.11).

(H 4.11)
Khi mt t hp cc an chy sng s to c mt con s thp phn t 0 - 9.
___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 12
(H 4.12) cho thy cc on no chy th hin cc s t 0 n 9

5
6
7
8
(H 4.12)
n 7 on cng hin th c mt s ch ci v mt s k hiu c bit.
C hai loi n 7 on:
- Loi catod chung (H 4.13a), dng cho mch gii m c ng ra tc ng cao.
- Loi anod chung (H 4.13b), dng cho mch gii m c ng ra tc ng thp.

(a)

(H 4.13)

(b)

4.2.2.2 Mch gii m BCD sang 7 on :


Mch c 4 ng vo cho s BCD v 7 ng ra thch ng vi cc ng vo a, b, c, d, e, f, g
ca led 7 an, sao cho cc an chy sng to c s thp phn ng vi m BCD ng
vo.
Bng s tht ca mch gii m 7 on, c ng ra tc ng thp:
S
TP
0
1
2
3
4
5
6
7
8
9

D
0
0
0
0
0
0
0
0
1
1

Ng vo
C
B
0
0
0
0
1
0
1
0
0
1
0
1
1
1
1
1
0
0
0
0

A
0
1
0
1
0
1
0
1
0
1

a
b
0
0
0
1
0
0
0
0
0
1
1
0
1
0
0
0
0
0
0
0
Bng 4.6

c
0
0
1
0
0
0
0
0
0
0

Ng ra
d
e
0
0
1
1
0
0
1
0
1
1
1
0
0
0
1
1
0
0
1
0

f
0
1
1
1
0
0
0
1
0
0

g
1
1
0
0
0
0
0
1
0
0

Dng Bng Karnaugh hoc c th n gin trc tip vi cc hm cha t t hp, ta c


kt qu:

___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 13
a = D B(CA + CA)
b = CBA + CBA
c = D CBA
d = D CBA + CBA + CBA
e = A + CB
f = CB + BA + D CA
g = D CB + CBA
T cc kt qu ta c th v mch gii m 7 on dng cc cng logic.
Hai IC thng dng dng gii m BCD sang 7 an l:
- CD 4511 (loi CMOS, ng ra tc ng cao v c m)
- 7447 (loi TTL, ng ra tc ng thp, cc thu h)
Chng ta kho st mt IC gii m BCD sang 7 on : IC 7447
Bng s tht ca 7447:
Vo
S /
Hm

LT

RB
I
1
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
0
x

Ra
C

BI
(1)

RBO

0
1
0
0
0
0
1
0
0
0
0
0
0
1
1
1
0
0
0
1
1
1
0
0
1
1
1
1
2
1
0
0
1
0
1
0
0
1
0
0
1
0
3
1
0
0
1
1
1
0
0
0
0
1
1
0
4
1
0
1
0
0
1
1
0
0
1
1
0
0
5
1
0
1
0
1
1
0
1
0
0
1
0
0
6
1
0
1
1
0
1
1
1
0
0
0
0
0
7
1
0
1
1
1
1
0
0
0
1
1
1
1
8
1
1
0
0
0
1
0
0
0
0
0
0
0
9
1
1
0
0
1
1
0
0
0
1
1
0
0
10
1
1
0
1
0
1
1
1
1
0
0
1
0
11
1
1
0
1
1
1
1
1
0
0
1
1
0
12
1
1
1
0
0
1
1
0
1
1
1
0
0
13
1
1
1
0
1
1
0
1
1
0
1
0
0
14
1
1
1
1
0
1
1
1
1
0
0
0
0
15
1
1
1
1
1
1
1
1
1
1
1
1
1
(2)
x
x
x
x
x
0
1
1
1
1
1
1
1
(3)
1
0
0
0
0
0
1
1
1
1
1
1
1
(4)
0
x
x
x
x
1
0
0
0
0
0
0
0
Ghi ch:
1. BI/RBO c ni theo kiu im AND bn trong IC v c dng nh ng vo xa
(Blanking Input, BI) v/hoc ng ra xa dn sng (Ripple Blanking Output, RBO). Ng vo
BI phi c h hay gi mc cao khi cn thc hin gii m cho s ra. Ng vo xa dn
sng (Ripple Blanking Input, RBI) phi h hay mc cao khi mun c s 0.
___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 14
2. Khi a ng vo BI xung thp, ng ra ln 1 (khng tc ng) bt chp cc ng vo
cn li. Ta ni IC lm vic di iu kin b p buc v y l trng hp duy nht BI gi
vai tr ng vo.
3. Khi ng vo RBI mc 0 v A=B=C=D=0, tt c cc ng ra k c RBO u xung
0. Ta ni IC lm vic di iu kin p ng.
4. Khi BI/RBO h hay c gi mc 1 v ng vo th n (Lamp test, LT)
xung 0, tt c cc led u chy (ng ra xung 0).
Da vo bng s tht v cc ghi ch 7447 l IC gii m BCD sang 7 an c y
cc chc nng khc nh : th n, xa s 0 khi n khng c ngha. Ta c th hiu r hn
chc nng ny vi th d mch hin th mt kt qu c 3 ch s sau y: (H 4.14)

(H 4.14)
Vn hnh ca mch c th gii thch nh sau:
- IC hng n v c ng vo RBI a ln mc cao nn n s 0 hng n v lun lun
c hin th (dng 0 trong bng s tht), iu ny l cn thit xc nhn rng mch vn
chy v kt qu gii m l s 0.
- IC hng chc c ng vo RBI ni vi ng ra RBO ca IC hng trm nn s 0 hng
chc ch c hin th khi s hng trm khc 0 (RBO=1) (dng 0 n 15).
- IC hng trm c ng vo RBI a xung mc thp nn s 0 hng trm lun lun tt
(dng ghi ch 3).

4.2.2.3 Hin th 7 on bng tinh th lng (liquid crystal displays, LCD)


LCD gm 7 on nh led thng v c chung mt cc nn (backplane). Khi c tn
hiu xoay chiu bin khong 3 - 15 VRMS v tn s khong 25 - 60 Hz p gia mt on v
cc nn, th on c tc ng v sng ln.
Trn thc t ngi ta to hai tn hiu nghch pha gia nn v mt on tc ng cho
on chy.
hiu c cch vn chuyn ta c th dng IC 4511 kt hp vi cc cng EX-OR
thc LCD (H 4.15). Cc ng ra ca IC 4511 (Gii m BCD sang 7 on, tc ng cao) ni vo
cc ng vo ca cc cng EX-OR, ng vo cn li ni vi tn hiu hnh vung tn s khong
40 Hz (tn s thp c th gy ra nhp nhy), tn hiu ny ng thi c a vo nn. Khi
mt ng ra mch gii m ln cao, ng ra cng EX-OR cho mt tn hiu o pha vi tn hiu
nn, on tng ng xem nh nhn c tn hiu c bin gp i v s sng ln. Vi cc
ng ra mch gii m mc thp,
ng ra cng EX-OR cho mt tn hiu cng pha vi tn hiu nn nn on tng ng khng
sng.
___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 15
Ngi ta thng dng IC CMOS thc LCD v hai l do:
- CMOS tiu th nng lng rt thp ph hp vi vic dng pin cho cc thit b dng
LCD.
- Mc thp ca CMOS t tr 0 v tn hiu thc LCD s khng cha thnh phn mt
chiu, tui th LCD c ko di. (Mc thp ca TTL khong 0,4 V, thnh phn DC ny lm
gim tui th ca LCD).

(H 4.15)
4.3 MCH A HP V MCH GII A HP
4.3.1.Khi nim
Trong truyn d liu, tit kim ng truyn, ngi ta dng mt ng dy
truyn nhiu knh d liu, nh vy phi thc hin vic chn ngun d liu no trong cc
ngun khc nhau truyn.
Mch a hp hay cn gi l mch chn d liu s lm cng vic ny.
ni thu, d liu nhn c phi c chuyn ti cc ch khc nhau, ta cn mch
phn b d liu hay gii a hp (H 4.16).

(H 4.16)

4.3.2 Mch a hp
Cn c gi l mch chn d liu, gm 2n ng vo d liu, n ng vo a ch (hay
iu khin) v mt ng ra. Khi c mt a ch c tc ng d liu ng vo tng ng vi
a ch s c chn.
- Thit k mch a hp 41
Mch c 4 ng vo d liu D0 . . . . D3, 2 ng vo iu khin AB v ng ra Y
___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 16
Bng s tht:
A B
0 0
0 1
1 0
1 1
T bng s tht ta c hm Y nh sau:
Y = A .BD 0 + ABD 1 + A BD 2 + ABD 3
V mch c dng (H 4.17)

Y
D0
D1
D2
D3

(H 4.17)
Nu chu kh quan st ta s thy mch a hp 41 c th c thit k t mch gii
m 2 ng sang 4 ng trong ng vo cho php G c tch ring ra lm ng vo
d liu (D0 . . . . D3) v ng vo d liu ca mch gii m tr thnh ng vo iu khin ca
mch a hp (A, B)
(H 4.18) l k hiu mt mch a hp vi 8 ng vo d liu, 3 ng vo iu khin v 1
ng ra, ta gi l a hp 8 1.
Bng s tht:
A B C
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1

Y
D0
D1
D2
D3
D4
D5
D6
D7

(H 4.18)
Mt a hp 8 1 c ng ra Y quan h vi cc ng vo d liu v iu khin theo hm
:
Y = A .B.CD 0 + A .B.CD 1 + ABCD 2 + ABCD 3 + A B.CD 4 + A BCD 5 + ABCD 6 + ABCD 7

4.3.3 ng dung mch a hp


Ngoi chc nng chn d liu mch a hp cn c dng :
___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 17
4.3.3.1 Bin chui d liu song song thnh ni tip:
Mt mch a hp kt hp vi mt mch m s bin chui d liu song song ng
vo thnh chui d liu ni tip ng ra (H 4.19)

(H 4.19)

4.3.3.2 To chui xung tun hon :


Nu cho d liu vo tun hon, d liu ra ni tip cng tun hon, nh vy ch cn t
trc cc ng vo thay i theo mt chu k no ta s c chui xung tun hon ng ra.

4.3.3.3 To hm:
7 Mt a hp 2n 1 c th to hm n bin bng cch cho cc bin vo ng vo iu
khin v cho tr ring ca hm vo cc ng vo d liu.
Th d: to hm 3 bin bng a hp 81 ta vit li biu thc ca a hp
Y = A .B.CD 0 + A .B.CD 1 + ABCD 2 + ABCD 3 + A B.CD 4 + A BCD 5 + ABCD 6 + ABCD 7

So snh vi biu thc ca hm vit di dng trin khai theo nh l Shanon th nht
f(A, B, C) = A.B.Cf(0,0,0) + A.B.Cf(0,0,1) + ABCf(0,1,0) + ABCf(0,1,1) + A B.Cf(1,0,0)

+ A BCf(1,0,1) + ABCf(1,1,0) + ABCf(1,1,1)


Ta c kt qu:
D0 = f(0,0,0) ; D1 = f(0,0,1) , . . . . . . . . . . . D6 = f(1,1,0) v D7 = f(1,1,1)
Th d: To hm:
Y = f(A, B,C) = A .B.C + A BC + A BC + A BC + ABC
Ta thy D0=D2=D3=D5=D7=1 nn cc ng vo ny c ni ln ngun, cc ng vo
cn li D1=D4=D6=0 nn c a xung mass (H 4.20).

(H 4.20)
___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 18
7 Mt a hp 2n 1 kt hp vi mt cng NOT c th to hm (n+1) bin. Th d :
To hm F1 = A B + ABC + BC + AC dng a hp 4 1 v cng NOT
Gii
a hp 4 sang 1 thc hin hm:

Chun ha hm F1 :
Y = F1 ta phi c:

Y = A BD 0 + A BD 1 + A BD 2 + ABD 3

F1 = A BC + ABC + A BC + A BC + ABC
D 0 = C;D 1 = C; D 2 = 1;D 3 = C

(H 4.21)
Trn thc t, ta c cc loi mch a hp t 2 1 (IC 74157), 4 1 (IC 74153), 8
1 (IC 74151) v 16 1 (74150) . . . .
Ngoi ra, chn d liu l cc ngun tn hiu tng t, ta cng c cc a hp tng
t vi tn gi kha tng t (analog switch), c ch to theo cng ngh MOS nh IC 4051
(8 knh) IC 4053 (2 knh). . . . Cng c loi kha s dng c cho c tn hiu tng t v
s (bilateral switches) nh IC 4016, IC 4066,. . m sinh vin c th tm hiu, s dng d dng
khi c bng tra k thut.

4.3.4 Mch gii a hp


Mch gii a hp thc cht l mch gii m trong ng vo cho php tr thnh ng
vo d liu v ng vo ca t hp s nh phn tr thnh ng vo a ch.
Trn th trng, ngi ta ch to mch gii m v gii a hp chung trong mt IC, ty
theo iu kin m s dng. Th d IC 74138 l IC Gii m 3 sang 8 ng ng thi l mch
gii a hp 1 8.
Khi s dng IC 74138 lm mch gii a hp, ngi ta dng mt ng vo cho php lm
ng vo d liu v cc ng vo s nh phn lm ng vo a ch. (H 4.22a) l IC 74138 dng
gii a hp vi ng vo d liu l G 2A . (H 4.22b) l dng d liu vo G 2A v ra Y0 (v
CBA=000), cc ng ra khc ( Y1 Y7 ) mc cao.

(a)

(H 4.22)

(b)

___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 19

4.4 MCH SO SNH


4.4.1 Mch so snh 2 s 1 bit
Trc tin ta thit k mch so snh hai s 1 bit.
Bng s tht ca mch so snh mt bit c ng vo cho php (ni mch) G :
G
0
1
1
1
1

a
x
0
0
1
1

b
x
0
1
0
1

S (a>b)
0
0
0
1
0
Bng 4.7

I (a<b)
0
0
1
0
0

E (a=b)
0
1
0
0
1

(H 4.23)
T mch so snh 1 bit ta c th m rng so snh nhiu bit.

4.4.2 Mch so snh 2 s nhiu bit


so snh 2 s nhiu bit, trc tin ngi ta so snh 2 bit cao nht (MSB), kt qu
ln hoc nh hn do 2 bit ny quyt nh, nu 2 bit MSB bng nhau ngi ta so snh 2 bit c
trng s thp hn tip theo v kt qu c quyt nh theo cch tng t nh 2 bit MSB. .
. . . S so snh c lp li cho n bit LSB c kt cui cng.
Di y l s mch so snh 3 bit (H 4.24).

(H 4.24)
___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 20
- IC 1 so snh 2 bit cao (a3 & b3) nn ng vo cho php c a ln mc cao, nu kt
qu bng nhau, ng ra E ca n ln cao, cho php IC 2 so snh, nu kt qu li bng nhau, ng
ra E ca IC 2 ln cao cho php IC 3 so snh, kt qu bng nhau cui cng ch bi ng ra E ca
IC 3.
- Cc ng vo cng OR nhn tn hiu t cc ng ra S (hoc I) s cho kt qu ln hn
(hoc nh hn) ty vo kt qu so snh bt c bit no. Tht vy khi c mt kt qu ln hn
(hoc nh hn) th S (hoc I) mt IC ln cao, cc ng ra E v I (hoc S) ca cc IC khc
bng 0, y l iu kin m cng OR cho kt qu so snh xut hin mt trong cc cng
OR ny.
Trn th trng c sn loi IC so snh 4 bit 7485 c ng ni mch m rng vic so
snh cho s nhiu bit hn.
Bng s tht ca IC 7485
Trng
thi
1
2
3
4
5
6
7
8
9
10
11

Ng
vo
so
snh
Vo
ni
mch
A3,B3 A2,B2 A1,B1 A0,B0 A>B A<B A=B A>B
1
x
A3>B3
x
x
x
x
x
0
x
x
x
x
x
x
A3<
1
x
x
A2>B2
x
x
x
B3
0
x
x
x
x
x
A3=
A2<
1
x
x
A1>B1
x
x
B3
B2
0
x
x
x
x
A3=
A2=
A1<
1
x
x
A0>B0
x
B3
B2
B1
0
x
x
x
A3=
A2=
A1=
A0<
0
1
0
0
B3
B2
B1
B0
1
0
0
1
A3=
A2=
A1=
A0=
0
0
1
0
B3
B2
B1
B0
A3=
A2=
A1=
A0=
B3
B2
B1
B0
A3=
A2=
A1=
A0=
B3
B2
B1
B0
A3=
A2=
A1=
B3
B2
B1
A3=
A2=
B3
B2
A3=
B3
Bng 4.8

ra
A<B A=B
0
0
0
1
0
0
0
1
0
0
0
1
0
0
0
1
1
0
0
0
0
1

Da vo bng s tht, ta thy:


- Khi dng IC 7485 so snh 2 s 4 bit ta phi gi ng vo ni mch A=B mc
cao, hai ng vo ni mch cn li mc thp, nh vy IC mi th hin c kt qu ca trng
thi 9.
- Khi so snh 2 s nhiu bit hn ta phi dng nhiu IC 7485 v ni ng ra ca IC so
snh bit thp vo ng vo ni mch tng ng ca cc IC so snh cc bit cao hn v IC so
snh cc bit thp nht c ng vo ni mch c mc nh khi dng ring l. c c kt
qu so snh ta phi quan tm ti cc trng thi 9, 10 v 11 trong bng s tht.
(H 4.25) cho ta cch mc 2 IC 7485 so snh 2 s nh phn 8 bit:

___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 21

(H 4.25)
Th d :
a. So snh hai s A7 . . . .A0 = 10101111 v B7 . . . . B0 = 10110001
IC 2 so snh cc bit cao A7 . . .A4 = 1010 v B7 . . .B4 =1011 c A7= B7 , A6= B6 , A5=
B5 v A4<B4 cho ng ra A<B = 1 bt chp trng thi ca cc ng vo ni mch (trng thi 8).
iu ny c ngha l khi IC so snh bit cao thy c kt qu khc nhau gia 2 s bit cao th
khng quan tm ti kt qu ca bit thp.
b. So snh hai s A7 . . . .A0 = 10101111 v B7 . . . . B0 = 10101001
Trong trng hp ny kt qu hai s bit cao bng nhau nn IC 2 nhn vo ng vo ni
mch xem kt qu so snh ca IC1 (so snh bit thp), A3A2A1A0 =1111>B3B2B1B0 = 1001
nn ng ra A>B = 1 ch kt qu so snh ca 2 s 8 bit (trng thi 10).

4.5 MCH KIM / PHT CHN L


Do yu cu kim sai trong truyn d liu, ngi ta c phng php kim tra chn l.
Trong phng php ny, ngoi cc bit d liu, ngi ta thm vo 1 bit kim tra sao cho tng
s bit 1 k c bit kim tra l s chn (KT chn) hoc l (KT l)
1

Bit chn l thm vo (KT l)

Bit chn l thm vo (KT chn)

ni thu, mch kim tra chn l s kim tra li s s 1 c trn tt c cc bit bit
dng d liu nhn c ng hay sai.
Vi phng php ny my thu s c kt lun ng khi s bit li l s l. Nh vy
phng php ch cho kt qu ng vi xc sut 50%, tuy nhin v xc sut mt li xy ra l
rt nh nn phng php vn c s dng ph bin trong mt s h truyn thng.

4.5.1 Mch pht chn l (Parity Generator, PG)


Ta s xt trng hp mch c 4 bit d liu.
Mch c 4 ng vo d liu A, B, C, D v 1 ng vo chn chn l S
___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 22
- Giai on 1: Thit k mch ghi nhn s bit 1 l chn hay l
Gi s ta mun c mch bo kt qu Y=1 khi s bit 1 l l v Y=0 khi ngc li.
Li dng tnh cht ca cng EX-OR c ng ra =1 khi s s 1 ng vo l l. Vi 4
ng vo, ta dng 3 cng EX-OR thc hin mch ghi nhn ny:

Y = (A B) (C D)

(H 4.26)
- Giai on 2: Thit k phn mch to bit chn l P theo s iu khin ca ng vo S
Gi s ta mun c
Tng s bit 1 ca A, B, C, D, P l l khi S = 1 v chn khi S = 0
S

S bt 1 ca ABCD

0
0
1
1

L
Chn
L
Chn

1
0
1
0

1
0
0
1

Bng 4.9
Bng 4.9 cho kt qu:
Vy mch c dng

P = S Y

(H 4.27)

4.5.2 Mch kim chn l (Parity checker, PC)


Nu ta xem mch pht (H 4.27) nh l mch c 5 ng vo th ng ra P quan h vi
s lng bit 1 cc ng vo c th c suy ra t bng 4.9

___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 23
S bt 1 ca ABCDS

L
1
Chn
0
Bng 4.9
Nh vy, ta c th dng mch pht trn lm mch kim tra chn l.
Tm li, mt h thng gm mch pht v kim chn l c mc nh (H 4.28)
Khi ng vo S ca mch pht a xung mc 0, nu bn tin nhn ng th ng ra P mch
kim cng xung 0.

(H 4.28)
Trn th trng c cc IC kim/pht chn l nh 74180 (9 bit) 74280 (9 bit), loi
CMOS c 40101 (9 bit), 4531 (13 bit).

BI TP
1. Thit k mch m ha 32 ng sang 5 ng dng IC 74148 v cng logic.
2. Thit k mch gii m 4 ng sang 16 ng t mch gii m 2 ng sang 4 ng c
ng vo cho php.
3. Thit k mch so snh 4 bit t mch so snh 1 bit
4. Thit k mch chuyn t m Gray sang m nh phn
5. Thit k mch chuyn t m BCD sang m Excess-3 ca cc s t 0 n 9.
(M Excess-3 ca 1 s c c t tr nh phn tng ng cng thm 3, th d m s 0 l 0011,
m s 9 l 1100)
6. Dng mt mch gii m 3 sang 8 ng, 2 cng NAND 3 ng vo v 1 cng AND 2 ng
vo thc hin cc hm sau:
F1 = (1,2,3) ; F2 = (4,5,7) ; F3 = (1,2,3,4,5,7)
___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

________________________________________________________Chng 4

Mch t hp IV - 24
7. Ci t cc hm sau dng b dn knh (multiplexer) 4 1 (Dng thm cng logic nu
cn)
F1 = A B + ABC + BC + AC
F2 = A (BC)
F3 = (1,3,6)

8. Thit k mch MUX 4 1 t cc MUX 2 1


9. Dng 2 MUX 2 1 thc hin 1 MUX 3 1 nh sau:
AB = 00 chn C
AB = 01 chn D
AB =1X chn E (Trng hp ny B khng xac nh).
10. Thc hin hm Z= AB +BC + CA
- Gii m 3 sang 8 ng (dng thm cng logic nu cn).
- a hp 4 1 (dng thm cng logic nu cn).
- Hai mch cng bn phn v mt cng OR.

___________________________________________________________________________
____________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________Chng 5

Mch tun t V - 1

CHNG 5 MCH TUN T


CHT RS
FLIPFLOP

Cht RS tc ng mc cao
Cht RS tc ng mc thp
FF RS
FF JK
FF T
FF D

MCH GHI DCH


MCH M
ng b
Khng ng b
m vng
Trong chng trc, chng ta kho st cc loi mch t hp, l cc mch m
ng ra ca n ch ph thuc vo cc bin ng vo m khng ph thuc vo trng thi trc
ca mch. Ni cch khc, y l loi mch khng c kh nng nh, mt chc nng quan
trng trong cc h thng logic.
Chng ny s bn v loi mch th hai: mch tun t.
- Mch tun t l mch c trng thi ng ra khng nhng ph thuc vo t hp cc ng
vo m cn ph thuc trng thi ng ra trc . Ta ni mch tun t c tnh nh. Ng ra Q+
ca mch tun t l hm logic ca cc bin ng vo A, B, C . . . . v ng ra Q trc .
Q+ = f(Q,A,B,C . . .)
- Mch tun t vn hnh di tc ng ca xung ng h v c chia lm 2 loi:
ng b v Khng ng b. mch ng b, cc phn t ca mch chu tc ng ng
thi ca xung ng h (CK) v mch khng ng b th khng c iu kin ny.
Phn t c bn cu thnh mch tun t l cc flipflop

5.1 FLIP FLOP


Mch flipflop (FF) l mch dao ng a hi lng n tc mch to ra sng vung v
c hai trng thi n nh. Trng thi ca FF ch thay i khi c xung ng h tc ng.
Mt FF thng c:
- Mt hoc hai ng vo d liu, mt ng vo xung CK v c th c cc ng vo vi cc
chc nng khc.
- Hai ng ra, thng c k hiu l Q (ng ra chnh) v Q (ng ra ph). Ngi ta
thng dng trng thi ca ng ra chnh ch trng thi ca FF. Nu hai ng ra c trng thi
ging nhau ta ni FF trng thi cm.
Flipflop c th c to nn t mch cht (latch)
im khc bit gia mt mch cht v mt FF l: FF chu tc ng ca xung ng h
cn mch cht th khng.
Ngi ta gi tn cc FF khc nhau bng cch da vo tn cc ng vo d liu ca
chng.

Nguyn Trung Lp

K THUT S

______________________________________________________Chng 5

Mch tun t V - 2

5.1.1 Cht RS
5.1.1.1. Cht RS tc ng mc cao:
(H 5.1) l cht RS c cc ng vo R v S tc ng mc cao.

(H 5.1)
Cc trng thi logic ca mch cho bng 5.1:
(i vi mch cht v khng c tc ng ca xung ng h nn ta c th hiu trng
thi trc l trng thi gi s, cn trng thi sau l trng thi khi mch n nh).
R
0
0
0
0
1
1
1

S
0
0
1
1
0
0
1

Q
0
1
0
1
0
1
0

Q+
0 Tc dng nh
1 Q+= Q
1 t (Set)
1 Q+=1
0 t li (Reset)
0 Q+=0
Q+= Q +=0 (Cm)

R
0
0
1
1

S
0
1
0
1

Q+
Q
1
0
Cm

Bng 5.1
Bng 5.2
T Bng 5.1 thu gn li thnh Bng 5.2 v tnh cht ca cht RS tc ng mc cao
c tm tt nh sau:
- Khi R=S=0 (c 2 ng vo u khng tc ng), ng ra khng i trng thi.
- Khi R=0 v S=1 (ng vo S tc ng), cht c Set (tc t Q+=1).
- Khi R=1 v S=0 (ng vo R tc ng), cht c Reset (tc t li Q+=0).
- Khi R=S=1 (c 2 ng vo u tc ng), cht ri vo trng thi cm

5.1.1.2. Cht RS tc ng mc thp:


(H 5.2) l cht RS c cc ng vo R v S tc ng mc thp. Cc trng thi logic cho
bi Bng 5.3
S
0
0
1
1
(H 5.2)

Nguyn Trung Lp

R
0
1
0
1

Q+
Cm
1
0
Q
Bng 5.3
K THUT S

______________________________________________________Chng 5

Mch tun t V - 3
c cht RS tc ng mc cao dng cng NAND, ngi ta thm vo 2 cng o
cc ng vo ca mch (H 5.2)

(H 5.3)
(H 5.4a) l k hiu cht RS tc ng cao v (H 5.4b) l cht RS tc ng thp.

(a)

(b)
(H 5.4)

5.1.2 Flip Flop RS


Trong cc phn di y, ta lun s dng cht RS tc ng mc cao dng cng
NAND. Khi thm ng vo xung CK cho cht RS ta c FF RS . (H 5.5a) l FF RS c cc
ng vo R, S v xung ng h CK u tc ng mc cao.

(a)

(H 5.5)

(b)

Hot ng ca FF (H 5.5a) cho bi Bng s tht: (Bng 5.4)


CK
0
1
1
1
1

Vo
S
x
0
0
1
1

R
x
0
1
0
1

Ra
Q+
Q
Q
0
1
Cm

Bng 5.4
c FF RS c xung ng h tc ng thp ch cn thm mt cng o cho ng vo
CK (H 5.5b). Ta c bng s tht ging Bng 5.4, tr ng vo CK phi o li

Nguyn Trung Lp

K THUT S

______________________________________________________Chng 5

Mch tun t V - 4
5.1.2.1. Flipflop RS c ng vo Preset v Clear:
Tnh cht ca FF l c trng thi ng ra bt k khi m my. Trong nhiu trng hp,
c th cn t trc ng ra Q=1 hoc Q=0, mun th, ngi ta thm vo FF cc ng vo
Preset (t trc Q=1) v Clear (Xa Q=0), mch c dng (H 5.6a) v (H 5.6b) l k hiu ca
FF RS c ng vo Preset v Clear tc ng mc thp.

(a)

(H 5.6)

(b)

Thay 2 cng NAND cui bng hai cng NAND 3 ng vo, ta c FF RS c ng vo


Preset (Pr) v Clear (Cl).
- Khi ng Pr xung thp (tc ng) v ng Cl ln cao ng ra Q ln cao bt chp cc
ng vo cn li.
- Khi ng Cl xung thp (tc ng) v ng Pr ln cao ng ra Q xung thp bt chp
cc ng vo cn li.
- Ngoi ra 2 ng vo Pr v Cl cn c a v 2 ng vo mt cng AND, ni a tn
hiu CK vo, mc ch ca vic lm ny l khi mt trong 2 ng vo Pr hoc Cl tc ng th
mc thp ca tn hiu ny s kha cng AND ny, v hiu ha tc dng ca xung CK.
Bng s tht ca FF RS c Preset v Clear (tc ng thp) cho bng 5.5
Pr
0
0
1
1
1
1
1
1

Cl
0
1
0
1
1
1
1
1

CK
x
x
x
0
1
1
1
1

S
R
Q+
Cm
x
x
1
x
x
0
x
x
Q
x
x
Q
0
0
0
1
0
1
0
1
Cm
1
1
Bng 5.5
Lu : Trn bng 5.5, dng th nht tng ng vi trng thi cm v hai ng vo Pr v Cl
ng thi mc tc ng, 2 cng NAND cui cng u ng, nn Q+=Q=1.

5.1.2.2. Flipflop RS ch t:
Kt ni thnh chui hai FF RS vi hai ng vo xung CK ca hai FF c mc tc ng
tri ngc nhau, ta c FF ch t (H 5.7).

Nguyn Trung Lp

K THUT S

______________________________________________________Chng 5

Mch tun t V - 5

(H 5.7)
Hot ng ca FF c gii thch nh sau:
- Do CKS ca tng t l o ca CKM = CK ca tng ch nn khi CK=1, tng ch giao
hon th tng t ngng. Trong khong thi gian ny, d liu t ng vo R v S c a ra
v n nh ng ra R v S ca tng ch, ti thi im xung CK xung thp, R v S c
truyn n ng ra Q v Q (H 5.8)

(H 5.8)
- i vi trng hp R = S =1 khi CK=1 th R= S =1, nhng khi CK xung thp th
mt trong hai ng ra ny xung thp, do mch thot khi trng thi cm, nhng S hay R
xung thp trc th khng on trc c nn mch ri vo trng thi bt nh, ngha l Q+
c th =1 c th =0, nhng khc vi Q +. Ta c bng s tht:
S R CK
Q+
Q
0 0
0
0 1

1
1 0
1 1 Bt nh

Bng 5.6
Tm li, FF RS ch t thot khi trng thi cm nhng vn ri vo trng thi bt
nh, ng thi ta c FF c ng vo xung ng h tc ng bi cnh xung ca tn hiu CK.
c FF RS c ng vo xung ng h tc ng bi cnh ln ca tn hiu CK ta c th
di cng NOT n ng vo FF ch v cho tn hiu CK vo thng FF t.
Mc d thot khi trng thi cm nhng FF RS ch t vn cn trng thi bt nh nn
ngi ta t s dng FF RS trong trng hp R=S.

5.1.3 Flipflop JK
FF JK c to ra t FF RS theo s nh (H 5.9a).

Nguyn Trung Lp

K THUT S

______________________________________________________Chng 5

Mch tun t V - 6
(a)
(H 5.9)

(b)

(H 5.9b) l k hiu FF JK c ng vo Pr v Cl tc ng thp.


Bng s tht 5.7 ( n gin, ta b qua cc ng vo Pr v Cl)
J

S=J Q
0
0
0
0

R=KQ

CK

Q+

CK

Q+

0
1
0
1

Q
1
0
1
0

0
0
0
0

0
0
1
1

0
0
0
1

Q
Q
Q=0
0

0
0
1
1

0
1
0
1

Q
0
1
Q

1
1
1
1

0
0
1
1

0
1
0
1

1
0
1
0

1
0
1
0

0
0
0
1

1
Q=1
1
0

Bng 5.8

Bng 5.7
Bng 5.8 l bng rt gn, suy ra t bng 5.7
Kt qu t bng 5.8 cho thy:
FF JK thot khi trng thi cm v thay vo l trng thi o (khi J=K=1 th
Q+= Q ). Ngi ta li dng trng thi o ny thit k mch m

5.1.4 FlipFlop D
Thit k t FF RS (hoc JK) bng cch ni mt cng o t S qua R (hoc t J qua
K). D liu c a vo ng S (J) m by gi gi l ng vo D (H 5.10a&b) v bng 5.9 cho
thy cc trng thi ca FF, c th l mi khi c xung CK tc ng d liu t ng vo s xut
hin ng ra.

(a)
D
0
1

(b)
(H 5.10)
CK

Q+

Bng 5.9

(c)

CK

Q+

0
1

Q
Q

Bng 5.10

5.1.5 FlipFlop T
Ni chung hai ng vo J v K ca FF JK ta c FF T (H 5.10c). Tnh cht ca FF T
th hin trong bng s tht 5.10:
- Khi T=0, FF khng i trng thi d c tc ng ca CK.
- Khi T=1, FF i trng thi mi ln c xung CK tc ng.

Nguyn Trung Lp

K THUT S

______________________________________________________Chng 5

Mch tun t V - 7
5.1.6 Mch cht D
Mch cht D hot ng ging FF D, ch khc im ng vo xung ng h CK c
thay bng ng vo cho php G, v tc ng bng mc ch khng bng cnh (H
5.11) v Bng 5.11.

(H 5.11)

Bng 5.11

5.2 MCH GHI DCH


5.2.1 S nguyn tc v vn chuyn (H 5.12)

(H 5.12)
(H 5.12) l s mt mch ghi dch 4 bit n gin, mch gm 4 FF D ni thnh chui
(ng ra Q ca FF trc ni vo ng vo D ca FF sau) v cc ng vo CK c ni chung li
(cc FF chu tc ng ng thi). Mch ghi dch ny c kh nng dch phi.
Ng vo DA ca FF u tin c gi l ng vo d liu ni tip, cc ng ra QA, QB,
QC, QD l cc ng ra song song, ng ra ca FF cui cng (FF D) l ng ra ni tip .
Trc khi cho mch hot ng, tc dng mt xung xa vo cc ng vo Cl (a cc
chn Cl c ni chung xung thp ri ln cao) cc ng ra QA = QB = QC = QD = 0.
Cho d liu vo DA, sau mi xung ng h, d liu t tng trc ln lt truyn qua
tng sau. (Gi s DA l chui d liu gm 3 bit cao, 2 bit thp ri 1 cao v 1 thp), trng thi
cc ng ra ca cc FF cho Bng 5.12
Cl
0
1
1
1
1
1
1
1

Vo
CK
x

DA
x
1
1
1
0
0
1
0

QA
0
1
1
1
0
0
1
0

Ra
QB
0
0
1
1
1
0
0
1

QC
0
0
0
1
1
1
0
0

QD
0
0
0
0
1
1
1
0

Bng 5.12
Cc mch ghi dch c phn loi ty vo s bit (s FF), chiu dch (phi/tri), cc ng
vo/ra (ni tip/song song).

Nguyn Trung Lp

K THUT S

______________________________________________________Chng 5

Mch tun t V - 8
c mch dch tri, d liu ni tip a vo ng vo D ca FF cui cng v cc ng ra
ca FF sau ni ngc tr li ng vo ca FF trc (H 5.13)

(H 5.13)
Cho d liu ni tip vo ng vo D ca FF 4, sau mi xung ng h, d liu truyn t
tng sau ra tng trc. Gi s chui d liu ging nh trn, trng thi cc ng ra ca cc FF
cho bng 5.13
Cl
0
1
1
1
1
1
1
1

Vo
CK
x

Ra
D4
x
1
1
1
0
0
1
0

Q1
0
0
0
0
1
1
1
0

Q2
0
0
0
1
1
1
0
0

Q3
0
0
1
1
1
0
0
1

Q4
0
1
1
1
0
0
1
0

Bng 5.13

5.2.2 Vi IC ghi dch tiu biu


Trn th trng hin c kh nhiu loi IC ghi dch, c y cc chc nng dch phi
tri, vo/ra ni tip, song song. Sau y, chng ta kho st 2 IC tiu biu:
- IC 74164: dch phi 8 bit;
- IC 7495: 4 bit , dch phi, tri, vo/ra ni tip/song song .

5.2.2.1. IC 74164:

(H 5.14)
MR : Master Reset, y cng l chn Clear ca c mch, tc ng thp
CP: Clock pulse, ng vo xung ng h tc ng cnh ln.

Nguyn Trung Lp

K THUT S

______________________________________________________Chng 5

Mch tun t V - 9
5.2.2.2. IC 7495:

ngha cc chn:

(H 5.15)
S: Mode control input Ds: Serial Data input
P0 - P3 : Parrallel data inputs
CP1 : Serial Clock
CP2 : Parrallel clock
Q0 - Q3 : Parrallel outputs

Di y l cc bc thao tc thc hin cc chc nng ca IC


Np d liu song song
- Chun b d liu cc ng vo P0 - P3
- Cho S = 1, d liu c a vo cc ng vo ca cc FF, CP1 b kha, CP2 l ng
vo CK, d liu xut hin ng ra Q0 - Q3 khi c cnh xung ca CK
Dch phi
- Sau khi np d liu song song - Chun b d liu ni tip.
- Cho S = 0
- a d liu ni tip vo ng vo Ds, CP2 b kha, CP1 l ng vo CK, khi CK tc
ng, d liu s dch phi tng bit mt trn cc ng ra Q0 - Q3
Dch tri
- Ni ng ra FF sau vo ng vo song song ca FF trc - P3 l ng vo ni tip
- S = 1 cch ly ng ra FF trc vi ng vo FF sau
- CP2 l ng vo xung CK, d liu s dch tri ng vi cnh xung ca CK.
Lu : Mc d c 2 ng vo cho xung CK nhng khi s dng chng thng c ni chung
li, l do l v ng vi mt trng thi ca tn hiu iu khin S ch c mt trong hai cng
AND m cho tn hiu CK i qua.

5.2.3. ng dng ca ghi dch


Ghi dch c kh nhiu ng dng:
- Mt s nh phn khi dch tri 1 bit, gi tr c nhn ln gp i v c chia hai khi
dch phi mt bit.
Th d s 1010.00 = 1010 khi dch tri thnh 10100.0 = 2010 v khi dch phi thnh
101.000 = 510.

Nguyn Trung Lp

K THUT S

______________________________________________________Chng 5

Mch tun t V - 10
- Trong my tnh thanh ghi (tn thng gi ca mch ghi dch) l ni lu tm d liu
thc hin cc php tnh, cc lnh c bn nh quay, dch ....
- Ngoi ra, mch ghi dch cn nhng ng dng khc nh: to mch m vng, bin
i d liu ni tip song song, dng thit k cc mch n trang tr, quang bo. . . ..

5.3 MCH M
Li dng tnh o trng thi ca FF JK khi J=K=1, ngi ta thc hin cc mch m.
Chc nng ca mch m l m s xung CK a vo ng vo hoc th hin s trng
thi c th c ca cc ng ra.
Nu xt kha cnh tn s ca tn hiu th mch m c chc nng chia tn, ngha l tn
s ca tn hiu ng ra l kt qu ca php chia tn s ca tn hiu CK ng vo cho s m
ca mch.
Ta c cc loi: mch m ng b, khng ng b v m vng.

5.3.1 Mch m ng b
Trong mch m ng b cc FF chu tc ng ng thi ca xung m CK.

5.3.1.1 Mch m ng b n tng, m ln


thit k mch m ng b n tng (ly th d n=4), trc tin lp bng trng thi,
quan st bng trng thi suy ra cch mc cc ng vo JK ca cc FF sao cho mch giao hon
to cc ng ra ng nh bng lp. Gi s ta dng FF tc ng bi cnh xung ca xung CK
(Tht ra, kt qu thit k khng ph thuc vo chiu tc ng ca xung CK, tuy nhin iu ny
phi c th hin trn mch nn ta cng cn lu ). Vi 4 FF mch m c 24=16 trng
thi v s m c t 0 n 15. Ta c bng trng thi:
Ck

QD

QC

QB

QA

S m

Xa
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16

0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
0

0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0

0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0

0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0

0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
0

Bng 5.14
Nhn thy:

Nguyn Trung Lp

K THUT S

______________________________________________________Chng 5

Mch tun t V - 11
- FF A i trng thi sau tng xung CK, vy:
TA = JA = KA = 1
- FF B i trng thi nu trc QA = 1, vy TB = JB = KB = QA
- FF C i trng thi nu trc QA = QB = 1, vy: TC = JC = KC = QA.QB
- FF D i trng thi nu trc QA=QB=QC=1, vy:
TD = JD = KD = QA.QB.QC = TC.QC
Ta c kt qu (H 5.16)

(H 5.16)

5.3.1.2 Mch m ng b n tng, m xung


Bng trng thi:
Ck
Xa
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16

QD
0
1
1
1
1
1
1
1
1
0
0
0
0
0
0
0
0

QC
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0

QB
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0

QA
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0

S m
0
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
0

Bng 5.15
Nhn thy:
- FF A i trng thi sau tng xung CK, vy:
TA = JA = KA = 1
- FF B i trng thi nu trc QA = 0, vy:
TB = JB = KB = Q A
- FF C i trng thi nu trc QA=QB=0, vy: TC = JC = KC = Q A Q B
- FF D i trng thi nu trc QA = QB = QC= 0, vy:

Nguyn Trung Lp

K THUT S

______________________________________________________Chng 5

Mch tun t V - 12
TD = JD = KD = Q A . Q B . Q C = TC. Q C
Ta c kt qu (H 5.17)

(H 5.17)

5.3.1.3 Mch m ng b n tng, m ln/ xung


c mch m n tng, m ln hoc xung ta dng mt a hp 21 c ng vo
iu khin C chn Q hoc Q a vo tng sau qua cc cng AND. Trong mch (H 5.18)
di y khi C=1 mch m ln v khi C=0 mch m xung.

(H 5.18)

5.3.1.4 Tn s hot ng ln nht ca mch m ng b n tng:


Trong mch (H 5.16) ta cn 2 cng AND. Trong trng hp tng qut cho n tng, s
cng AND l (n-2) nh vy thi gian ti thiu tn hiu truyn qua mch l:
Tmin = TPFF + TP.AND(n-2)
Tn s cc i xc nh bi:
f max =

1
1
=
T min
t PFF + (n 2)T PAND

gia tng tn s lm vic ca mch, thay v dng cc cng AND 2 ng vo ta phi


dng cng AND nhiu ng vo v mc theo kiu:
TA = JA = KA = 1
TB = JB = KB = QA
TC = JC = KC = QA.QB
TD = JD = KD = QA.QB.QC
Nh vy tn s lm vic khng ph thuc vo n v bng:
f max =

Nguyn Trung Lp

T PFF

1
+ T PAND

K THUT S

______________________________________________________Chng 5

Mch tun t V - 13
5.3.1.5 Mch m ng b Modulo - N (N 2n)
thit k mch m modulo - N, trc nht ta phi chn s tng.
S tng n phi tha iu kin:
2n-1 < N < 2n
Th d thit k mch m 10 (N = 10).
24-1 < 10 < 24 .
Vy s tng l 4
C nhiu phng php thit k mch m ng b modulo-N.
Sau y ta kho st hai phng php : dng hm Chuyn v MARCUS
Phng php dng hm Chuyn (Transfer function)
Hm Chuyn l hm cho thy c s thay i trng thi ca FF. Mi loi FF c mt
hm Chuyn ring ca n.
Hm Chuyn c nh ngha nh sau: hm c tr 1 khi c s thay i trng thi ca
FF (Q+ Q) v tr 0 khi trng thi FF khng i (Q+ = Q).
Chng ta ch thit k mch m dng FF JK do ta ch xc nh hm Chuyn ca
loi FF ny.
Bng trng thi ca FF JK (Bng 5.16)
CK

Q+

0
0

0
0

0
1

0
1

0
0

0
0

1
1

0
1

0
0

0
1

1
1

0
0

0
1

1
1

1
0

1
1

1
1

0
1

1
0

1
1

Bng 5.16

Dng Bng Karnaugh ta suy ra c biu thc ca H: H = JQ + KQ


thit k mch m c th ta s xc nh hm H cho tng FF trong mch, so snh
vi biu thc ca hm H suy ra J, K ca cc FF. Di y l mt th d.
Thit k mch m 10 ng b dng FF JK
Bng trng thi ca mch m 10 v gi tr ca cc hm H tng ng:
CK

QD

QC

QB

QA

QD
+

1
2
3
4
5
6
7
8

0
0
0
0
0
0
0
0

0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

0
0
0
0
0
0
0
1

0
0
0
1
1
1
1
0

0
1
1
0
0
1
1
0

1
0
1
0
1
0
1
0

Nguyn Trung Lp

QC

QB

QA

HD

HC

HB

HA

0
0
0
0
0
0
0
1

0
0
0
1
0
0
0
1

0
1
0
1
0
1
0
1

1
1
1
1
1
1
1
1

K THUT S

______________________________________________________Chng 5

Mch tun t V - 14
9
10

1
1

0
0

0
0

0
1

1
0

0
0

0
0

1
0

0
1

0
0

0
0

1
1

Bng 5.17
T bng 5.17, ta thy:

HA = 1= QA + QA JA = KA = 1
xc nh HB, HC v HD ta phi v bng Karnaugh

H B = QD QA QB + QD QA QB
JB = K B = Q D Q A

H C = Q BQ A Q C + Q BQ A Q C H D = Q C Q BQ A Q D + Q A Q D
JD = Q C Q BQ A , K D = Q A
JC = K C = Q BQ A

(H 5.19)
Ghi ch: Trong kt qu ca hm H ta mun c cha Q v Q tng ng suy ra
ngay cc tr J v K nn ta chia bng Karnaugh ra lm 2 phn cha Q v Q v nhm
ring tng phn ny.
T cc kt qu ny, ta v c mch (H 5.20)

(H 5.20)
By gi ta c th kim tra xem nu nh v mt l do no , s m ri vo cc trng
thi khng s dng (tng ng vi s t 10 n 15) th khi c xung ng h trng thi tip
theo s nh th no ? Mch c quay v m tip ?
p dng cc hm chuyn c c, ng vi mi trng thi Q ca tng FF trong cc t
hp khng s dng, ta tm tr H tng ng ri suy ra Q+, ta c bng kt qu sau:
CK

QD

QC

QB

QA

HD

HC

HB

HA

QD
+

1
1
1
1
1
1

0
0
1
1
1
1

1
1
0
0
1
1

0
1
0
1
0
1

0
1
0
1
0
0

0
1
0
0
0
1

0
0
0
0
0
0

1
1
1
1
1
1

1
0
1
0
1
0

0
1
1
1
1
0

1
1
0
0
1
1

1
0
1
0
1
0

Nguyn Trung Lp

QC

QB

QA

K THUT S

______________________________________________________Chng 5

Mch tun t V - 15
Bng 5.18
T bng kt qu ta c kt lun:
- Khi ng ra ri vo trng thi 1010 (1010), n s nhy tip vo trng thi 1110 (1011)
ri sau nhy v 610 (0110) (Dng 1 v 2)
- Khi ng ra ri vo trng thi 1210 (1100), n s nhy tip vo trng thi 1310 (11 01)
ri sau nhy v 410 (0100) (Dng 3 v 4)
- Khi ng ra ri vo trng thi 1410 (1110), n s nhy tip vo trng thi 1510 (1111)
ri sau nhy v 210 (0010) (Dng 5 v 6).
Tm li, nu c mt s c xy ra lm cho s m ri vo cc trng thi khng s dng
th sau 1 hoc 2 s m n t ng quay v mt trong cc s m t 0 n 9 ri tip tc m
bnh thng.
Phng php MARCUS
Phng php MARCUS cho php xc nh cc biu thc ca J v K da vo s thay
i ca Q+ so vi Q
T bng trng thi ca FF JK (Bng 5.7) ta c th vit li Bng 5.19:
Q
0
0
1
1

Q+
0
1
0
1

J
0
1
x
x

K
x
x
1
0

Bng 5.19
thit k mch, ta so snh Q+ v Q c c bng s tht cho J, K ca tng FF,
sau xc nh J v K.
Th d thit k li mch m 10 bng phng php MARCUS
Bng s tht cho J, K ca tng FF
CK
1
2
3
4
5
6
7
8
9
10

QD
0
0
0
0
0
0
0
0
1
1

QC
0
0
0
0
1
1
1
1
0
0

QB
0
0
1
1
0
0
1
1
0
0

QA
0
1
0
1
0
1
0
1
0
1

JD
0
0
0
0
0
0
0
1
x
x

KD
x
x
x
x
x
x
x
x
0
1

JC
0
0
0
1
x
x
x
x
0
0

KC
x
x
x
x
0
0
0
1
x
x

JB
0
1
x
x
0
1
x
x
0
0

KB
x
x
0
1
x
x
0
1
x
x

JA
1
x
1
x
1
x
1
x
1
x

KA
x
1
x
1
x
1
x
1
x
1

Bng 5.20
Ghi ch: Trong bng 5.20, khng c cc ct cho Q+, tuy nhin ta c th thy ngay l dng
bn di chnh l Q+ ca dng bn trn, nh vy kt qu c c t s so snh dng trn v
dng ngay di n.
Ta thy ngay
JA = KA = 1
Dng bng Karnaugh xc nh cc hm cn li
Nhn thy cc FF B v C c th xc nh chung cho J v K (cng v tr 1 v x), FF D
c xc nh J v K ring

Nguyn Trung Lp

K THUT S

______________________________________________________Chng 5

Mch tun t V - 16

JB = K B = Q D Q A

JC=KC=QB.QA
JD=QC.QB.QA
(H 5.21)
Ta c li kt qu trn.
Trn th trng c kh nhiu IC m:
- 4 bit BCD: 74160, 74162, 74190, 74192, 4192, 4510, 4518. . ..
- 4 bit nh phn: 74161, 74163, 74191, 74193, 4193, 4516, 4520. . ..
- 8 bit nh phn: 74269, 74579, 74779. . ..

KD=QA

5.3.2 Mch m khng ng b


L cc mch m m cc FF khng chu tc ng ng thi ca xung CK.
Khi thit k mch m khng ng b ta phi quan tm ti chiu tc ng ca xung
ng h CK.

5.3.2.1. Mch m khng ng b, n tng, m ln (n=4):


T bng trng thi 5.14 ca mch m 4 bit, ta thy nu dng FF JK tc ng bi
cnh xung ca xung ng h th c th ly ng ra ca tng trc lm xung ng h CK cho
tng sau, vi iu kin cc ng vo JK ca cc FF u c a ln mc cao. Ta c mch
m khng ng b, 4 bt, m ln (H 5.22).

(H 5.22)
(H 5.23) l dng tn hiu xung CK v cc ng ra ca cc FF

Nguyn Trung Lp

K THUT S

______________________________________________________Chng 5

Mch tun t V - 17

(H 5.23)
T hp cc s to bi cc ng ra cc FF D, C, B, A l s nh phn t 0 n 15

5.3.2.2. Mch m khng ng b, n tng, m xung (n=4):


c mch m xung ta ni Q (thay v Q) ca tng trc vo ng vo CK ca tng
sau. (H 5.24) l mch m xung 4 tng.
Dng sng ng ra cc FF v s m tng ng cho (H 5.25)

(H 5.24)

(H 5.25)
Quan st tn hiu ra cc Flipflop ta thy sau mi FF tn s ca tn hiu ra gim i
mt na, ngha l:
f
fQ A = CK
2

Nguyn Trung Lp

K THUT S

______________________________________________________Chng 5

Mch tun t V - 18
fQ A

fCK fCK
=
2
22
4
fQ A
f
f
fQ C =
= CK3 = CK
4
2
8
fQ A
f
f
fQ D =
= CK4 = CK
8
2
16
Nh vy xt v kha cnh tn s, ta cn gi mch m l mch chia tn.
fQ B =

5.3.2.3. Mch m khng ng b, n tng, m ln, xung (n=4):


c mch m ln hoc m xung ngi ta dng cc mch a hp 21 vi ng
vo iu khin C chung chn Q hoc Q ca tng trc ni vo CK tng sau ty theo yu
cu v cch m.
Trong (H 5.26) , khi C =1, Q ni vo CK , mch m ln v khi C = 0, Q ni vo CK ,
mch m xung

c = 0 : m xung
c = 1 : m ln
(H 5.26)
Trn thc t , n gin, ta c th thay a hp 21 bi mt cng EX-OR, ng iu
khin C ni vo mt ng vo cng EX-OR, ng vo cn li ni vi ng ra Q ca FF v ng ra
ca cng EX-OR ni vo ng vo CK ca FF sau, mch cng m ln/xung ty vo C=0 hay
C=1.

c = 1 : m xung
c = 0 : m ln
(H 5.27)

5.3.2.4. Mch m khng ng b modulo - N (N=10)


Kiu Reset:
thit k mch m kiu Reset, trc nht ngi ta lp bng trng thi cho s m
(Bng 5.21)

Nguyn Trung Lp

K THUT S

______________________________________________________Chng 5

Mch tun t V - 19
Quan st bng 5.21 ta thy xung th 10, nu theo cch m 4 tng th QD v QB phi
ln 1. Li dng hai trng thi ny ta dng mt cng NAND 2 ng vo a tn hiu v xa
cc FF, ta c mch m (H 5.28).
S xung CK vo

S
QD

Xa
1
2
3
4
5
6
7
8
9
10

0
0
0
0
0
0
0
0
1
1
0(1)

Nh
QC

Phn
QB

0
0
0
0
1
0
1
0
0
1
0
1
1
1
1
1
0
0
0
0
0(1)
0
Bng 5.21

Ra
QA

S thp phn
tng ng

0
1
0
1
0
1
0
1
0
1
0

0
1
2
3
4
5
6
7
8
9
10

(H 5.28)
Mch m kiu Reset c khuyt im nh:
- C mt trng thi trung gian trc khi t s m cui cng.
- Ng vo Cl khng c dng cho chc nng xa ban u.
Kiu Preset:
Trong kiu Preset cc ng vo ca cc FF s c t trc th no khi mch m
n trng thi th N th tt c cc FF t ng quay v khng.
thit k mch m khng ng b kiu Preset, thng ngi ta lm nh sau:
- Phn tch s m N = 2n.N (N<N) ri kt hp hai mch m n bit v N. Vic thit
k rt n gin khi s N' << N
- Quan st bng trng thi v kt hp vi phng php thit k mch m ng b
(MARCUS hay hm chuyn) xc nh JK ca cc FF.
Th d, thit k mch m 10, ta phn tch 10=2x5 v ta ch cn thit k mch m
5 ri kt hp vi mt FF (m 2)
Bng trng thi ca mch m 5.
S xung CK
vo
Xa

Nguyn Trung Lp

S Nh
QD
0

Phn
QC
0

Ra
QB
0

S thp phn
tng ng
0
K THUT S

______________________________________________________Chng 5

Mch tun t V - 20
1
1
0
2
0
1
3
1
1
4
0
0
0
0
0
Bng 5.22
Gi s dng FF JK c xung CK tc ng cnh xung.
T bng 5.21, ta thy c th dng tn hiu ng ra FF B lm xung ng h cho FF C v
a JC v KC ln mc cao:
CKC= QB. ;
JC=KC=1
Cc FF B v D s dng xung CK ca h thng v cc ng vo JK c xc nh nh
hm chuyn:
0
0
0
1
0

1
2
3
4
5

CK
1
2
3
4
5

QD
0
0
0
0
1
0

QC
0
0
1
1
0
0

QB
0
1
0
1
0
0

HD
0
0
0
1
1

HB
1
1
1
1
0

Bng 5.23
Dng bng Karnaugh xc nh HD v HB ri suy ra cc tr J, K ca cc FF.

H D = Q C .Q B Q D + Q D

H B = QD QB + QB

JB = Q D ; KB=1
(H 5.29)
C th xc nh J, K ca cc FF B v D bng phng php MARCUS:
JD = Q C .QB ; KD=1

CK
1
2
3
4
5

QD
0
0
0
0
1
0

QC
0
0
1
1
0
0

QB
0
1
0
1
0
0

JD
0
0
0
1
x

KD
x
x
x
x
1

JB
1
x
1
x
0

KB
x
1
x
1
x

Bng 5.24
Ta c ngay KD=KB=1
Dng bng Karnaugh xc nh JD v JB

Nguyn Trung Lp

K THUT S

______________________________________________________Chng 5

Mch tun t V - 21

JD = Q C .Q B

JB = QD

(H 5.30)
(H 5.31) l mch m 10 thit k theo kiu m 2x5 vi mch m 5 c c t kt
qu trn.

(H 5.31)
IC 7490 l IC m 10, c cu to nh mch (H 5.31) thm cc ng vo Reset 0 v
Reset 9 c s mch (H 5.32)

(H 5.32)
Bng 5.25 l bng s tht cho cc ng vo Reset

R0(1)
1
1
0
x
x
0
0
x

Reset Input
s
R0(2) R9(1)
0
1
x
1
1
x
1
0
x
0
0
x
x
x
0
0

Outputs
R9(2)
x
0
1
1
0
x
0
x

QD
0
0
1
1
m
nt
nt
nt

QC
0
0
0
0
m
nt
nt
nt

QB
0
0
0
0
m
nt
nt
nt

QA
0
0
1
1
m
nt
nt
nt

Bng 5.25
Dng IC 7490, c th thc hin mt trong hai cch mc:
Mch m 2x5: Ni QA vo ng vo B, xung m (CK) vo ng vo A

Nguyn Trung Lp

K THUT S

______________________________________________________Chng 5

Mch tun t V - 22
Mch m 5x2: Ni QD vo ng vo A, xung m (CK) vo ng vo B
Hai cch mc cho kt qu s m khc nhau nhng cng mt chu k m 10. Tn s
tn hiu ng ra sau cng bng 1/10 tn s xung CK (nhng dng tn hiu ra khc nhau).
Di y l hai bng trng thi cho hai trng hp ni trn.
QD
0
0
0
0
0
0
0
0
1
1

QC
0
0
0
0
1
1
1
1
0
0

QB
0
0
1
1
0
0
1
1
0
0

QA
0
1
0
1
0
1
0
1
0
1

QD
0
0
0
0
1
0
0
0
0
1

Bng 5.26 : m 2x5

QC
0
0
1
1
0
0
0
1
1
0

QB
0
1
0
1
0
0
1
0
1
0

QA
0
0
0
0
0
1
1
1
1
1

Bng 5.27 : m 5x2

(H 5.33) cho thy dng sng cc ng ra ca hai mch cng m 10 nhng hai kiu
m khc nhau:
- Kiu m 2x5 cho tn hiu ra QD khng i xng
- Kiu m 5x2 cho tn hiu ra QA i xng

(H 5.33)

5.3.3 Mch m vng


Thc cht l mch ghi dch trong ta cho hi tip t mt ng ra no v ng vo
thc hin mt chu k m. Ty ng hi tip m ta c cc chu k m khc nhau
Sau y ta kho st vi loi mch m vng ph bin.

5.3.3.1. Hi tip t QD v JA v Q D v KA

(H 5.34)
i vi mch ny, s m vng ch thy c khi c t trc ng ra

Nguyn Trung Lp

K THUT S

______________________________________________________Chng 5

Mch tun t V - 23
- t trc QA =1, ta c kt qu nh bng 5.28.
CK
Preset
1
2
3
4
:

QD
0
0
0
1
0
:

QC
0
0
1
0
0
:

QB QA
S TP
0
1
1
1
0
2
0
0
4
0
0
8
0
1
1
:
:
:
Bng 5.28

Nu t trc QA = QB = 1 ta c bng 5.29


CK
Preset
1
2
3
4
:

QD
0
0
1
1
0
:

QC
0
1
1
0
0
:

QB QA
S TP
1
1
3
1
0
6
0
0
12
0
1
9
1
1
3
:
:
:
Bng 5.29

5.3.3.2. Hi tip t Q D v JA v QD v KA (H 5.35)

(H 5.35)
Mch ny cn c tn l mch m Johnson. Mch c mt chu k m mc nhin m
khng cn t trc v nu c t trc, mch s cho cc chu k khc nhau ty vo t hp t
trc . Bng 5.30 l chu k m mc nhin.
CK
Preset
1
2
3
4
5
6
7
8

QD
0
0
0
0
1
1
1
1
0

QC
0
0
0
1
1
1
1
0
0

QB
0
0
1
1
1
1
0
0
0

QA
0
1
1
1
1
0
0
0
0

S TP
0
1
3
7
15
14
12
8
0

Bng 5.30

Nguyn Trung Lp

K THUT S

______________________________________________________Chng 5

Mch tun t V - 24
5.3.3.3. Hi tip t Q D v JA v QC v KA (H 5.36)

(H 5.36)
CK

QD

Preset
1
2
3
4
5
6
7

0
0
0
0
1
1
1
0

QC

0
0
0
1
1
1
0
0
Bng 5.31

QB

QA

S TP

0
0
1
1
1
0
0
0

0
1
1
1
0
0
0
0

0
1
3
7
14
12
8
0

Vi th d thit k mch m
1. Dng FF JK thit k mch m 6, ng b
Bng trng thi v hm chuyn mch m 6:
N
0
1
2
3
4
5

QA
0
0
0
0
1
1

QB
0
0
1
1
0
0

QC
0
1
0
1
0
1

QA+
0
0
0
1
1
0

QB+ QC+
1
0
0
1
1
1
0
0
1
0
0
0
Bng 5.32

HA
0
0
0
1
0
1

HB
0
1
0
1
0
0

HC
1
1
1
1
1
1

HC = 1 JC =KC = 1
Xc nh JA, KA, JB, KB
Bng Karnaugh cho hai hm chuyn HA & HB

(H 5.37)
HA = QBQC Q A +QCQA
JA = QBQC ; KA = QC
Mch:

Nguyn Trung Lp

HB = Q A QC Q B +QCQB
JB = Q A QC ; KB = QC

K THUT S

______________________________________________________Chng 5

Mch tun t V - 25

(H 5.38)
2. Thit k mch m 7 khng ng b, dng FF JK c ng vo xung ng h tc
ng bi cnh ln ca CK.
Bng trng thi
N
0
1
2
3
4
5
6

QA
0
0
0
0
1
1
1
0

QB
0
0
1
1
0
0
1
0

QC
0
1
0
1
0
1
0
0

JB
0
1
x
x
0
1
x

KB
x
x
0
1
x
x
1

JC
1
x
1
x
1
x
0

KC
x
1
x
1
x
1
x

Bng 5.33
Nhn xt bng trng thi ta thy mi ln QB thay i t 1 xung 0 th QA i trng
thi, m FF c xung ng h tc ng bi cnh ln nn ta c th ly Q B lm xung ng h
cho FFA v JA=KA=1.
FF B v FFC s dng xung ng h h thng, dng phng php MARCUS xc
nh J & K ca cc FF ny.
Ta thy ngay KC=1

JB=QC

(H 5.39)
KB=QA+ QC

JC = Q A + Q B

(H 5.40)

Nguyn Trung Lp

K THUT S

______________________________________________________Chng 5

Mch tun t V - 26

BI TP
1. Thit k b m ng b c dy m sau: 000, 010, 101, 110 v lp li.
2. Lm li bi 1. Thm iu kin cc trng thi khng s dng 001, 011, 100 v 111 phi lun
lun nhy v 000 xung ng h k tip.
3. Thit k b m ng b dng FF-JK vi dy m sau: 000, 001, 011, 010, 110,111, 101,
100, 000 . . .
4.
a. Thit k mt mch m ng b dng FF-JK tc ng cnh xung, c dy m nh
sau: 000, 001, 011, 111, 110, 100, 001. . . Nhng trng thi khng s dng c a v trng
thi 000 xung ng h k tip. V s mch.
b. Mc ni tip mt b m 2 (Dng FF-JK, tc ng cnh xung) vi b m
c thit k cu a. V dng sng cc ng ra ca b m gi s trng thi ban u ca cc
ng ra u bng 0. Xc nh dy m ca mch.
5. Thit k mch m ng b modulo-12 dng FF JK.
Dng ng ra mch m iu khin h thng n giao thng:
- n xanh chy trong 40 s
- n vng chy trong 20s
- n chy trong 40s
- n vng v cng chy trong 20s. Chu k lp li
Cho chu k xung ng h l 10s.
6. Thit k mch m ng b dng FF JK c ng vo iu khin X:
- Khi X=0 mch m theo th t 0, 2, 4, 6 ri tr v 0
- Khi X=1 mch m 0, 6, 4, 2 ri tr v 0.
Cc trng thi khng s dng trong hai ln m u tr v 0 khi c xung ng h

Nguyn Trung Lp

K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 1

 CHNG 6:

MCH LM TON
S B
PHP TR S NH PHN DNG S B 1
PHP TR S NH PHN DNG S B 2
PHP TON VI S C DU
MCH CNG
Bn phn
Ton phn
Cng hai s nhiu bt

MCH TR
Bn phn
Ton phn
Tr hai s nhiu bit
Cng & tr hai s nhiu bit trong mt mch

MCH NHN
Mch nhn c bn
Mch nhn ni tip - song song n gin

MCH CHIA
Mch chia phc hi s b chia
Mch chia khng phc hi s b chia

___________________________________________________________________________
____

6.1 S b
Cho s dng N, n bit, cc s b ca N c nh ngha:
S b 2: (N)2 = 2n - N (s 2n gm bit 1 v n bit 0 theo sau)
S b 1: (N)1 = (N)2 -1 = 2n - N - 1
Th d 1: N = 1010
S b 2 ca N l
(N)2 = l 10000 - 1010 = 0110
V s b 1 ca N l (N)1 = 0110 - 1 = 0101
Th d 2: N = 110010101100 (N)2 = 001101010100 v (N)1 = 001101010011
Nhn xt:
- c s b 2 ca mt s, bt u t bit LSB (tn cng bn phi) i ngc v bn
tri, cc bit s gi nguyn cho n lc gp bit 1 u tin, sau o tt c cc bit cn li.
- c s b 1 ca mt s, ta o tt c cc bit ca s .
T cc nhn xt trn ta c th thc hin mt mch to s b 1 v 2 sau y:

(H 6.1)

- Khi C=1, B l s b 1 ca b (B1 v b1 l bit LSB)

_______________________________________________________________
Nguyn Trung Lp
K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 2
- Khi C=0, B l s b 2 ca b.
Tht vy, cc biu thc logic ca B theo b v C l:
B1 = b 1 C
B2 = b 2 ( C + b 1 )
B3 = b 3 (C + b 1 + b 2 )

- Khi C=1 , cc ng ra cng OR lun bng 1, cc cng EX - OR lun c mt ng vo


bng 1 nn ng ra l o ca ng vo cn li, ta c:
B1 = b 1 1 = b 1
B2 = b 2 (1 + b 1 ) = b 2 1 = b 2
B3 = b 3 (1 + b 1 + b 2 ) = b 3 1 = b 3

- Khi C=0
B1 = b 1 0 = b 1
B2 = b 2 ( 0 + b 1 ) = b 2 b 1

= b2 nu b1=0 v b 2 nu b1 = 1
B3 = b 3 (0 + b 1 + b 2 ) = b 3 (b 1 + b 2 )
= b3 nu b1 v b2 u =0
= b 3 nu (b1 v/hoc b2 = 1)

Nh vy tt c cc bit sau bit 1 th nht tnh t bit LSB u b o v B chnh l s b


2 ca b
Chng ta cng c th thit k mch to s b hai bng cch dng FF RS, c ng vo
R, S tc ng mc cao, kt hp vi cc cng logic nh (H 6.2). Mch ny dng kh tin li
khi cn thc hin bi ton cng v tr nhiu bit kiu ni tip.

(H 6.2)
Bt u, Preset mch ng ra Q = 1, cng G3 ng, G2 m, cho s B i qua m
khng b o cho n khi c bit 1 u tin n, cng G1 m cho xung ng h i qua, FF RS
c reset, Q = 0, Q = 1, G2 ng, G3 m, s B i qua cng G2 v b o. ng ra c s
b 2 ca B.

6.2 Php tr s nh phn dng s b 1:


Cho hai s dng A v B c n bit (nu s bit khc nhau, ta thm s 0 vo , m khng
lm thay i tr, c hai c cng s bit)
a/ - AB

_______________________________________________________________
Nguyn Trung Lp
K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 3
Kt qu A-B l s 0 hoc m, php tnh c thc hin nh sau:
Tnh A - B:
A - B = A-B+2n-1-2n+1
= A+(2n -B -1 ) - 2n+1
= A+(B )1 - 2n+1
= - {2n - [A+(B )1] -1}
= - [A+(B )1]1
Vy A-B c c bng cch cng s b 1 ca B vo A ri ly b 1 ca tng v thm
du tr . Nh vy thc hin php tnh tr ta ch cn dng php cng v php o
Th d 3 : Tnh 1001 - 11010 dng s b 1
Ta c
A = 01001 (thm s 0 vo c 5 bit nh s B
B = 11010 (B)1 = 00101
A-B = - [A+(B )1]1 = - (01001+00101) =- (01110)1
= - (10001)
Trong h thp phn, y l bi ton 910 - 2610 = -1710
thy du tr c nhn ra nh th no, ta vit li php ton:

Khng c s trn (hay s trn =0) l du hiu ca kt qu m (hoc =0) v ta phi ly


b 1, thm du tr c kt qu cui cng: (01110)1 = - 10001
Th d 4: Tnh 10110 - 10110
A = 10110 v B = 10110 (B)1 = 01001

Trong php cng u tin khng c s trn, kt qu xem nh s m (hoc =0) ly b 1


ca kt qu ta c A-B =00000.
b/ - A >B
Kt qu A-B l s dng, php tnh c thc hin theo qui tc sau:
Cng A vi (B)1 ri thm 1 v khng quan tm ti s nh cui cng
Th d 5: Tnh 110101 - 100110 dng s b 1
A = 110101 v B = 100110 (B)1 = 011001

B qua s nh cui cng, ta c kt qu A-B =001111.


Trong h thp phn l bi ton 5310 - 3810 = 1510.

_______________________________________________________________
Nguyn Trung Lp
K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 4
Trong php tnh c s trn chng t kt qu l s dng. S 1 cng thm vo xem nh
ly t s nh em qua.
Tm li, thc hin bi ton tr, A-B, ta cng A vi b 1 ca B. Da vo s c mt
hay khng ca s trn m c bin php x l kt qu:
- Nu s trn =0, kt qu l s m (hoc =0) , ta phi ly b 1 ca kt qu v thm du
- c.
- Nu s trn =1, ta cng thm 1 vo c kt qu cui cng (b qua bit trn) l mt
s dng.

6.3 php tr s nh phn dng s b 2:


Php ton dng s b 1 c mt bt tin l ta phi thm bi ton cng 1 vo, trnh
vic ny ta dng php ton vi s b 2
Cho hai s dng A v B c n bit
a/ - A<B
Tnh A-B:
A-B = A-B+2n-2n
= A+(2n - B ) - 2n
= A+(B )2 - 2n
= - {2n - [A+(B )2] }
= - [A+(B )2]2
Vy A-B c c bng cch cng s b 2 ca B vo A ri ly b 2 ca tng v thm
du tr. Nh vy ta chuyn php tnh tr thnh php cng
Th d 6: Tnh 1001 - 11010 dng s b 2
Ta c A = 01001 (thm s 0 vo c 5 bit nh s B)
B = 11010 (N2)2 = 00110
A-B = - [A+(B )2]2 = - (01001+00110) =- (01111)2
= - (10001)
Ta c li kt qu trn
thy du tr c nhn ra nh th no, ta vit li php ton:

Khng c s trn l du hiu ca kt qu m v ta phi ly b 2, thm du tr c


kt qu cui cng: (01111)2 = - 10001
b/ - A B
Kt qu A-B l 0 hoc s dng, php tnh c thc hin theo qui tc sau:
Cng A vi (B)2 v khng quan tm ti s nh v tr 2n
Th d 7 : Tnh 110101 - 100110 dng s b 2
A = 110101 v B = 100110 (B)2 = 011010

C s trn, kt qu l s dng. B qua s trn v c ngay kt qu m khng phi


bin i: 001111 = 1510

_______________________________________________________________
Nguyn Trung Lp
K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 5
Th d 8 : Tnh 10110 - 10110
A = 10110 v B = 10110 (B)2 = 01010

B qua s trn ta c A-B =00000.

6.4 Php ton vi s c du


Cho ti gi chng ta thc hin cc php ton vi s khng du v i khi xut hin
du tr trong kt qu. Trong my tnh, iu ny c th khc phc c bng cch dng s c
du.
Vi qui c s dng c bit du l 0 v s m c du l 1
Th d 9: +1010 = 01010 +1510 = 01111 +2310 = 010111
-1010 = 10110 - 1510 = 10001 - 2310 = 101001
C th thy rng s m ca mt s l s b 2 ca n k c bit du.
Vi cch biu din s c du, php ton tr tr thnh php ton cng:
A-B = A+(-B )
Th d 10: Tnh A-B =01110 - 01001; B = 01001 = +910 - 910 = 10111

Bit du =0 ch kt qu dng, b bit trn C2.


Vy A-B = 00101 [(+1410 )-(+910)] = +510
Nu A hoc B u dng hoc m , kt qu c th cn thm mt bit do trn s. Trong
trng hp ny bit trn u tin thuc kt qu v C2 l bit du
Th d 11: Tnh A+B vi A = 01110 (+1410) v B = 01001 (+910)
Kt qu l 010111 = +2310 vi C2 = 0 l bit du

_______________________________________________________________
Nguyn Trung Lp
K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 6
Th d 12: Tnh A-B vi A =10010 (-1410) v B =01001 (+910)

Mt ln na C2 ch bit du. Kt qu l 101001 = -2310 (010111 = 2310)


T cc kt qu trn, ta rt ra qui tc sau y:
Nu C1 = C2 th C2 l bit trn, b i v nu C1 C2 th C2 l bit du.
Th d 13: Tnh A-B vi A =011101 (+2910) v B =0110 (+610)
B = 000110 = +610 - 610 = 111010
B

Ghi ch: - Trong tt c trng hp, ta lun lun thc hin php cng do c th b
qua php tr
- Khi cng hai s hng cng du th c th xy ra hin tng trn, lc bit
du di v bn tri mt bit. Trong cc trng hp khc th du ca kt qu cng v tr vi
du ca cc s hng
- Ngoi ra kt qu cn c x l ty vo kt qu so snh s khc nhau ca
hai s nh C1 v C2 (nh mt cng EX-OR).

6.5 Mch cng nh phn:


6.5.1 Mch cng bn phn (Half adder, HA):
L mch cng hai s 1 bit

Bng s tht

kt qu

Mch

K hiu

(H 6.3)

_______________________________________________________________
Nguyn Trung Lp
K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 7
6.5.2 Mch cng ton phn (Full adder,FA) :
L mch cng hai bit cng v tr trong hai s nh phn nhiu bit, ni cch khc, y
l mch cng hai bit , gi s th n, v bit nh c c t php cng hai bit th n-1 ca hai s
nh phn . Ta c bng s tht
Cn-1
0
0
0
0
1
1
1
1

Bn
0
0
1
1
0
0
1
1
B

An
0
1
0
1
0
1
0
1

Sn
0
1
1
0
1
0
0
1

Cn
0
0
0
1
0
1
1
1

Dng bng Karnaugh ta xc nh c Sn v Cn nh sau:

S n = C n 1 (A

Bn )

C n = A n B n + C n 1 (A

Bn )

(H 6.4)

C th thy mt mch cng ton phn gm hai mch cng bn phn v mt cng OR

6.6 Cng hai s nh phn nhiu bit:


6.6.1 Cng ni tip
Trong cch cng ni tip, ngi ta dng cc ghi dch chuyn cc bit vo mt mch
cng ton phn duy nht, s nh t ng ra Cn c lm tr mt bit nh FF D v a vo ng
vo Cn-1. Nh vy tc ca php cng ty thuc vo tn s xung CK v s bit phi thc hin.

(H 6.5)

_______________________________________________________________
Nguyn Trung Lp
K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 8
6.6.2 Cng song song
Trong cch cng song song, cc bit c a ng thi vo cc mch cng ton phn
v s nh ca kt qu bit thp c a ln bit cao hn (H 6.6).

(H 6.6)

Chnh v phi ch s nh m tc cng cn hn ch. Mun nng tc cng ln,


ngi ta thc hin php cng song song nh trc s nh.

6.6.3 Mch cng song song nh trc s nh


tng tc ca mch cng song song, ngi ta to trc cc s nh a ng
thi vo mch cng
T biu thc xc nh s nh

Cn = AnBn + Cn1(An Bn )
t Pn = AnBn
v Gn = An Bn
B

Ta xc nh c C1, C2, C3 .... nh sau:

_______________________________________________________________
Nguyn Trung Lp
K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 9
(H 6.7)

Nhn thy thi gian tnh s nh ging nhau cc tng v bng t1+t2 . t1 l thi gian
truyn ng thi qua cc cng AND v t2 l thi gian truyn qua cng OR.
S khi mch cng song song nh trc s nh:

(H 6.8)

Trn th trng hin c IC 7483 (tng ng 4008 ca CMOS) l IC cng 4 bit theo
kiu nh trc s nh.

6.6.4 Cng hai s BCD


Trn th trng c cc IC cng s nh phn, trong lc trn thc t nhiu khi chng ta
cn cng cc s BCD cho kt qu l s BCD.
Chng ta tm cch dng IC 7483 (4008) cng hai s BCD
Hai s BCD c tr t 010 n 910 khi cng li cho kt qu t 010 n 1810. c c
kt qu dng BCD ta phi hiu chnh kt qu c c t mch cng nh phn.
Di y l kt qu tng ng gia 3 loi m: thp phn, nh phn v BCD
Nh

Phn

BCD c

TP

S=C4

S4

S3

S2

S1

S =C4

S4

S3

S2

S1

0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
1
1

0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
0
0

0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0

0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0

0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

0
0
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

0
0
0
0
0
0
0
0
1
1
0
0
0
0
0
0
0
0

0
0
0
0
1
1
1
1
0
0
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1
0
0
0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

theo NP
0
1
2
3
4
5
6
7
8
9
16
17
18
19
20
21
22
23

_______________________________________________________________
Nguyn Trung Lp
K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 10
18

24

Nhn thy:
- Khi kt qu <10 m nh phn v BCD hon ton ging nhau
- Khi kt qu 10 c c m BCD ta phi cng thm 6 cho m nh phn
gii quyt vn hiu chnh ny trc tin ta s thc hin mt mch pht hin kt
qu trung gian ca mch cng hai s nh phn 4 bit. Mch ny nhn vo kt qu trung gian
ca php cng 2 s nh phn 4 bit v cho ng ra Y = 1 khi kt qu ny 10, ngc li, Y=0.
Bng s tht

S1 = 1

TP

C4

S4

S3

S2

0-1
2-3
4-5
6-7
8-9
10-11
12-13
14-15
16-17
18

0
0
0
0
0
0
0
0
1
1

0
0
0
0
1
1
1
1
0
0

0
0
1
1
0
0
1
1
0
0

0
1
0
1
0
1
0
1
0
1

0
0
0
0
0
1
1
1
1
1

Ta khng dng ng vo S1 v tng cp tr c C4 S4 S3 S2 ging nhau th S1 = 0 v


Dng bng Karnaugh xc nh c Y
Y = C4 + S4 (S3 + S2)
V mch cng hai s BCD c thc hin theo s (H 6.9)

(H 6.9)

Vn hnh:
- IC th nht cho kt qu trung gian ca php cng hai s nh phn.
- IC th hai dng hiu chnh c kt qu l s BCD:
- Khi kt qu < 10, IC 2 nhn ng vo B s 0000 (do Y=0) nn kt qu khng thay
i.
- Khi kt qu trung gian 10, IC 2 nhn ng vo B s 01102 = 610 (do Y=1) v kt
qu c hiu chnh nh ni trn.

6.6.5 Mch cng lu s nh


Nhc li, mt mch cng ton phn (FA) nhn 3-bit ng vo v cho 2 ng ra :
- Mt l tng ca cc bit c cng trng s vi cc bit ng vo
- Mt l s nh c trng s gp i trng s ca cc bit ng vo

_______________________________________________________________
Nguyn Trung Lp
K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 11
cng mt chui s, nhiu mch cng ton phn s c s dng, s nh c lu
li a vo mch cng bit cao hn.
Th d 14 : Vi 3 s 3-bit X (X3X2X1), Y(Y3Y2Y1), Z (Z3Z2Z1) mch cng c dng

(H 6.10)

Ngi ta dng mch cng loi ny thc hin bi ton nhn.


c kt qu nhanh hn, c th dng mch (H 6.11)

(H 6.11)

6.7 Mch tr nh phn:


6.7.1 Mch tr bn phn
L mch tr hai s 1 bit (H 6.12)

(H 6.12)

_______________________________________________________________
Nguyn Trung Lp
K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 12
6.7.2 Mch tr c s nh (mch tr ton phn)
L mch tr 2 bit c quan tm ti s nh mang t bit trc
Rn-1

An

Bn

Dn

Rn

0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

0
1
1
0
1
0
0
1

0
1
0
0
1
1
0
1

Bng s tht
Dng bng Karnaugh xc nh c cc hm Dn v Rn
D n = Rn 1 (A n Bn )
Rn = A n Bn + Rn 1 (A n Bn )

V mch (H 6.13)

(H 6.13)

Nhn thy cu to mch tr ging nh mch cng, ch khc mch to s nh

6.7.3 Tr s nhiu bit


Ta c mch tr s nhiu bit bng cch mc song song cc mch tr 1 bit (H 6.14)

(H 6.14)

6.7.4 Cng v tr s nhiu bit trong mt mch


Nhc li thc hin php ton tr, ngi ta cng vi s b 1 v cng thm 1 (hoc
cng vi s b 2), nh vy thc hin php tr A - B ta tnh A + (B)1 + 1 . Mch (H 6.6)
c sa i c thc hin php cng v tr ty vo ng iu khin C (H 6.15)
- Khi C=0, ta c mch cng
- Khi C =1, ta c mch tr

_______________________________________________________________
Nguyn Trung Lp
K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 13

(H 6.15)

Ta cng c th thc hin mch cng tr theo kiu mc ni tip (H 6.16)

(H 6.16)

Nu hai s A, B l s 8 bit, c du, kt qu c x l bi mch d s trn, thit k


da vo biu thc: OV = C7 C8 . Khi OV =1 ngha l c s trn (tc C7C8), th s trn C8
s l bit du, S8 l mt bit ca kt qu v khi OV = 0 (tc C7=C8), th S8 l bit du.

6.8 Mch nhn


Ly th d bi ton nhn 2 s 4 bit

S8

P44
S7

P34
P43
S6

P24
P33
P42
S5

Y4
X4
P14
P23
P32
P41
S4

Y3
X3
P13
P22
P31

Y2
X2
P12
P21

Y1
X1
P11

S b nhn
S nhn
Tch tng phn

S3

S2

S1

Kt qu

6.8.1. Mch nhn c bn


Vic thc hin bi ton nhn c th xem nh gm hai bc:
- Tnh cc tch tng phn: thc hin bi cc cng AND
- Tnh tng ca cc tch tng phn: p dng bi ton tng chui s (H 6.17)

_______________________________________________________________
Nguyn Trung Lp
K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 14

(H 6.17)

Dng IC cng 4 bit (7483 hoc 4008) mch nhn hai s 4 bit c dng (H 6.18)

(H 6.18)

6.8.2. Mch nhn ni tip - song song n gin (H 6.19)

(H 6.19)

Trong mch ny, mt trong hai s c a ni tip vo mch (trong trng hp ny


l s b nhn) v s cn li a song song vo mch.
- S nhn (b4b3b2b1) a song song vo mch qua cc cng AND ng thi kim sot
cc cng ny: ng vi bit 1 s b nhn qua mch ti mch cng (cng 2 v 4); ng vi bit 0
ng ra cng AND bng khng (cng 1 v 3)

_______________________________________________________________
Nguyn Trung Lp
K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 15
- S b nhn a ni tip vo mch theo th t t bit LSB. Cc FF D c tc dng dch
kt qu ca php nhn (l cc tch tng phn) trc khi a vo mch cng cng cc tch
tng phn ny.
Th d 15 : Xem bi ton nhn 10x14. S nhn l 1010 (1010) v s b nhn l 1110
(1410). Qu trnh nhn gii thch nh sau:
P8
0
0
0
0
1

P7
0
0
0
1
0

P6
0
0
0
1
0

P5
0
1
0
1
0

P4
0
1
0
0
1

P3
0
1
0
0
1

P2
0
0
0
0
0

P1
0
0
0
0
0

A
B
C
D
Output
100011002 = 14010
C th thy rng ng ra A lun lun bng 0 v bit LSB ca s nhn = 0. Ng ra B c
gi tr ca s b nhn c lm tr 1 bit (1 xung ng h). Ng ra C c lm tr 2 bit v lun
bng 0 (Ging nh A). Ng ra D ging nh B nhng tr 3 bit. iu ny c th so snh vi bi
ton trn giy
S b nhn
S nhn
A
B
C
D
Tch

1
0
1
0

0
1
0

1
0

1
1
0
1
0
0
1

1
0
0
1
0
0
1

1
1
0
0
0
0
0

0
0
0
0
0
0
0

Mun khng s dng mch cng s nhiu bit, ngi ta dng mch (H 6.20)

(H 6.20)

Mch (H 6.20) cn (n-1) mch cng v mch tr (FF D) cho s nhn n bit. Cc cng
AND cho php cc bit ca s b nhn i qua khi s nhn l 1, s b nhn (vi s bit bt k)
c cho vo mch ni tip vi bit LSB vo u tin.
Ng ra cng 4 sau 4 xung Clock l 1110. Ng ra cng 3 lun lun bng 0.
Mch cng A cng s ng ra 3 v ng ra 4 b tr 1 bit:
1
1

0
1
1

0
1
1

0
0
0

0
0
0

Tng t mch cng B cng s b nhn vi kt qu A c lm tr 1 bit

1
0

1
0

1
1
0

1
0
1

1
0
1

0
0
0

_______________________________________________________________
Nguyn Trung Lp
K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 16
v mch cng C
1
1

0
0

0
0

0
0

0
1
1

0
1
1

0
0
0

0
0
0

Lu l mch (H 6.20) kt qu cho ng ra mch cng C vi bit LSB ra u tin,


tuy nhin mch ny cha quan tm ti s nh.
Mch (H 6.21) cho kt qu vi s nh .

(H 6.21)

V (H 6.22) l mt mch thc t dng ghi dch 4 bit c ng vo/ra song song, mt
mch cng 4 bit v mt chip 4 cng AND 2 ng vo thc hin bi ton nhn.

(H 6.22)

6.9 Mch chia


Nguyn tc ca php chia s nh phn l thc hin php so snh mt phn ca s b
chia (s bit u tin bng vi s bit ca s chia) vi s chia, nu s b chia ln hn s chia th
thng s =1, thc hin php tr, ngc li th thng s =0, sau dch tri phn cn li ca
s b chia mt bit (hoc dch phi s chia 1 bit) ri tip tc thc hin bi ton so snh ging
nh trn. Cng vic c lp li cho n khi chm dt.
S (H 6.23) tm tt gii thut thc hin bi ton chia

_______________________________________________________________
Nguyn Trung Lp
K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 17

Dch

Yes

S chia < S b
chia ?

No

Thng s =0,
Khng tr

Thng s = 1,
Tr s b chia
cho s chia

Chia xong ?
Yes

No

Kt thc
(H 6.23)

6.9.1 Php chia c phc hi s b chia


Thay v phi thc hin vic so snh, ngi ta lm php tnh tr mt phn s b chia
cho s chia, nu kt qu dng, thng s l 1, nu kt qu m, thng s l 0, trong trng
hp ny phi phc hi li s b chia bng cch cng s b chia cho s chia trc khi dch s b
chia sang tri 1 bit (hoc s chia sang phi) tip tc lp li bi ton cho n khi kt thc.
(H 6.24) l s gii thut thc hin php chia c phc hi s b chia.

_______________________________________________________________
Nguyn Trung Lp
K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 18

Dch

s b chia - s chia

Yes

Kt qu
dng ?

Thng s = 1

No

Thng s = 0

s b chia + s chia

Yes
Chia xong?

No

Kt thc
(H 6.24)

thc hin php chia theo s trn, ngoi cc thanh ghi cha cc s b chia, s
chia , s thng ngi ta phi dng thanh ghi cha s b chia c phc hi.

6.9.2 Php chia khng phc hi s b chia


H thng s n gin hn nu chng ta dng php chia khng cn phc hi s b chia
theo nguyn tc nh di y.
Quan st gin (H 6.24) ta thy c 2 trng hp:

_______________________________________________________________
Nguyn Trung Lp
K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 19
S chia ln hn s b chia (nhnh bn phi)
Lu l dch s chia v bn phi 1 bit tng ng vi chia s cho 2
Nhnh bn phi ca s trn gm 2 bi ton:
- Cng s b chia vi s chia.
- Tr s b chia cho 1/2 s chia (tr b chia cho s chia dch phi)
Hai bc ny c th gom li thnh mt bc duy nht nh sau:
- Cng s b chia vi s chia dch phi.
S chia nh hn s b chia (nhnh bn tri)
Sau khi ly kt qu =1, lnh k tip thc hin l tr s chia dch phi.
T cc kt qu nhn xt trn c th thay s (H 6.24) bi s gii thut thc hin
php chia khng cn phc hi s b chia (H 6.25)

s b chia - s chia

Ye s

Kt qu
dng ?

No

Thng s = 1

Thng s = 0

Dch

Dch

s b chia + s chia

s b chia - s chia

Chia xong?

No

Kt thc
(H 6.25)

Da vo s (H 6.25), cc bc thc hin bi ton chia nh sau:

_______________________________________________________________
Nguyn Trung Lp
K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 20
- S chia (SC) ln hn s b chia (SBC) (SBC - SC < 0), thng s l 0, dch phi s
chia 1 bit (thc t ta mang thm 1 bit ca s b chia xung), thc hin bi ton cng s chia v
s b chia
- S chia nh hn s b chia (SBC - SC > 0), thng s l 1, dch phi s chia 1 bit,
thc hin bi ton tr (cng s b 2) s b chia cho s chia
n gin, gi s s chia v b chia u dng (MSB = 0), s b chia gm 6 bit v
s chia gm 4 bit.
Th d 1: Thc hin bi ton chia 2110 = 0101012 cho 710 = 01112.
S b 2 ca 0111 l (0111)2 = 1001

Ghi ch:
(1) S 1 trn mi tn ch rng kt qu php ton tr l s m, bc k tip l di v
cng s chia
(2) S 0 trn mi tn ch rng kt qu php ton tr l s dng, bc k tip l di v
tr s chia (cng s b 2)
Thng s c c t cc s trn m trn php tnh ta ghi trong vng trn.
Kt qu: thng l 011(=3) v s d l 0000(=0)
Bi ton trn cho kt qu vi 3 bc cng/tr. Tuy nhin nu ta chia 21 cho 1 th cn
ti 6 bc cng tr c thng s 6 bit. Mt cch tng qut s bc ca bi ton bng vi
s bt ca s b chia.
Ta c th lm li bi ton vi 6 bc cng/tr ((thm 3 bit 0 cho s b chia)

_______________________________________________________________
Nguyn Trung Lp
K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 21

Th d 2 v 3 di y l bi ton 6 bc
Th d 2 : Chia 21 cho 6 c kt qu 3 v s d l 3

Th d 3 : Chia 21 cho 5, c kt qu 4 v s d l 1. Tuy nhin trn php ton ta


thy php cng vi s chia cui cng cho kt qu m (s 1100) nn iu chnh s d ta
phi cng s chia vo v b qua s trn.

_______________________________________________________________
Nguyn Trung Lp
K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 22

(1) Cng s chia vo iu chnh s d


Mch thc hin cc bi ton ny cho (H 6.26).
Trong (H 6.26) bc u tin c thc hin bi cc cng EX-OR trn cng c ng
iu khin = 1 thc hin bi ton tr. Sau bc th nht, bit th t ca mch cng (S4) s
quyt nh php ton sau l cng (S4=1) hay tr (S4=0) s b chia vi s chia. S nh ca
bi ton cui cng (bc 6) l bit LSB ca thng s. V mch cng cui cng c thit k
kt hp vi cc cng AND x l kt qu ca s d nh trong hai th d 2 v 3. Nu kt qu
ca bi ton bc 6 c S4 = 1 th cng AND m thc hin bi ton cng vi s chia
iu chnh s d.

_______________________________________________________________
Nguyn Trung Lp
K THUT S

_________________________________________________________________Chng 6

Mch lm ton VI - 23

(H 6.26)

_______________________________________________________________
Nguyn Trung Lp
K THUT S

______________________________________________________________________________Chng

B nh bn dn

VII - 1

 CHNG 7:

B NH BN DN
THUT NG
I CNG V VN HNH CA B NH
y Cc tc v v cc nhm chn ca IC nh
y Giao tip vi CPU
CC LOI B NH BN DN
y ROM
y PLD
y RAM
M RNG B NH
y M rng di t
y M rng v tr nh
y M rng dung lng nh

_________________________________________________________________________________

Tnh u vit ch yu ca cc h thng s so vi h thng tng t l kh nng lu tr


mt lng ln thng tin s v d liu trong nhng khong thi gian nht nh. Kh nng nh
ny l iu lm cho h thng s tr thnh a nng v c th thch hp vi nhiu tnh hung.
Th d trong mt my tnh s, b nh trong cha nhng lnh m theo my tnh c th hon
tt cng vic ca mnh vi s tham gia t nht ca con ngi.
B nh bn dn c s dng lm b nh chnh trong cc my tnh nh vo kh nng
tha mn tc truy xut d liu ca b x l trung tm (CPU).
Chng ta qu quen thuc vi Fliflop, mt linh kin in t c tnh nh. Chng ta
cng thy mt nhm cc FF hp thnh thanh ghi lu tr v dch chuyn thng tin nh
th no. Cc FF chnh l cc phn t nh tc cao c dng rt nhiu trong vic iu hnh
bn trong my tnh, ni m d liu dch chuyn lin tc t ni ny n ni khc.
Tin b trong cng ngh ch to LSI v VLSI cho php kt hp mt lng ln FF
trong mt chip to thnh cc b nh vi cc dng khc nhau. Nhng b nh bn dn vi cng
ngh ch to transistor lng cc (BJT) v MOS l nhng b nh nhanh nht v gi thnh ca
n lin tc gim khi cc cng ngh LSI v VLSI ngy cng c ci tin.
D liu s cng c th c lu tr di dng in tch ca t in, v mt loi phn
t nh bn dn rt quan trng dng nguyn tc ny lu tr d liu vi mt cao nhng
tiu th mt ngun in nng rt thp.
B nh bn dn c dng nh l b nh trong chnh ca my tnh, ni m vic vn
hnh nhanh c xem nh u tin hng u v cng l ni m tt c d liu ca chng trnh
lu chuyn lin tc trong qu trnh thc hin mt tc v do CPU yu cu.
Mc d b nh bn dn c tc lm vic cao, rt ph hp cho b nh trong, nhng
gi thnh tnh trn mi bit lu tr cao khin cho n khng th l loi thit b c tnh cht lu
tr khi (mass storage), l loi thit b c kh nng lu tr hng t bit m khng cn cung cp
nng lng v c dng nh l b nh ngoi (a t , bng t , CD ROM . . .). Tc x l
d liu b nh ngoi tng i chm nn khi my tnh lm vic th d liu t b nh ngoi
c chuyn vo b nh trong.
Bng t v a t l cc thit b lu tr khi m gi thnh tnh trn mi bit tng i
thp. Mt loi b nh khi mi hn l b nh bt t (magnetic bubble memory, MBM) l
b nh in t da trn nguyn tc t c kh nng lu tr hng triu bit trong mt chip. Vi
tc tng i chm n khng c dng nh b nh trong.
Chng ny nghin cu cu to v t chc ca cc b nh bn dn.
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________________________________Chng

B nh bn dn

VII - 2

7.1 Thut ng lin quan n b nh


tm hiu cu to, hot ng ca b nh chng ta bt u vi mt s thut ng lin
quan n b nh
- T bo nh: l linh kin hay mt mch in t dng lu tr mt bit n (0 hay
1). Th d ca mt t bo nh bao gm: mch FF, t c tch in, mt im trn bng t
hay a t. . . .
- T nh : l mt nhm cc bit (t bo) trong b nh dng biu din cc lnh hay d
liu di dng mt s nh phn. Th d mt thanh ghi 8 FF l mt phn t nh lu tr t 8 bit.
Kch thc ca t nh trong cc my tnh hin i c chiu di t 4 n 64 bit.
- Byte : t 8 bit, y l kch thc thng dng ca t nh trong cc my vi tnh.
- Dung lng : ch s lng bit c th lu tr trong b nh. Th d b nh c kh
nng lu tr 4.096 t nh 20 bit, dung lng ca n l 4096 x 20, mi 1024 (=210) t nh
c gi l 1K, nh vy 4096 x 20 = 4K x 20. Vi dung lng ln hn ta dng 1M hay
1meg ch 220 = 1.048.576 t nh.
- a ch : l s nh phn dng xc nh v tr ca t nh trong b nh. Mi t nh
c lu trong b nh ti mt a ch duy nht. a ch lun lun c biu din bi s nh
phn, tuy nhin thun tin ngi ta c th dng s hex hay thp phn, bt phn
- Tc v c : (Read, cn gi l fetch ), mt t nh ti mt v tr no trong b nh
c truy xut v chuyn sang mt thit b khc.
- Tc v vit : (ghi, Write, cn gi l store ), mt t mi c t vo mt v tr trong
b nh, khi mt t mi c vit vo th t c mt i.
- Thi gian truy xut (access time) : s o tc hot ng ca b nh, k hiu tACC
l thi gian cn hon tt mt tc v c. Chnh xc l thi gian t khi b nh nhn
mt a ch mi cho ti lc d liu kh dng ng ra b nh
- B nh khng vnh cu (volatile) : B nh cn ngun in lu tr thng tin. Khi
ngt in, thng tin lu tr b mt. Hu ht b nh bn dn l loi khng vnh cu, trong khi
b nh t l loi vnh cu (nonvolatile).
- B nh truy xut ngu nhin (Random-Access Memory, RAM) : Khi cn truy xut
mt a ch ta ti ngay a ch . Vy thi gian c hay vit d liu vo cc v tr nh khc
nhau trong b nh khng ty thuc vo v tr nh. Ni cch khc, thi gian truy xut nh
nhau i vi mi v tr nh. Hu ht b nh bn dn v nhn t (b nh trong ca my tnh
trc khi b nh bn dn ra i) l loi truy xut ngu nhin.
- B nh truy xut tun t (Sequential-Access Memory, SAM) : Khi cn truy xut
mt a ch ta phi lt qua cc a ch trc n. Nh vy thi gian c v vit d liu
nhng v tr khc nhau th khc nhau. Nhng th d ca b nh ny l bng t, a t. Tc
lm vic ca loi b nh ny thng chm so vi b nh truy xut ngu nhin.
- B nh c/vit (Read/Write Memory, RWM) : B nh c th vit vo v c ra.
- B nh ch c (Read-Only Memory, ROM): l b nh m t l tc v c trn tc
v ghi rt ln. V mt k thut, mt ROM c th c ghi ch mt ln ni sn xut v sau
thng tin ch c th c c ra t b nh. C loi ROM c th c ghi nhiu ln nhng
tc v ghi kh phc tp hn l tc v c. ROM thuc loi b nh vnh cu v d liu c
lu gi khi ct ngun in.
- B nh tnh (Static Memory Devices) : l b nh bn dn trong d liu lu tr
c duy tr cho n khi no cn ngun nui.
- B nh ng (Dynamic Memory Devices) : l b nh bn dn trong d liu
lu tr mun tn ti phi c ghi li theo chu k. Tc v ghi li c gi l lm ti
(refresh).
- B nh trong (Internal Memory) : Ch b nh chnh ca my tnh. N lu tr cc
lnh v d liu m CPU dng thng xuyn khi hot ng.
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________________________________Chng

B nh bn dn

VII - 3

- B nh khi (Mass Memory): Cn gi l b nh ph, n cha mt lng thng tin


rt ln bn ngoi my tnh. Tc truy xut trn b nh ny thng chm v n thuc loi
vnh cu.

7.2 i cng v vn hnh ca b nh


7.2.1 Cc tc v v cc nhm chn ca mt IC nh
Mc d mi loi b nh c hot ng bn trong khc nhau, nhng chng c chung
mt s nguyn tc vn hnh m chng ta c th tm hiu s lc trc khi i vo nghin cu
tng loi b nh.
Mi h thng nh lun c mt s yu cu cc ng vo v ra hon thnh mt s
tc v:
- Chn a ch trong b nh truy xut (c hoc vit)
- Chn tc v c hoc vit thc hin
- Cung cp d liu lu vo b nh trong tc v vit
- Gi d liu ra t b nh trong tc v c
- Cho php (Enable) (hay Khng, Disable) b nh p ng (hay khng) i vi lnh
c/ghi a ch gi n.
T cc tc v k trn, ta c th hnh dung mi IC nh c mt s ng vo ra nh sau:
- Ng vo a ch : mi v tr nh xc nh bi mt a ch duy nht, khi cn c d
liu ra hoc ghi d liu vo ta phi tc ng vo chn a ch ca v tr nh . Mt IC c n
chn a ch s c 2n v tr nh. K hiu cc chn a ch l A0 n An-1 Mt IC c 10 chn a
ch s c 1024 (1K) v tr nh.
- Ng vo/ra d liu: Cc chn d liu l cc ng vo/ra, ngha l d liu lun c
x l theo hai chiu. Thng th d liu vo/ra chung trn mt chn nn cc ng ny thuc
loi ng ra 3 trng thi. S chn a ch v d liu ca mt IC xc nh dung lng nh ca IC
. Th d mt IC nh c 10 chn a ch v 8 chn d liu th dung lng nh ca IC l
1Kx8 (8K bit hoc 1K Byte).
- Cc ng vo iu khin: Mi khi IC nh c chn hoc c yu cu xut nhp d
liu cc chn tng ng s c tc ng. Ta c th k ra mt s ng vo iu khin:
* CS : Chip select - Chn chip - Khi chn ny xung thp IC c chn
* CE : Chip Enable - Cho php chip - Chc nng nh chn CS
* OE : Output Enable - Cho php xut - Dng khi c d liu
* R/ W : Read/Write - c/Vit - Cho php c d liu ra khi mc cao v Ghi d
liu vo khi mc thp
* CAS : Column Address Strobe - Cht a ch ct
* RAS : Row Address Strobe - Cht a ch hng.
Trong trng hp chip nh c dung lng ln, gim kch thc ca mch gii m
a ch bn trong IC, ngi ta chia s chn ra lm 2: a ch hng v a ch ct. Nh vy phi
dng 2 mch gii m a ch nhng mi mch nh hn rt nhiu. Th d vi 10 chn a ch,
thay v dng 1 mch gii m 10 ng sang 1024 ng, ngi ta dng 2 mch gii m 5
ng sang 32 ng, hai mch ny rt n gin so vi mt mch kia. Mt v tr nh by gi
c 2 a ch : hng v ct, d nhin mun truy xut mt v tr nh phi c 2 a ch nh 2
tn hiu RAS v CAS .
(H 7.1) cho thy cch v cc nhm chn ca IC nh (m chn a ch v n chn d
liu). (H 7.1b) v (H 7.1c) v cc chn a ch v d liu di dng cc Bus. (H 7.1b) c
dng trong cc s chi tit v (H 7.1c) c dng trong cc s khi.

_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________________________________Chng

B nh bn dn

VII - 4

(a)

(b)
(H 7.1)

(c)

7.2.2 Giao tip gia IC nh v b x l trung tm (CPU)


Trong h thng mi hot ng c lin quan n IC nh u do b x l trung tm
(Central Processing Unit, CPU) qun l. Giao tip gia IC nh v CPU m t (H 7.2)

(H 7.2)

Mt tc v c lin quan n b nh c CPU thc hin theo cc bc:


- t a ch quan h ln bus a ch.
- t tn hiu iu khin ln bus iu khin.
- D liu kh dng xut hin trn bus d liu, sn sng ghi vo hoc c ra.
hot ng ca IC ng b, cc bc trn phi tun th gin thi gian ca tng
IC nh (s cp n khi xt cc loi b nh)

7.3 Cc loi b nh bn dn
C 3 loi b nh bn dn :
- B nh bn dn ch c : (Read Only Memory, ROM)
- B nh truy xut ngu nhin : (Random Access Memory, RAM)
Tht ra ROM v RAM u l loi b nh truy xut ngu nhin, nhng RAM c gi
tn gi ny. phn bit chnh xc ROM v RAM ta c th gi ROM l b nh cht
(nonvolatile, vnh cu) v RAM l b nh sng (volatile, khng vnh cu) hoc nu coi
ROM l b nh ch c th RAM l b nh c c - vit c (Read-Write Memory)
- Thit b logic lp trnh c : (Programmable Logic Devices, PLD) c th ni im
khc bit gia PLD vi ROM v RAM l qui m tch hp ca PLD thng khng ln nh
ROM v RAM v cc tc v ca PLD th c phn hn ch.

7.3.1 ROM (Read Only Memory)


Mc d c tn gi nh th nhng chng ta phi hiu l khi s dng ROM, tc v c
c thc hin rt nhiu ln so vi tc v ghi. Thm ch c loi ROM ch ghi mt ln khi xut
xng.
Cc t bo nh hoc t nh trong ROM sp xp theo dng ma trn m mi phn t
chim mt v tr xc nh bi mt a ch c th v ni vi ng ra mt mch gii m a ch
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________________________________Chng

B nh bn dn

VII - 5

bn trong IC. Nu mi v tr cha mt t bo nh ta ni ROM c t chc bit v mi v tr l


mt t nh ta c t chc t.
Ngoi ra, gim mc cng knh ca mch gii m, mi v tr nh c th c xc
nh bi 2 ng a ch : ng a ch hng v ng a ch ct v trong b nh c 2 mch
gii m nhng mi mch c s ng vo bng 1/2 s ng a ch ca c b nh.

7.3.1.1 ROM mt n (Mask Programmed ROM, MROM)


y l loi ROM c ch to thc hin mt cng vic c th nh cc bng tnh,
bng lng gic , bng logarit . . . . ngay sau khi xut xng. Ni cch khc, cc t bo nh
trong ma trn nh c to ra theo mt chng trnh xc nh trc bng phng php
mt n: a vo cc linh kin in t ni t ng t qua ng bt to ra mt gi tr bit
v trng cho gi tr bit ngc li.
- (H 7.3) l m hnh ca mt MROM trong cc vung l ni cha (hay khng)
mt linh kin (diod, transistor BJT hay MOSFET) to bit. Mi ng ra ca mch gii m
a ch gi l ng t v ng ni t bo nh ra ngoi gi l ng bit. Khi ng t ln
mc cao th t bo nh hoc t nh c chn.

(H 7.3)

Nu t bo nh l Diod hoc BJT th s hin din ca linh kin tng ng vi bit 1


(lc ny ng t ln cao, Transsisstor hoc diod dn, dng in qua in tr to in th cao
hai u in tr) cn v tr nh trng tng ng vi bit 0.
i vi loi linh kin MOSFET th ngc li, ngha l s hin din ca linh kin
tng ng vi bit 0 cn v tr nh trng tng ng vi bit 1 (mun c kt qu nh loi BJT th
thm ng ra cc cng o).
(H 7.4) l mt th d b nh MROM c dung lng 16x1 vi cc mch gii m hng
v ct (cc mch gii m 2 ng sang 4 ng ca hng v ct u dng Transistor MOS v
c cng cu trc).

_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________________________________Chng

B nh bn dn

VII - 6

(H 7.4)

Trong thc t, n gin cho vic thc hin, mi v tr nh ngi ta u cho vo


mt transistor MOS. Nhng nhng v tr ng vi bit 1 cc transistor MOS c ch to vi
lp SiO2 dy hn lm tng in th ngng ca n ln, kt qu l transistor MOS ny lun
lun khng dn in (H 7.5), Cc transistor khc dn in bnh thng.

(H 7.5)

7.3.1.2 ROM lp trnh c (Programmable ROM, PROM)


C cu to ging MROM nhng mi v tr nh u c linh kin ni vi cu ch.
Nh vy khi xut xng cc ROM ny u cha cng mt loi bit (gi l ROM trng), lc s
dng ngi lp trnh thay i cc bit mong mun bng cch ph v cu ch cc v tr tng
ng vi bit . Mt khi cu ch b ph v th khng th ni li c do loi ROM ny
cho php lp trnh mt ln duy nht s dng, nu b li khng th sa cha c (H 7.6).

(H 7.6)

Ngi ta c th dng 2 diod mc ngc chiu nhau, mch khng dn in, to bit
0, khi lp trnh th mt diod b ph hng to mch ni tt, diod cn li dn in cho bit 1
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________________________________Chng

B nh bn dn

VII - 7

7.3.1.3 ROM lp trnh c, xa c bng tia U.V. (Ultra Violet Erasable


Programmable ROM, U.V. EPROM)
y l loi ROM rt tin cho ngi s dng v c th dng c nhiu ln bng cch
xa v np li. Cu to ca t bo nh ca U.V. EPROM da vo mt transistor MOS c cu
to c bit gi l FAMOS (Floating Gate Avalanche Injection MOS)

(H 7.7)

Trn nn cht bn dn N pha long, to 2 vng P pha m (P+) ni ra ngoi cho 2 cc


S (Source) v D (Drain). Trong lp cch in SiO2 gia 2 cc ngi ta cho vo mt thi
Silicon khng ni vi bn ngoi v c gi l cng ni. Khi ngun VDD, phn cc ngc
gia cc nn v Drain cn nh, transistor khng dn, nhng nu tng VDD ln, hin tng
thc (avalanche) xy ra, electron nng lng chui qua lp cch in ti bm vo cng
ni. Do hin tng cm ng, mt in l P hnh thnh ni hai vng bn dn P+ , transistor tr
nn dn in. Khi ct ngun, transistor tip tc dn in v electron khng th tr v ti
hp vi l trng.
xa EPROM, ngi ta chiu tia U.V. vo cc t bo trong mt khong thi gian
xc nh electron trn cng ni nhn nng lng vt qua lp cch in tr v vng nn
ti hp vi l trng xa in l P v transistor tr v trng thi khng dn ban u.

(H 7.8)

Mi t bo nh EPROM gm mt transistor FAMOS ni tip vi mt transistor MOS


khc m ta gi l transistor chn, nh vy vai tr ca FAMOS ging nh l mt cu ch
nhng c th phc hi c.
loi b transistor chn ngi ta dng transistor SAMOS (Stacked Gate Avalanche
Injection MOS) c cu to tng t transistor MOS nhng c n 2 cng nm chng ln
nhau, mt c ni ra cc Gate v mt ni. Khi cng ni tch in s lm gia tng in th
thm khin transistor tr nn kh dn in hn. Nh vy nu ta chn in th Vc khong
gia VT1 v VT2 l 2 gi tr in th thm tng ng vi 2 trng thi ca transistor
(VT1<Vc<VT2) th cc transistor khng c lp trnh (khng c lp electron cng ni) s
dn cn cc transistor c lp trnh s khng dn.

_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________________________________Chng

B nh bn dn

VII - 8

(H 7.9)

im bt tin ca U.V EPROM l cn thit b xa c bit pht tia U.V. v mi ln


xa tt c t bo nh trong mt IC nh u b xa. Nh vy ngi s dng phi np li ton
b chng trnh

7.3.1.4 ROM lp trnh c v xa c bng xung in (Electrically


Erasable PROM, EEPROM hay Electrically Alterable PROM, EAPROM)
y l loi ROM lp trnh c v xa c nh xung in v c bit l c th xa
sa trn tng byte. Cc t bo nh EEPROM s dng transistor MNOS (Metal Nitride
Oxide Semiconductor) c cu to nh (H 7.10).

(H 7.10)

Gia lp kim loi ni ra cc cc v lp SiO2 l mt lp mng cht Nitrua Silic (Si3N4)


- t 40nm n 650nm - D liu c np bng cch p mt in th dng gia cc G v S
(khong 20 n 25V trong 100ms). Do s khc bit v dn in, electron tch trn b mt
gia 2 lp SiO2 v Si3N4 , cc electron ny tn ti khi ngt ngun v lm thay i trng
thi dn in ca transistor. By gi nu p mt in th m gia cc G v S ta s c mt
lp in tch tri du vi trng hp trc. Nh vy hai trng thi khc nhau ca Transistor
c th thit lp c bi hai in th ngc chiu nhau v nh vy cc t bo nh c ghi v
xa vi 2 xung in tri du nhau.

7.3.1.5 FLASH ROM


EPROM l loi nonvolatile, c tc truy xut nhanh (khong 120ns), mt tch
hp cao, gi thnh r tuy nhin xa v np li phi dng thit b c bit v ly ra khi
mch.
EEPROM cng nonvolatile, cng c tc truy xut nhanh, cho php xa v np li
ngay trong mch trn tng byte nhng c mt tch hp thp v gi thnh cao hn EPROM.
B nh FLASH ROM tn dng c cc u im ca hai loi ROM ni trn, ngha l
c tc truy xut nhanh, c mt tch hp cao nhng gi thnh thp.
Hu ht cc FLASH ROM s dng cch xa ng thi c khi d liu nhng rt
nhanh (hng trm ms so vi 20 min ca U.V. EPROM). Nhng FLASH ROM th h mi cho
php xa tng sector (512 byte) thm ch tng v tr nh m khng cn ly IC ra khi mch.
FLASH ROM c thi gian ghi khong 10s/byte so vi 100 s i vi EPROM v 5 ms i
vi EEPROM
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________________________________Chng

B nh bn dn

VII - 9

7.3.1.6 Gin thi gian ca ROM


Ngoi tr MROM ch dng ch c, cc loi ROM khc u s dng hai ch
c v np chng trnh.
Nh vy ta c hai loi gin thi gian: Gin thi gian c v gin thi gian
np trnh.
(H 7.11) l gin thi gian tiu biu cho mt chu k c ca ROM.
Cc gi tr a ch, cc tn hiu R/ W v CS c cp t CPU khi cn thc hin tc v
c d liu ti mt a ch no . Thi gian thc hin mt tc v c gi l chu k c
tRC. Trong mt chu k c c th k mt s thi gian sau:

(H 7.11)

- tACC: Address Access time: Thi gian truy xut a ch: Thi gian ti a t lc CPU
t a ch ln bus a ch n lc d liu c gi tr trn bus d liu. i vi ROM dng BJT
thi gian ny khong t 30 ns n 90 ns, cn loi MOS th t 200 ns n 900 ns.
- tACS (tACE): Chip select (enable) access time: Thi gian thm nhp chn chip: Thi
gian ti a t lc tn hiu CS c t ln bus iu khin n lc d liu c gi tr trn bus
d liu. ROM BJT khong 20 ns , MOS 100 ns
- tH (Hold time): Thi gian d liu cn tn ti trn bus d liu k t lc tn hiu CS
ht hiu lc
(H 7.12) l gin thi gian ca mt chu k np d liu cho EPROM. Mt chu k np
liu bao gm thi gian np (Programmed) v thi gian kim tra kt qu (Verify)

(H 7.12)

7.3.2 Thit b logic lp trnh c (Programmable logic devices, PLD)


L tn gi chung cc thit b c tnh cht nh v c th lp trnh thc hin mt cng
vic c th no
Trong cng vic thit k cc h thng, i khi ngi ta cn mt s mch t hp
thc hin mt hm logic no . Vic s dng mch ny c th lp li thng xuyn v s
thay i mt tham s ca hm c th phi c thc hin tha mn yu cu ca vic thit
k. Nu phi thit k t cc cng logic c bn th mch s rt cng knh, tn km mch in,
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________________________________Chng

B nh bn dn

VII - 10

dy ni nhiu, kt qu l tin cy khng cao. Nh vy, s rt tin li nu cc mch ny c


ch to sn v ngi s dng c th ch tc ng vo lm thay i mt phn no chc nng
ca mch bng cch lp trnh. l tng c s cho s ra i ca thit b logic lp trnh
c. Cc thit b ny c th c xp loi nh b nh v gm cc loi: PROM, PAL
(Programmable Array Logic) v PLA (Programmable Logic Array).
Trc nht, chng ta xt qua mt s qui c trong cch biu din cc phn t ca PLD
Mt bin trong cc hm thng xut hin dng nguyn v o ca n nn chng ta
dng k hiu m v o chung trong mt cng c 2 ng ra.
Mt ni cht, cn gi l ni cng (khng thay i c) c v bi mt chm m
(.) v mt ni sng, cn gi l ni mm (dng lp trnh) bi mt du (x). Ni sng thc cht
l mt cu ch, khi lp trnh th c ph b.
Mt cng nhiu ng vo thay th bi mt ng vo duy nht vi nhiu mi ni (H
7.13).

(H 7.13)

Chng ta ch ly th d vi mch tng i n gin thy c cu to ca cc


PLD, l cc PLD ch thc hin c 4 hm mi hm gm 4 bin, nh vy mch gm 4
ng vo v 4 ng ra. Trn thc t s hm v bin ca mt PLD rt ln.

7.3.2.1 PROM
(H 7.14 ) l cu to PROM c 4 ng vo v 4 ng ra.
C tt c 16 cng AND c 4 ng vo c ni cht vi cc ng ra o v khng o
ca cc bin vo, ng ra cc cng AND l 16 t hp ca 4 bin (Gi l ng tch)
Cc cng OR c 16 ng vo c ni sng thc hin hm tng (ng tng). Nh vy vi
PROM vic lp trnh thc hin cc ng tng.
Th d dng PROM ny to cc hm sau:
O 1 = A + DB + DC O 2 = D CBA + DC BA O 3 = CBA
O 4 = BA + D C
Ta phi chun ha cc hm cha chun
O 1 = DCBA + DCBA + D CBA + D CBA + DC BA + DCBA + D CBA + D CBA + DCBA + DCBA + D CBA

O 3 = CBA = DCBA + DCBA


O 4 = BA + D C = D CBA + DCBA + D CBA + DCBA + D CBA + D CBA + D CBA
Mch cho (H 7.14b)

_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________________________________Chng

B nh bn dn

VII - 11

(a)

(b)
(H 7.14)

7.3.2.2 PAL
Mch tng t vi IC PROM, PAL c cc cng AND 8 ng vo c ni sng v 4
cng OR mi cng c 4 ng vo ni cht vi 4 ng tch. Nh vy vic lp trnh c thc
hin trn cc ng tch
(H 7.15b) l IC PAL c lp trnh thc hin cc hm trong th d trn:
O 1 = A + DB + DC

O 2 = D CBA + DC BA

(a)

O 3 = CBA

O 4 = BA + D C

(b)
(H 7.15)

_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________________________________Chng

B nh bn dn

VII - 12

7.3.2.3 PLA
PLA c cu to tng t PROM v PAL, nhng cc ng vo ca cng AND v cng
OR u c ni sng (H 7.16). Nh vy kh nng lp trnh ca PLA bao gm c hai cch lp
trnh ca 2 loi IC k trn.

(H 7.16)

7.3.3 RAM (Random Acess Memory)


C hai loi RAM : RAM tnh v RAM ng
RAM tnh cu to bi cc t bo nh l cc FF, RAM ng li dng cc in dung k
sinh gia cc cc ca transistor MOS, trng thi tch in hay khng ca t tng ng vi hai
bit 1 v 0. Do RAM ng c mt tch hp cao, dung lng b nh thng rt ln nn
nh v cc phn t nh ngi ta dng phng php a hp a ch, mi t nh c chn khi
c hai a ch hng v ct c ln lt tc ng. Phng php ny cho php n ng a
ch truy xut c 22n v tr nh. Nh vy gin thi gian ca RAM ng thng khc vi
gin thi gian ca RAM tnh v ROM.

7.3.3.1 RAM tnh (Static RAM, SRAM)


Mi t bo RAM tnh l mt mch FlipFlop dng Transistor BJT hay MOS (H 7.17)

_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________________________________Chng

B nh bn dn

VII - 13

(a)

(H 7.17)

(b)

(H 7.17a) l mt t bo nh RAM tnh dng transistor BJT vi 2 ng a ch hng


v ct.
Khi mt trong hai ng a ch hng hoc ct mc thp cc t bo khng c
chn v cc E c in th thp hai Transistor u dn, mch khng hot ng nh mt FF.
Khi c hai a ch hng v ct ln cao, mch hot ng nh FF, hai trng thi 1 v 0 ca t
bo nh c c trng bi hai trng thi khc nhau ca 2 ng bit v bit .
Gi s khi T1 dn th T2 ngng, ng bit c dng in chy qua, to in th cao R3
trong khi ng bit khng c dng chy qua nn R4 c in th thp. Nu ta qui c
trng thi ny tng ng vi bit 1 th trng thi ngc li, l trng thi T1 ngng v T2 dn,
hiu th in tr R3 thp v R4 cao, s l bit 0. R3 v R4 c tc dng bin i dng in ra
in th.
i vi t bo nh dng MOS, hai ng t ni vi T5, T6 v T7, T8 nn khi mt trong
hai ng t mc thp T1 v T2 b c lp khi mch, t bo nh khng c chn. Khi c
hai ln cao mch hot ng tng t nh trn. Trong mch ny R1 v R2 thay bi T3 v T4 v
khng cn R3 v R4 nh mch dng BJT.
(H 7.18) l mch iu khin chn chip v thc hin tc v c/vit vo t bo nh.

(H 7.18)

OPAMP gi vai tr mch so snh in th hai ng bit v bit cho ng ra mc cao


hoc thp ty kt qu so snh ny (tng ng vi 2 trng thi ca t bo nh) v d liu c
c ra khi cng m th 2 m ( R/ W ln cao).
Khi cng m th nht m ( R/ W xung thp) d liu c ghi vo t bo nh qua
cng m 1. Cng 3 to ra hai tn hiu ngc pha t d liu vo. Nu hai tn hiu ny cng
trng thi vi hai ng bit v bit ca mch trc , mch s khng i trng thi ngha l
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________________________________Chng

B nh bn dn

VII - 14

nu t bo nh ang lu bit ging nh bit mun ghi vo th mch khng thay i. By gi,
nu d liu cn ghi khc vi d liu ang lu tr th mch FF s thay i trng thi cho ph
hp vi 2 tn hiu ngc pha c to ra t d liu. Bit mi c ghi vo.
- Chu k c ca SRAM
Gin thi gian mt chu k c ca SRAM tng t nh gin thi gian mt chu
k c ca ROM (H 7.11) thm iu kin tn hiu R/ W ln mc cao.
- Chu k vit ca SRAM
(H 7.19) l gin thi gian mt chu k vit ca SRAM
Mt chu k vit tWC bao gm:
- tAS (Address Setup time): Thi gian thit lp a ch : Thi gian gi tr a ch n
nh trn bus a ch cho ti lc tn hiu CS tc ng.
- tW (Write time): Thi gian t lc tn hiu CS tc ng n lc d liu c gi tr trn
bus d liu.
- tDS v tDH: Khong thi gian d liu tn ti trn bus d liu bao gm thi gian trc
(tDS) v sau (tDH) khi tn hiu CS khng cn tc ng
- tAH (Address Hold time): Thi gian gi a ch: t lc tn hiu CS khng cn tc
ng n lc xut hin a ch mi.

(H 7.19)

7.3.3.2 RAM ng (Dynamic RAM, DRAM)


(H 7.20a) l mt t bo nh ca DRAM

(a)

(H 7.20)

(b)

(H 7.20b) l mt cch biu din t bo nh DRAM trong n gin mt s chi tit


c dng m t cc tc v vit v c t bo nh ny.
Cc kha t S1 n S4 l cc transistor MOS c iu khin bi cc tn hiu ra t
mch gii m a ch v tn hiu R/ W .
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________________________________Chng

B nh bn dn

VII - 15

ghi d liu vo t bo, cc kha S1 v S2 ng trong khi S3 v S4 m. Bit 1 thc


hin vic np in cho t C v bit 0 lm t C phng in. Sau cc kha s m c lp C
vi phn mch cn li. Mt cch l tng th C s duy tr trng thi ca n vnh vin nhng
thc t lun lun c s r in qua cc kha ngay c khi chng m do C b mt dn in
tch .
c d liu cc kha S2 , S3 , S4 ng v S1 m, t C ni vi mt mch so snh vi
mt in th tham chiu xc nh trng thi logic ca n. in th ra mch so snh chnh l
d liu c c ra. Do S2 v S4 ng, d liu ra c ni ngc li t C lm ti n. Ni
cch khc, bit d liu trong t bo nh c lm ti mi khi n c c.
S dng DRAM, c mt thun li l dung lng nh kh ln nhng phi c mt s
mch ph tr:
- Mch a hp a ch v DRAM lun s dng a ch hng v ct
- Mch lm ti phc hi d liu c th b mt sau mt khong thi gian ngn no
.

a. a hp a ch
Nh ni trn, do dung lng ca DRAM rt ln nn phi dng phng php a
hp chn mt v tr nh trong DRAM. Mi v tr nh s c chn bi 2 a ch hng v
ct ln lt xut hin ng vo a ch.
Th d vi DRAM c dung lng 16Kx1, thay v phi dng 14 ng a ch ta ch
cn dng 7 ng v mch a hp 14 7 (7 a hp 21) chn 7 trong 14 ng a ch
ra t CPU (H 7.21). B nh c cu trc l mt ma trn 128x128 t bo nh, sp xp thnh 128
hng v 128 ct, c mt ng vo v mt ng ra d liu, mt ng vo R/ W . Hai mch cht
a ch (hng v ct) l cc thanh ghi 7 bit c ng vo ni vi ng ra mch a hp v ng ra
ni vi cc mch gii m hng v ct. Cc tn hiu RA S v CA S dng lm xung ng h
cho mch cht v tn hiu Enable cho mch gii m. Nh vy 14 bit a ch t CPU s ln
lt c cht vo cc thanh ghi hng v ct bi cc tn hiu RA S v CA S ri c gii m
chn t bo nh. Vn hnh ca h thng s c thy r hn khi xt cc gin thi gian
ca DRAM.

(H 7.21)

b. Gin thi gian ca DRAM


(H 7.22) l gin thi gian c v vit tiu biu ca DRAM (Hai gin ny ch
khc nhau v thi lng nhng c chung mt dng nn ta ch v mt)
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________________________________Chng

B nh bn dn

VII - 16

(H 7.22)

Gin cho thy tc ng ca tn hiu MU X v cc tn hiu RA S v CA S . Khi


MU X mc thp mch a hp cho ra a ch hng (A0 . . . A6) v c cht vo thanh ghi

khi tn hiu RA S xung thp. Khi MU X mc cao mch a hp cho ra a ch ct (A7 . . .


A13) v c cht vo thanh ghi khi tn hiu CA S xung thp. Khi c a ch hng v ct
c gii m, d liu ti a ch xut hin trn bus d liu c ra hoc ghi vo ( kh
dng)

c. Lm ti DRAM
DRAM phi c lm ti vi chu k khong 2ms duy tr d liu.
Trong phn trc ta thy t bo nh DRAM c lm ti ngay khi tc v c
c thc hin. Ly th d vi DRAM c dung lng 16Kx1 (16.384 t bo) ni trn, chu k
lm ti l 2 ms cho 16.384 t bo nh nn thi gian c mi t bo nh phi l 2 ms/16.384
= 122 ns. y l thi gian rt nh khng c mt t bo nh trong iu kin vn hnh
bnh thng. V l do ny cc hng ch to thit k cc chip DRAM sao cho mi khi tc
v c c thc hin i vi mt t bo nh, tt c cc t bo nh trn cng mt hng
s c lm ti. iu ny lm gim mt lng rt ln tc v c phi thc hin lm ti
t bo nh. Tr li th d trn, tc v c lm ti phi thc hin cho 128 hng trong 2 ms.
Tuy nhin va vn hnh trong iu kin bnh thng va phi thc hin chc nng lm
ti ngi ta phi dng thm mch ph tr, gi l iu khin DRAM (DRAM controller)
IC 3242 ca hng Intel thit k s dng cho DRAM 16K (H 7.23)
Ng ra 3242 l a ch 7 bit c a hp v ni vo ng vo a ch ca DRAM.
Mt mch m 7 bit kch bi xung ng h ring cp a ch hng cho DRAM trong sut
thi gian lm ti. 3242 cng ly a ch 14 bit t CPU a hp n vi a ch hng v ct
c dng khi CPU thc hin tc v c hay vit. Mc logic p dng cho cc ng REFRESH
ENABLE v ROW ENABLE xc nh 7 bit no ca a ch xut hin ng ra mch
controller cho bi bng
REFRESH
ENABLE
HIGH
LOW
LOW

ROW
ENABLE
X
HIGH
LOW

Controller
output
Refresh address (t mch m)
a ch hng (A0 . . . A6 t CPU)
a ch ct (A7 . . .A13 t CPU)

_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________________________________Chng

B nh bn dn

VII - 17

(H 7.23)

7.4 M RNG B NH
Cc IC nh thng c ch to vi dung lng nh c gii hn, trong nhiu trng
hp khng th tha mn yu cu ca ngi thit k. Do m rng b nh l mt vic lm
cn thit. C 3 trng hp phi m rng b nh.

7.4.1. M rng di t
y l trng hp s v tr nh cho yu cu nhng d liu cho mi v tr nh th
khng . C th hiu c cch m rng di t qua mt th d
Th d: M rng b nh t 1Kx1 ln 1Kx8 :
Chng ta phi dng 8 IC nh 1Kx1, cc IC nh ny s c ni chung bus a ch v
cc ng tn hiu iu khin v mi IC qun l mt ng bit. 8 IC s vn hnh cng lc
cho mt t nh 8 bit (H 7.24).

(H 7.24)

7.4.2 M rng v tr nh
S bit cho mi v tr nh theo yu cu nhng s v tr nh khng
Th d: C IC nh dung lng 1Kx8. M rng ln 4Kx8. Cn 4 IC. chn 1 trong 4
IC nh cn mt mch gii m 2 ng sang 4 ng, ng ra ca mch gii m ln lt ni
vo cc ng CS ca cc IC nh, nh vy a ch ca cc IC nh s khc nhau (H 7.25). Trong
th d ny IC1 chim a ch t 000H n 3FFH, IC2 t 400H n 7FFH, IC3 t 800H n
BFFH v IC4 t C00H n FFFH

_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________________________________Chng

B nh bn dn

VII - 18

(H 7.25)

7.4.3 M rng dung lng nh


C v tr nh v di t ca cc IC u khng thit k. m rng dung lng
nh ta phi kt hp c hai cch ni trn
Th d: M rng b nh t 4Kx4 ln 24Kx8. Cn 6 cp IC mc song song, mi cp IC c
chung a ch v c chn bi mt mch gii m 3 sang 8 ng (H 7.26). Ta ch dng 6
ng ra t Y0 n Y5 ca mch gii m

_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________________________________Chng

B nh bn dn

VII - 19

(H 7.26)

- a ch IC (1&2): 0000H - 0FFFH, IC (3&4) : 1000H - 1FFFH, IC (5&6): 2000H 2FFFH v IC (7&8) : 3000H - 3FFFH IC (9&10): 4000H - 4FFFH v IC (11&12) :
5000H - 5FFFH
_________________________________________________________Nguyn Trung Lp
K THUT S

______________________________________________________________________________Chng

B nh bn dn

VII - 20

BI TP
1. Dng IC PROM 4 ng vo v 4 ng ra thit k mch chuyn m t Gray sang nh phn ca
s 4 bit.

2. Dng IC PAL 4 ng vo v 4 ng ra thit k mch chuyn t m Excess-3 sang m Aiken


ca cc s t 0 n 9.
Di y l 2 bng m
Excess-3
N
0
1
2
3
4
5
6
7
8
9

A
0
0
0
0
0
1
1
1
1
1

B
0
1
1
1
1
0
0
0
0
1

Aiken
C
1
0
0
1
1
0
0
1
1
0

D
1
0
1
0
1
0
1
0
1
0

A
0
0
0
0
0
1
1
1
1
1

B
0
0
0
0
1
0
1
1
1
1

C
0
0
1
1
0
1
0
0
1
1

D
0
1
0
1
0
1
0
1
0
1

3. Thit k mch m rng b nh t 2Kx4 ln 2Kx8


4. Thit k mch m rng b nh t 1Kx4 ln 8Kx4.
Cho bit a ch c th ca cc IC

5. Thit k mch m rng b nh t 2Kx4 ln 16Kx8.


Cho bit a ch c th ca cc IC

_________________________________________________________Nguyn Trung Lp
K THUT S

_____________________________________________ Chng 8. Bin i AD & DA


VIII - 1

CHNG 8 :

BIN I AD & DA
BN I S - TNG T (DAC)

DAC dng mng in tr c trng lng khc nhau


DAC dng mng in tr hnh thang
DAC dng ngun dng c trng lng khc nhau
c tnh k thut ca DAC

BIN I TNG T - S (ADC)

Mch ly mu v gi
Nguyn tc mch ADC
ADC dng in th tham chiu nc thang
ADC gn ng k tip
ADC dc n
ADC tch phn
ADC lng cc
ADC song song
_____________________________________________________________________________________

_
C th ni s bin i qua li gia cc tn hiu t dng tng t sang dng s l cn
thit v:
- H thng s x l tn hiu s m tn hiu trong t nhin l tn hiu tng t: cn thit
c mch i tng t sang s.
- Kt qu t cc h thng s l cc i lng s: cn thit phi i thnh tn hiu tng
t c th tc ng vo cc h thng vt l v th hin ra bn ngoi (th d ti to m thanh
hay hnh nh) hay dng vo vic iu khin sau (th d dng in th tng t iu
khin vn tc ng c)

8.1. Bin i s - tng t (digital to analog converter,


ADC)
8.1.1 Mch bin i DAC dng mng in tr c trng lng khc nhau
(Weighted resistor network)

(H 8.1)

___________________________________________________________________________

Nguyn Trung Lp

K THUT S

_____________________________________________ Chng 8. Bin i AD & DA


VIII - 2

Trong mch trn, nu thay OP-AMP bi mt in tr ti, ta c tn hiu ra l dng in.


Nh vy OP-AMP gi vai tr bin dng in ra thnh in th ra, ng thi n l mt
mch cng
Ta c v0 = -RF.I = -(23b3 + 22b2 + 2b1+b0)Vr.RF/23R
= -(2n-1 bn-1 + 2n-2 bn-2 + ........+ 2b1 + b0)Vr.RF /2n-1.R
Nu RF = R th:
v0 =-(2n-1 bn-1 + 2n-2 bn-2 + ........+ 2b1 + b0)Vr. /2n-1.
Th d:
1/ Khi s nh phn l 0000 th v0 = 0
1111 th v0 = -15Vr / 8
2/ Vi Vr = 5V ; R = RF = 1k
Ta c kt qu chuyn i nh sau:
b3
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

b2
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

b1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

b0
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

v0 (V)
0
-0,625 LSB
-1,250
-1,875
-2.500
-3,125
-3,750
-4,375
-5,000
-5,625
-6,250
-6,875
-7,500
-8,125
-8,750
-9,375 Full Scale (VFS)

Mch c mt s hn ch:
- S chnh xc ty thuc vo in tr v mc n nh ca ngun tham chiu Vr
- Vi s nh phn nhiu bit th cn cc in tr c gi tr rt ln, kh thc hin.

8.1.2 Mch i DAC dng mng in tr hnh thang

(H 8.2)

Cho RF = 2R v ln lt
Cho b3 = 1 cc bit khc = 0, ta c: v0 = -8(Vr /24)
___________________________________________________________________________

Nguyn Trung Lp

K THUT S

_____________________________________________ Chng 8. Bin i AD & DA


VIII - 3

Cho b2 = 1 cc bit khc = 0, ta c: v0 = -4(Vr /24)


Cho b1 = 1 cc bit khc = 0, ta c: v0 = -2(Vr /24)
Cho b0 = 1 cc bit khc = 0, ta c: v0 = - (Vr /24)
Ta thy v0 t l vi gi tr B ca t hp bit B = (b3 b2 b1 b0 )2 v0 = -B(Vr /24)

8.1.3 Mch i DAC dng ngun dng c trng lng khc nhau

(H 8.3)

8.1.4 c tnh k thut ca mch i DAC


8.1.4.1. Bit c ngha thp nht (LSB) v bit c ngha cao nht (MSB)
Qua cc mch bin i DAC k trn ta thy v tr khc nhau ca cc bit trong s nh
phn cho gi tr bin i khc nhau, ni cch khc tr bin i ca mt bit ty thuc vo trng
lng ca bit .
Nu ta gi tr ton giai l VFS th bit LSB c gi tr l:
LSB = VFS / (2n - 1)
v bit
MSB = VFS .2n-1/ (2n - 1)
iu ny c th hin trong kt qu ca th d 2 trn.
(H 8.4) l c tuyn chuyn i ca mt s nh phn 3 bit

(a)

(b)
(H 8.4)

(H 8.4a) l c tuyn l tng, tuy nhin, trong thc t ng trung bnh ca c


tnh chuyn i i qua im 0 in th tng t ra c lm lch (1/2)LSB (H 8.4b). Nh vy
in th tng t ra c xem nh thay i ngay gia hai m s nh phn vo k nhau. Th
___________________________________________________________________________

Nguyn Trung Lp

K THUT S

_____________________________________________ Chng 8. Bin i AD & DA


VIII - 4

d khi m s nh phn vo l 000 th in th tng t ra l 0 v in th tng t ra s ln


nc k 000+(1/2)LSB ri nc k tip 001+(1/2)LSB.v.v....Tr tng t ra ng vi 001 gi tt
l 1LSB v tr ton giai VFS = 7LSB tng ng vi s 111

8.1.4.2 Sai s nguyn lng ha (quantization error)


Trong s bin i, ta thy ng vi mt gi tr nh phn vo, ta c mt khong in th
tng t ra. Nh vy c mt sai s trong bin i gi l sai s nguyn lng ha v
=(1/2)LSB

8.1.4.3. phn gii (resolution)


phn gii c hiu l gi tr thay i nh nht ca tn hiu tng t ra c th c
khi s nh phn vo thay i. phn gii cn c gi l tr bc (step size) v bng trng
lng bit LSB.
S nh phn n bit c 2n gi tr v 2n - 1 bc
Hiu th tng t ra xc nh bi v0 = k.(B)2
Trong k chnh l phn gii v (B)2 l s nh phn
Ngi ta thng tnh phn trm phn gii:
%res = (k / VFS)100 %
Vi s nh phn n bit

%res = [1 / (2n - 1)]100 %

Cc nh sn xut thng dng s bit ca s nh phn c th c bin i ch


phn gii. S bit cng ln th phn gii cng cao (finer resolution)

8.1.4.4. tuyn tnh (linearity)


Khi in th tng t ra thay i u vi s nh phn vo ta ni mch bin i c tnh
tuyn tnh

8.1.4.5. ng (accuracy)
ng (cn gi l chnh xc) tuyt i ca mt DAC l hiu s gia in th
tng t ra v in th ra l thuyt tng ng vi m s nh phn vo. Hai s nh phn k
nhau phi cho ra hai in th tng t khc nhau ng 1LSB, nu khng mch c th tuyn
tnh nhng khng ng (H 8.5)

a/ Tuyn tnh

b/ Tuyn tnh nhng khng ng


(H 8.5)

___________________________________________________________________________

Nguyn Trung Lp

K THUT S

_____________________________________________ Chng 8. Bin i AD & DA


VIII - 5

8.2. Bin i tng t - s (analog

to digital converter, ADC)

8.2.1 Mch ly mu v gi (sample anh hold)


bin i mt tn hiu tng t sang tn hiu s, ngi ta khng th bin i mi gi
tr ca tn hiu tng t m ch c th bin i mt s ga tr c th bng cch ly mu tn
hiu theo mt chu k xc nh nh mt tn hiu c dng xung. Ngoi ra, mch bin i cn
mt khong thi gian c th (khong 1s - 1ms) do cn gi mc tn hiu bin i trong
khong thi gian ny mch c th thc hin vic bin i chnh xc. l nhim v ca
mch ly mu v gi.
(H 8.6) l dng mch ly mu v gi c bn: in th tng t cn bin i c ly
mu trong thi gian rt ngn do t np in nhanh qua tng tr ra thp ca OP-AMP khi cc
transistor dn v gi gi tr ny trong khong thi gian transistor ngng (t phng rt chm
qua tng tr vo rt ln ca OP-AMP)

(H 8.6)

8.2.2 Nguyn tc mch bin i ADC


Mch bin i ADC gm b phn trung tm l mt mch so snh (H 8.7). in th
tng t cha bit va p vo mt ng vo ca mch so snh, cn ng vo kia ni n mt in
th tham chiu thay i theo thi gian Vr(t). Khi chuyn i in th tham chiu tng theo
thi gian cho n khi bng hoc gn bng vi in th tng t (vi mt sai s nguyn lng
ha). Lc mch to m s ra c gi tr ng vi in th vo cha bit. Vy nhim v ca
mch to m s l th mt b s nh phn sao cho hiu s gia va v tr nguyn lng ha sau
cng nh hn 1/2 LSB
|va - (VFS / 2n - 1)(B)2 | < 1/2 LSB

(H 8.7)

___________________________________________________________________________

Nguyn Trung Lp

K THUT S

_____________________________________________ Chng 8. Bin i AD & DA


VIII - 6

8.2.3 Mch i dng in th tham chiu nc thang

(a)

(H 8.8)

(b)

Mt cch n gin to in th tham chiu c dng nc thang l dng mt mch


DAC m s nh phn vo c ly t mch m ln (H 8.8). Khi c xung bt u FlipFlop v
mch m c t v 0 nn ng ra Q ca FF ln 1, m cng AND cho xung CK vo mch
m. Ng ra mch m tng dn theo dng nc thang (VDAC), y chnh l in th tham
chiu, khi Vr cn nh hn va, ng ra mch so snh cn mc thp v Q vn tip tc mc
cao, nhng khi Vr va vt va ng ra mch so snh ln cao khin Q xung thp, ng cng
AND khng cho xung CK qua v mch m ngng. ng thi ng ra Q ln cao bo kt thc
s chuyn i. S m mch m chnh l s nh phn tng ng vi in th vo.
Gi thi gian chuyn i l tc. Thi gian chuyn i ty thuc in th cn chuyn
i. Thi gian lu nht ng vi in th vo bng tr ton giai:
tc(max) = 2n / fCK=2n .TCK
Mch i ny c tc chm. Mt cch ci tin l thay mch m ln bi mt mch
m ln/xung (H 8.9). Nu ng ra mch so snh cho thy Vr nh hn va, mch Logic s iu
khin m ln v ngc lai th mch s m xung. Nu va khng i Vr s dao ng quanh
tr va vi hai tr s khc nhau 1 LSB

(H 8.9)

___________________________________________________________________________

Nguyn Trung Lp

K THUT S

_____________________________________________ Chng 8. Bin i AD & DA


VIII - 7

8.2.4 Mch i ly gn ng k tip (sucessive approximation converter)

(H 8.10)

Mch i ly gn ng k tip dng cch to in th tham chiu mt cch c hiu


qu hn khin vic chuyn i ra m s n bit ch tn n chu k xung CK. Mch ny bao gm:
mt mch so snh, mt mch ghi dch c bit (SAR) v mt mch DAC (H 8.11).

(H 8.11)

Mch SAR (H 8.11) l mch ghi dch c kt hp iu khin Logic. Mch gm 6 FF D


mc thnh chui, ng ra FF cui (F) hi tip v FF u (A) , khi iu khin gm 4 cng
AND v 4 FF RS c ng vo tc ng mc cao, cc ng ra Q ca cc FF RS c a vo
mch DAC to in th tng t Vr (dng so snh vi in th ra t mch ly mu v gi
va), ng thi y cng l m s ra khi s bin i kt thc.
___________________________________________________________________________

Nguyn Trung Lp

K THUT S

_____________________________________________ Chng 8. Bin i AD & DA


VIII - 8

Vn hnh: Lc c xung bt u, mch SAR c t v 0. Ng ra DAC c lm


lch 1/2 LSB to c tnh chuyn i nh ni trong phn trc, k SAR a bit MSB
ln cao (bng cch preset FF A), cc bit khc bng 0, s ny c a vo mch DAC to
in th tham chiu Vr so snh vi va. Ty theo kt qu so snh, nu Vr > va th ng ra
mch so snh mc cao khin SAR b i bit MSB khi c xung CK k tip xut hin, cn nu
Vr < va th ng ra mch so snh mc thp, khin SAR gi bit MSB li (FF RS 4 gi
nguyn trng thi) ng thi a bit c ngha k tip ln cao (do FF 3 c set t gi tr 1
ng ra FF B, tr 1 ny c chuyn t FF A sang). Mch so snh tip tc lm vic v kt qu
s c quyt nh theo cng cch thc nh i vi bit MSB.... Tip tc nh vy cho n bit
cui cng ca SAR, lc va gn Vr nht v ta c kt qu chuyn i trong thi gian ti a
l n chu k xung ng h. Mch chuyn i chm dt khi ng ra FF F ln mc cao cho php
m cc m cho m s ra.

8.2.5 Mch i dng tn hiu dc n (single ramp converter)


in th chun tng nc to bi mch DAC c th c thay th bi in th tham
chiu c dc ln lin tc to bi mch to tn hiu dc ln (thng l mch tch phn).

(H 8.12)

Xung bt u t mch m n bit v 0 v khi ng mch to dc ln to Vr, t


mt tr hi m, khi Vr ct trc 0 ng ra mch so snh 2 ln cao m cng AND cho xung CK
vo mch m. Khi ng dc t tr s bng tr tng t cn bin i ng ra mch so snh 1
ln cao a ng ra Q ca FF xung thp, cng AND ng v kt thc s chuyn i. S m
c mch m t l vi in th tng t vo. Mch c khuyt im l dc ca Vr ty
thuc thng s RC ca mch tch phn nn khng chnh xc.

___________________________________________________________________________

Nguyn Trung Lp

K THUT S

_____________________________________________ Chng 8. Bin i AD & DA


VIII - 9

(H 8.13)

8.2.6 Mch i ly tch phn (Integrating Converter)

(H 8.14)

Mch ny gii quyt c khuyt im ca mch bin i dng tn hiu dc n,


ngha l chnh xc khng ty thuc RC.
Xung bt u a mch m v 0, mch iu khin m kha S3 ca mch tch phn,
ng kha S1 a tn hiu tng t va (gi s m) vo mch tch phn ng thi m kha
S2. Ng ra mch tch phn c tr m nh ban u. Tn hiu tng t vo c ly tch phn,
dc -va /RC. Khi ng ra mch tch phn vt trc 0, ng ra mch so snh ln cao m cng
___________________________________________________________________________

Nguyn Trung Lp

K THUT S

_____________________________________________ Chng 8. Bin i AD & DA


VIII - 10

AND a xung CK vo mch m. Khng k lng lch m ban u, hiu th ng ra mch


tch phn l:
VI(t) =

va
dt
RC

Gi s va khng i trong thi gian chuyn i


VI(t) = -(va.t /RC)
Nu va m th ng ra mch tch phn l ng dc ln u.
Khi mch m trn (tc m ht dung lng v t ng quay v 0) mch Logic iu
khin m kha S1 v ng kha S2 a in th tham chiu Vr (dng) n mch ly tch
phn. Ng ra mch tch phn by gi l ng dc xung vi dc l -Vr /RC. Khi VI
xung 0, mch so snh xung thp ng cng AND v kt thc qu trnh bin i. S m sau
cng ca mch m t l vi in th tng t vo.
Gi s RC khng i trong qu trnh bin i, tch phn trong thi gian t1 bng tch
phn trong thi gian t2 nn ta c:
| va | t1 = Vr.t2
t1 l thi gian m t 0 cho n khi trn nn
t1 = 2n / fCK
v t2 = N / fCK.
N l s m sau cng.
Tm li ta thy s m c khng ph thuc RC

(H 8.15)

8.2.7 Mch i lng cc


Mt cch n gin thc hin chuyn i mt tn hiu tng t lng cc l dng
mt mch o tng t v mt mch so snh xc nh va m hay dng o hay khng
trc khi a vo mch ADC n cc (H 8.16)

___________________________________________________________________________

Nguyn Trung Lp

K THUT S

_____________________________________________ Chng 8. Bin i AD & DA


VIII - 11

(H 8.16)

8.2.8 Mch i song song (parallel hay flash conversion)


y l mch i c tc chuyn i rt nhanh, c th t vi triu ln trong mt
giy, p dng vo vic chuyn i tn hiu hnh trong k thut video. Th d c mch i 3
bit, ngi ta dng 7 mch so snh ng vo v mt mch m ha u tin to m s nh
phn ng ra (H 8.17).
- Khi va < Vr /10, cc ng ra mch so snh u ln cao khin m s ra l 000
- Khi Vr /10 <va < 2Vr /10, ng ra mch so snh 1 xung thp khin m s ra l 001
- Khi 2Vr /10 <va < 3Vr /10, ng ra mch so snh 2 xung thp khin m s ra l 010
C nh th, ta thy m s ra t l vi in th tng t vo

(H 8.17)

___________________________________________________________________________

Nguyn Trung Lp

K THUT S

You might also like