Professional Documents
Culture Documents
Fir Filter Code in VHDL
Fir Filter Code in VHDL
use ieee.std_logic_1164.all;
entity simple_fir is
generic (taps : integer_vector);
port (
clk
: in
std_logic;
sample
: in
integer;
-- architecture a1
process (clk) is
variable delay_line : integer_vector(0 to taps'length-1) := (others => 0);
variable sum : integer;
begin
-- process
if rising_edge(clk) then
: integer;
-- architecture test
port map (
clk
=> clk,
sample
=> sample,