You are on page 1of 1

//module counter module counter(c_out, c_reset, c_clk, c_en) parameter counter_width=8; output [counter_width-1:0] c_out; reg [counter_width-1:0] c_out;

input c_reset,c_clk,c_en; always@(posedge c_clk or reset) begin if(reset) c_out<=0; elseif(c_en) c_out<=c_out+1; end

You might also like