You are on page 1of 39

1

IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA


IV.1 OSNOVNI POJMOVI
IV.2 LOGIKI ELEMENTI
IV.3 STRUKTURA KOMBINACIONIH MREA
IV.4 MEMORIJSKI ELEMENTI
IV.4.1 ASINHRONI FLIP-FLOPOVI
IV.4.2 TAKTOVANI FLIP-FLOPOVI
IV.5 STRUKTURA SEKVENCIJALNIH MREA



2
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.1 OSNOVNI POJMOVI

Prekidake mree su osnovne komponente raunara i drugih digitalnih sistema i
ureaja.

Prekidaka mrea se moe predstaviti blokom sa n ulaza i m izlaza (slika 1).
Na ulaze dolaze binarni signali x
1
, x
2
, ..., x
n
, a na izlazima se dobijaju binarni
signali z
1
, z
2
, ..., z
m
.
Vektori signala X = x
1
x
2
...x
n
i Z = z
1
z
2
...z
m
predstavljaju ulazne i izlazne vektore
prekidake mree.
x
2
x
1
x
n
z
2
z
1
z
m

Slika 1 Ulazi i izlazi prekidake mree
Binarni signal dobija dve vrednosti koje se oznaavaju sa 0 i 1.

3
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.1 OSNOVNI POJMOVI

U prekidakoj mrei se pojavlju dva tipa binarnih signala i to:
1. signali potencijalnog tipa i
2. signali impulsnog tipa.

Ako trajanje binarnog signala nije ogranieno ni za jednu vrednost, kae se da je
signal potencijalnog tipa.
Ako je trajanje binarnog signala ogranieno bar za jednu vrednost, kae se da je
signal impulsnog tipa.

Binarni signali su dati na slici 2 i to:
a) signal potencijalnog tipa,
b) signal impulsnog tipa kod kojeg je trajanje ogranieno i fiksno za vrednost
1,
c) signal impulsnog tipa kod kojeg je trajanje ogranieno i fiksno za vrednost
0 i
d) periodian signal impulsnog tipa kod kojeg je trajanje ogranieno i fiksno
za obe vrednosti 0 i 1.
a)
b)
c)
d)

Slika 2 Signali potencijalnog (a) i impulsnog (b, c, d) tipa

4
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.1 OSNOVNI POJMOVI

Ako se u nekom trenutku t
i
promeni ulazni vektor X prekidake mree protei e
odreeno vreme t dok se na izlazima ne pojavi odgovarajui vektor Z. Vreme
t predstavlja kanjenje signala u prekidakoj mrei i zavisi od njenih
tehnolokih i strukturnih karakteristika. Sledea promena ulaznog vektora X
moe se izvriti u trenutku t
i+1
ako je zadovoljen uslov t
i+1
t
i
t.

U intervalu od t
i
do t
i
+ t u prekidakoj mrei se odvija prelazni proces, tako da
izlazni vektor Z nije definisan i ne moe se koristiti. U intervalu od t
i
+ t do t
i+1

na izlazima prekidake mree je prisutan odgovarajui vektor Z i moe se
koristiti u bilo kojem trenutku tog intervala.

Pri razmatranju funkcija prekidakih mrea kanjenje t se zanemaruje pa se
smatra da se sa promenom ulaznog vektora X istovremeno menja i izlazni vektor
Z. Ipak, kanjenje t se uzima u obzir tako to se promene ulaznog vektora X
dozvoljavaju samo u diskretnim vremenskim trenucima t
1
, t
2
, ..., t
i
, t
i+1
, ... Pritom
je t
i+1
t
i
t. Kae se da prekidake mree funkcioniu u diskretnom vremenu.

Vremenski interval izmeu dva uzastopna trenutka t
i
i t
i+1
naziva se intervalom
takta ili taktom prekidake mree. Veliina takta je odreena funkcijama
izlaznih vektora prekidake mree, pri emu uvek mora zadovoljavati relaciju
t
i+1
t
i
t. Trenuci t
1
, t
2
, ..., t
i
, t
i+1
, ... nazivaju se trenucima takta.

5
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.1 OSNOVNI POJMOVI

Prema funkcijama koje realizuju prekidake mree se dele na
1. kombinacione prekidake mree i
2. sekvencijalne prekidake mree.

Izlazni vektor Z kombinacione mree jednoznano je odreen ulaznim vektorom
X koji je u posmatranom trenutku prisutan na ulazima mree. Stoga je funkcija
kombinacione mree definisana ako je zadata korespondencija izmeu ulaznih
vektora X i izlaznih vektora Z. Ta korespondencija se moe zadati skupom
prekidakih funkcija z
1
, z
2
, ..., z
m
koje zavise od nezavisno promenljivih x
1
, x
2
,
..., x
n
i data je relacijama:
z
1
= f
1
(x
1
, x
2
, ..., x
n
),
z
2
= f
2
(x
1
, x
2
, ..., x
n
)
...
z
m
= f
m
(x
1
, x
2
, ..., x
n
)
ili u vektorskom obliku Z = F (X).

Svaki ulazni vektor X kombinacione mree preslikava se u izlazni vektor Z tako
da je z
j
= f
j
(x
1
, x
2
, ..., x
n
), j = 1, 2, ..., m.

Prekidake funkcije nazivaju se funkcijama izlaza kombinacione mree.
Funkcije izlaza potpuno definiu funkciju, ili kako se esto kae, zakon
funkcionisanja kombinacione mree.
6
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.1 OSNOVNI POJMOVI

Izlazni vektor Z sekvencijalne mree jednoznano je odreen parom vektora X i
Q, gde je
X = x
1
x
2
...x
n
vektor prisutan u posmatranom trenutku na ulazima mree, a
Q = Q
1
Q
2
...Q
k
je vektor binarnih signala koji je u posmatranom trenutku
prisutan na odgovarajuim unutranjim linijama mree. Vektor Q se naziva
vektorom stanja ili stanjem sekvencijalne mree.

Izlazni vektor Z sekvencijalne mree se moe zadati skupom prekidakih
funkcija z
1
, z
2
, ..., z
m
koje zavise od nezavisno promenljivih x
1
, x
2
, ..., x
n
, Q
1
, Q
2
,
..., Q
k
i koje su date relacijama
z
1
= f
1
(x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
),
z
2
= f
2
(x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
)
...
z
m
= f
m
(x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
)
ili u vektorskom obliku Z = F (X, Q).

Ove prekidake funkcije nazivaju se funkcijama izlaza sekvencijalne mree.

Potrebno je definisati od kojih signala i kako zavisi vektor stanja. Ta zavisnost je
data relacijama
Q
1
(t+1) = g
1
(x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
),
Q
2
(t+1) = g
2
(x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
)
...
Q
k
(t+1) = g
k
(x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
)
ili u vektorskom obliku Q (t+1) = G (X, Q). Sa t+1 je oznaen trenutak t+t
kada je promena vektora stanja zapoeta u trenutku t u sekvencijalnoj mrei koja
unosi kanjenje t zavrena. Trenuci t i t+1 se nazivaju sadanjim i sledeim
trenutkom.

Ove prekidake funkcije nazivaju se funkcijama prelaza sekvencijalne mree.

Funkcije izlaza i prelaza potpuno definiu funkciju, ili kako se esto kae, zakon
funkcionisanja sekvencijalne mree.

7
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.1 OSNOVNI POJMOVI

Izlazni vektor Z sekvencijalne mree u trenutku t
i
ne mora zavisiti od vektora X
prisutnog na ulazima mree u tom trenutku, ali mora zavisiti od stanja Q u
kojem se mrea nalazi.

Za sekvencijalnu mreu kod koje izlazni vektor Z u trenutku t
i
zavisi od stanja Q
u kojem se mrea nalazi i od vektora X prisutnog na njenim ulazima u tom
trenutku, kae se da Mealy-jevog tipa. S toga su funkcije izlaza sekvencijalne
mree Mealy-jevog tipa date relacijama
z
1
= f
1
(x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
),
z
2
= f
2
(x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
)
...
z
m
= f
m
(x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
)
ili u vektorskom obliku Z = F (X, Q).

Za sekvencijalnu mreu kod koje izlazni vektor Z u trenutku t
i
zavisi samo od
stanja Q u kojem se mrea nalazi, a ne i od vektora X prisutnog na njenim
ulazima u tom trenutku, kae se da Moor-ovog tipa. S toga su funkcije izlaza
sekvencijalne mree Moor-ovog tipa date relacijama:
z
1
= f
1
(Q
1
, Q
2
, ..., Q
k
),
z
2
= f
2
(Q
1
, Q
2
, ..., Q
k
)
...
z
m
= f
m
(Q
1
, Q
2
, ..., Q
k
)
ili u vektorskom obliku Z = F (Q).

Funkcije prelaza sekvencijalne mree su u oba sluaja date relacijama
Q
1
(t+1) = g
1
(x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
),
Q
2
(t+1) = g
2
(x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
)
...
Q
k
(t+1) = g
k
(x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
)
ili u vektorskom obliku Q (t+1) = G (X, Q).

Svako preslikavanje ulaznih vektora u izlazni niz koje realizuje neka
sekvencijalna mrea Mealy-jevog tipa moe se realizovati i sekvencijalnom
mreom Moor-ovog tipa i obratno. U optem sluaju, sekvencijalna mrea
Moor-ovog tipa ima vie stanja od funkcionalno ekvivalentne sekvencijalne
mree Mealy-jevog tipa.
8
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.1 OSNOVNI POJMOVI

Kombinacione prekidake mree se realizuju kao kompozicija logikih
elemenata.

Sekvencijalne prekidake mree se realizuju kao kompozicija logikih i
memorijskih elemenata.

ema koja pokazuje kako su povezani logiki elementi u kombinacionoj
prekidakoj mrei ili logiki i memorijski elementi u sekvencijalnoj prekidakoj
mrei predstavlja strukturnu emu prekidake mree.

Odreivanje zakona funkcionisanja prekidake mree na osnovu strukturne
eme je predmet analize prekidakih mrea.

Odreivanje strukturne eme prekidake mree na osnovu zakona
funkcionisanja je predmet sinteze prekidakih mrea.

9
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.2 LOGIKI ELEMENTI

Logiki elementi realizuju neke jednostavne prekidake funkcije jedne i dve
promenljive i imaju samo jedan izlaz.

Postoji vie logikih elemenata.

Logiki element se opisuje
1. zakonom funkcionisanja koji je dat prekidakom funkcijom koju realizuje,
2. grafikim simbolom kojim se oznaava u strukturnim emama i
3. nazivom koji predstavlja njegovo ime.

Logiki elementi su dati na slici 3 koja sadri:
1. zakonom funkcionisanja u prvoj koloni,
2. grafiki simbol u drugoj koloni i
3. naziv u treoj koloni.
10
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.2 LOGIKI ELEMENTI

ZAKON
FUNKCIONISANJA
GRAFIKI SIMBOL NAZIV
f = x
f x

POJAAVA
(BAFER)
f = x
f x

NE
(INVERTOR)
f = x
1
x
2
...x
n

.
.
.
x
2
. .. .
f
x
1
x
n

I
f = x
1
+x
2
+...+x
n

.
.
.
x
2 f
x
1
x
n
+ ++ +

ILI
f =
n 2 1
x ... x x .
.
.
x
2
. .. .
f
x
1
x
n

NI
f =
n 2 1
x ... x x + + + .
.
.
x
2 f
x
1
x
n
+ ++ +

NILI
f = x
1
x
2

x
1 f
x
2
xor

EKSILI
f =
2 1
x x
x
1 f
x
2
xor

EKSNILI
Slika 3 Osnovni logiki elementi

11
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.2 LOGIKI ELEMENTI

Napomena: Treba uoiti da su na slici 3 samo logiki elementi EKSILI i
EKSNILI dati sa dva ulaza, dok su logiki elementi I, NI, ILI i NILI dati sa n
ulaza.

Logiki elementi EKSILI i EKSNILI su dati sa dva ulaza jer je tada
2 1
x x = x
1
x
2
i EKSILI i EKSNILI realizuju komplementarne prekidake
funkcije.

Logiki elementi EKSILI i EKSNILI nisu dati sa n ulaza jer je
1.
n 2 1
x ... x x = x
1
x
2
... x
n
ako je n parno, pa EKSILI i
EKSNILI realizuju komplementarne prekidake funkcije, i
2. x
1
x
2
... x
n
= x
1
x
2
... x
n
ako je n neparno, pa EKSILI i
EKSNILI realizuju istu prekidaku funkciju.

Objanjenje:
Prekidake funkcija x
1
x
2
... x
n
ima vrednost 1 na vektorima sa neparnim
brojem jedinica, dok prekidake funkcija x
1
x
2
... x
n
ima vrednost 1 na
vektorima sa parnim brojem nula. Zbog toga
1. ako je n parno, onda vektori sa neparnim brojem jedinica imaju i neparan
broj nula, pa vredi
n 2 1
x ... x x = x
1
x
2
... x
n

2. ako je n neparno, onda vektori sa neparnim brojem jedinica imaju paran
broj nula, pa vredi x
1
x
2
... x
n
= x
1
x
2
... x
n
.


Napomena: Za logike elemente NE, NI i NILI ponekad se koriste i alternativni
grafiki simboli dati na slici 4.
f x
.
.
.
x
2
. .. .
f
x
1
x
n
.
.
.
x
2 f
x
1
x
n
+ ++ +

Slika 4.a Alternativni
grafiki simbol za NE
Slika 4.b Alternativni
grafiki simbol za NI
Slika 4.c Alternativni
grafiki simbol za NILI



12
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.2 LOGIKI ELEMENTI

Sa slike 3 se vidi da
1. POJAAVA i NE element realizuju obe prekidake funkcije koje zavise
od jedne promenljive,
2. I, ILI, NI, NILI, EKSILI i EKSNILI realizuju est prekidakih funkcija
koje zavise od dve promenljive , dok se
3. preostale etiri prekidake funkcije koje zavise od dve promenljive se
danas retko realizuju posebnim logikim elementima.

13
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.2 LOGIKI ELEMENTI

POJAAVA i NE element se esto realizuju u verziji poznatoj pod nazivom
logiki element sa tri stanja (slika 5).
f x f x
E E

Slika 5.a Pojaava sa "tri stanja" Slika 5.b NE element sa "tri stanja"

Logiki element sa tri stanja ima:
1. ulazni signal x,
2. izlazni signal f i
3. upravljaki signal E (Enable).

Logiki element sa tri stanja funkcionie na sledei nain:
1. pri vrednosti 1 upravljakog signala E izlazni signal f ima
1.1. istu vrednost kao ulazni signal x za POJAAVA i
1.2. invertovanu vrednost ulaznog signala x za NE element
2. pri vrednosti 0 upravljakog signala E izlazni signal f je u stanju visoke
impedanse ("tree stanje") i izlazna linija je odvojena od logikog elementa
("prekinuta").

Iako se svi logiki elementi mogu realizovati i u verziji sa "tri stanja", uglavnom
se koriste POJAAVA i NE element.

14
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.2 LOGIKI ELEMENTI

Osnovni parametri logikog elementa su:
1. zakon funkcionisanja,
2. broj ulaza,
3. maksimalno optereenje izlaza i
4. kanjenje signala.

Zakon funkcionisanja je prekidaka funkcija koju element realizuje.

Broj ulaza odreuje koliko se signala moe dovesti na ulaze logikog elementa.

Maksimalno optereenje izlaza sa daje u obliku celog broja koji pokazuje na
koliko se ulaza drugih logikih elemenata moe voditi signal sa izlaza
posmatranog logikog elementa.

Kanjenje signala je vremenski interval izmeu trenutka promene ulaznih
signala i trenutka uspostave odgovarajue vrednosti izlaznog signala.

Ostali parametri logikog elementa su:
1. napon napajanja,
2. disipacija snage,
3. temperaturni opseg pouzdanog rada itd.

15
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.3 STRUKTURA KOMBINACIONIH MREA

Osnovni nain povezivanja logikih elemenata je redna veza (slika 6).
x
j
x
1
x
n
E
2
g
x
1
x
n
E
1
f
h

Slika 6 Redna veza
Sa E
1
i E
2
oznaeni su proizvoljni logiki elementi koji realizuju prekidake
funkcije f(x
1
, x
2
, ..., x
n
) i g(x
1
, x
2
, ..., x
n
), respektivno. Izlaz elementa E
2
vezan je
na ulaz x
j
elementa E
1
. Redna veza logikih elemenata E
2
i E
1
daje prekidaku
funkciju h
h(x
1
, x
2
, ..., x
n
) = f(x
1
, ..., g(x
1
, x
2
, ..., x
n
), ..., x
n
)
koja predstavlja superpoziciju prekidakih funkcija koje ti logiki elementi
realizuju.

S obzirom na to
da redna veza logikih elemenata odgovara superpoziciji prekidakih funkcija
koje ti logiki elementi realizuju
i
da se svaka prekidaka funkcija moe dobiti superpozicijom onih prekidakih
funkcija pomou kojih se moe napisati
sledi
da se svaka prekidaka funkcija moe realizovati korienjem redne veze
logikih elemenata koji realizuju prekidake funkcije pomou kojih se
prekidaka funkcija moe napisati.

16
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.3 STRUKTURA KOMBINACIONIH MREA

Skup prekidakih funkcija pomou kojih se prekidaka funkcija moe napisati
predstavlja bazis prekidakih funkcija.

Skup logikih elemenata koji realizuju sve prekidake funkcije nekog bazisa
prekidakih funkcija predstavlja bazis logikih elemenata.

Logiki elementi sa slike 3 obrazuju veliki broj bazisa logikih elemenata.
Najvei praktini znaaj imaju bazisi sa
1. logikim elementima NE, I i ILI,
2. logikim elementom NI i
3. logikim elementom NILI.
Razlozi za to su tehnoloke prirode jer poluprovodnike tehnologije koje se sada
koriste najee kao osnovni logiki element daju NI ili NILI a sve ostale kao
izvedene.

17
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.3 STRUKTURA KOMBINACIONIH MREA

Mogui nain povezivanja logikih elemenata je i paralelna veza (slika 7).
x
j
x
1
x
n
E
2
g
h
x
j
x
1
x
n
E
1
f

Slika 7 Paralelna veza
Sa E
1
i E
2
oznaeni su proizvoljni logiki elementi koji realizuju prekidake
funkcije f(x
1
, x
2
, ..., x
n
) i g(x
1
, x
2
, ..., x
n
), respektivno. Izlazi elemenata E
2
i E
1

vezani su direktno meusobno. Paralelna veza logikih elemenata E
2
i E
1

1. u nekim tehnologijama nije dozvoljena,
2. u nekim tehnologijama daje ILI daje prekidaku funkciju pa je
h(x
1
, x
2
, ..., x
n
) = f(x
1
, x
2
, ..., x
n
) + g(x
1
, x
2
, ..., x
n
) dok
3. u nekim tehnologijama daje I daje prekidaku funkciju pa je
h(x
1
, x
2
, ..., x
n
) = f(x
1
, x
2
, ..., x
n
) g(x
1
, x
2
, ..., x
n
).

Redna veza logikih elemenata je osnovna i dovoljna. Paralelna veza se koristi
samo u posebnim sluajevima. Zato se podrazumeva da se koristi redna veza
logikih elemenata.

18
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.3 STRUKTURA KOMBINACIONIH MREA

Kompozicija logikih elemenata u kojoj nema povratnih veza predstavlja
kombinacionu mreu. Pritom se povratnom vezom smatra put kojim signal moe
proi od izlaza nekog logikog elementa do njegovog ulaza.

Napomena: Kompozicija logikih elemenata sa povratnim vezama najee
predstavlja sekvencijalnu mreu, ali u nekom sluajevima moe predstavljati i
kombinacionu mreu. Kombinacione mree kao kompozicija logikih elemenata
sa povratnim vezama nikada se ne koriste.

Strukturna ema kombinacione mree realizovane kao kompozicija logikih
elemenata bez povratnih veza je data na slici 8. Sa E
1
do E
9
oznaeni su logiki
elementi. Kombinaciona mrea realizuje prekidake funkcije z
1
(x
1
, x
2
, x
3
, x
4
,
x
5
), z
2
(x
1
, x
2
, x
3
, x
4
, x
5
) i z
3
(x
1
, x
2
, x
3
, x
4
, x
5
).

2 22 2
x
3
x
5

5 55 5
x
4

6 66 6

4 44 4
x
2

1 11 1
x
3
x
1
x
4

3 33 3
x
4
x
1
x
5

7 77 7
x
5

8 88 8
x
2

9 99 9
z
1
z
2
z
3

Slika 8 Kombinaciona mrea

19
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.3 STRUKTURA KOMBINACIONIH MREA

Za logiki element se kae da pripada
i-tom stepenu ili i-tom nivou kombinacione mree
ako je i najvei broj logikih elemenata kroz koje prolazi signal od ulaza mree
do izlaza posmatranog elementa.

Na osnovu toga se zakljuuje da logiki elementi pripada
prvom stepenu kombinacione mree ako na njegove ulaze dolaze samo
spoljanji signali i
i-tom stepenu kombinacione mree ako je i-1 najvei stepen barem jednog od
logikih elemenata iji su izlazi vezani na ulaze posmatranog logikog elementa.

Za kombinacionu mreu se kae da je i-tog stepena ako je i najvei stepen barem
jednog od logikih elemenata u toj mrei.

U kombinacionoj mrei sa slike 8
prvom stepenu pripadaju logiki elementi E
1
, E
2
i E
3
,
drugom stepenu pripadaju logiki elementi E
4
, E
5
i E
6
,
treem stepenu pripadaju logiki elementi E
7
i E
8
, i
etvrtom stepenu pripada logiki element E
9
.

Cela kombinaciona mrea je etvrtog stepena.

20
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.4 MEMORIJSKI ELEMENTI

Memorijski elementi su elementarne sekvencijalne mree sa samo dva stanja i
imaju dva izlaza na kojima se pojavljuje direktna i komplementarna vrednost
signala stanja.

Memorijski elementi se nazivaju i flip-flopovi (FF).

Flip-flopovi se mogu podeliti na asinhrone i taktovane (sinhrone).

21
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.4 MEMORIJSKI ELEMENTI
IV.4.1 ASINHRONI FLIP-FLOPOVI

Postoji jedan tip asinhronog flip-flopa i to:
1. asinhroni RS flip-flop

Asinhroni flip-flop se opisuje
1. zakonom funkcionisanja koji je dat funkcijom prelaza i tablicom,
2. grafikim simbolom kojim se oznaava u strukturnim emama i
3. nazivom koji predstavlja njegovo ime.

Opis asinhronog RS flip-flop je dat na slici 9 koja sadri:
1. zakonom funkcionisanja u prvoj koloni,
2. grafiki simbol u drugoj koloni i
3. naziv u treoj koloni.

S
Q
R
Q
Q(t+1) = S + RQ
SR = 0
S Q(t+1)
0
1
0
1
1
R
0
0
1
1
0
Q
?
RS FF
ZAKON
FUNKCIONISANJA
GRAFICKI SIMBOL NAZIV

Slika 9 Asinhroni RS flip-flop
22
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.4 MEMORIJSKI ELEMENTI
IV.4.1 ASINHRONI FLIP-FLOPOVI

Ulazi su oznaeni sa R (Reset) i S (Set), a izlaz sa Q. Naziv RS je komponovan
od oznaka ulaza.

Asinhroni flip-flopa RS tipa funkcionie na sledei nain:
1. ako je na ulazu S jedinica a na ulazu R nula, na izlazu Q se uspostavlja
jedinica,
2. ako je na ulazu S nula a na ulazu R jedinica, na izlazu Q se uspostavlja
nula,
3. ako su na ulazima S i R nule, na izlazu Q se ne menja zadnja uspostavljena
vrednost signala, dok
4. jedinice na ulazima S i R nisu dozvoljene.
23
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.4 MEMORIJSKI ELEMENTI
IV.4.1 ASINHRONI FLIP-FLOPOVI

Osnovni parametri asinhronog flip-flopa su:
1. ulazni signali,
2. zakon funkcionisanja,
3. maksimalno optereenje izlaza i
4. vremenski parametri.

Ulazni signali odreuje koliko ima ulaznih signala i njihove oznake.

Zakon funkcionisanja je dat funkcijom prelaza.

Maksimalno optereenje izlaza sa daje u obliku celog broja koji pokazuje na
koliko se ulaza drugih logikih elemenata i/ili memorijskih elemenata se moe
voditi signal sa izlaza flip-flopa.

Vremenski parametri su:
Vreme zadravanja je minimalno vreme zadravanja vrednosti ulaznih signala
posle promene neophodno da bi se flip-flop ponaao saglasno zakonu
funkcionisanja.
Kanjenje signala je vremenski interval izmeu trenutka kada zapoinje
promena stanja (to je trenutak promene ulaznog vektora) i trenutka kada se ta
promena zavri.

Ostali parametri asinhronog flip-flopa su:
1. napon napajanja,
2. disipacija snage,
3. temperaturni opseg pouzdanog rada itd.



24
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.4 MEMORIJSKI ELEMENTI
IV.4.2 TAKTOVANI FLIP-FLOPOVI

Kod taktovanih flip-flopova pored ulaznih signala koji zavise od tipa flip-flopa
postoji obavezno jo jedan ulazni signal koji se naziva signal takta. Pri vrednost
0 signala takta flip-flop se zadrava u sadanjem stanju neogranieno vreme
nezavisno od vrednosti preostalih ulaznih signala. Pri vednosti 1 signala takta
flip-flop moe da pree iz sadanjeg u sledee stanje saglasno funkciji prelaza
flip-flopa.

Postoje etiri tipa taktovanih flip-flopova i to:
1. taktovani RS flip-flop
2. taktovani D flip-flop
3. taktovani T flip-flop
4. taktovani JK flip-flop

Taktovani flip-flopovi se opisuju
1. zakonom funkcionisanja koji je dat funkcijom prelaza i tablicom,
2. grafikim simbolom kojim se oznaava u strukturnim emama i
3. nazivom koji predstavlja njegovo ime.

Opis taktovanih flip-flopova je dat na slici 10 koja sadri:
1. zakonom funkcionisanja u prvoj koloni,
2. grafiki simbol u drugoj koloni i
3. naziv u treoj koloni.

25
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.4 MEMORIJSKI ELEMENTI
IV.4.2 TAKTOVANI FLIP-FLOPOVI

Q(t+1) = D
D Q(t+1)
0
1
0
1
ZAKON
FUNKCIONISANJA
GRAFICKI SIMBOL NAZIV
D
Q
C
Q
T
Q
C
Q
S
Q
R
C
Q
J
Q
K
C
Q
Q(t+1) = TQ + TQ
Q(t+1) = JQ + KQ
Q(t+1) = S + RQ
SR = 0
S Q(t+1)
0
1
0
1
1
R
0
0
1
1
0
Q
?
K Q(t+1)
0
1 0
1
1
J
0
0
1
1
0
Q
Q
T Q(t+1)
0
1
Q
Q
D FF
T FF
RS FF
JK FF

Slika 10 Taktovani flip-flopovi
26
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.4 MEMORIJSKI ELEMENTI
IV.4.2 TAKTOVANI FLIP-FLOPOVI

Taktovani flip-flop RS tipa

Taktovani flip-flop RS tipa pored signala takta C ima i jo dva ulazna signala i
to signale R i S. Izlazni signal je signal stanja Q.

Ako je vrednosti signala takta C nula, ulazni signali R i S mogu da se menjaju,
ali se vrednost izlaznog signala Q ne menja.

Ako je vrednosti signala takta C jedinica, ulazni signali R i S ne smeju da se
menjaju i tada:
1. ako je na ulazu S jedinica a na ulazu R nula, na izlazu Q se uspostavlja
jedinica,
2. ako je na ulazu S nula a na ulazu R jedinica, na izlazu Q se uspostavlja
nula,
3. ako su na ulazima S i R nule, na izlazu Q se ne menja zadnja uspostavljena
vrednost signala, dok
4. jedinice na ulazima S i R nisu dozvoljene.

Funkcija prelaza taktovanog RS flip-flopa je
za C = 0
Q(t+1) = Q, dok je
za C = 1
Q(t+1) = Q R S +
pri emu mora da bude zadovoljeno da je
S R = 0

27
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.4 MEMORIJSKI ELEMENTI
IV.4.2 TAKTOVANI FLIP-FLOPOVI

Taktovani flip-flop D tipa

Taktovani flip-flop D tipa pored signala takta C ima i jo jedan ulazni signal i to
signal D. Izlazni signal je signal stanja Q.

Ako je vrednosti signala takta C nula, ulazni signal D moe da se menja, ali se
vrednost izlaznog signala Q ne menja.

Ako je vrednosti signala takta C jedinica, ulazni signal D ne sme da se menja i
tada:
1. ako je na ulazu D jedinica, na izlazu Q se uspostavlja jedinica i
2. ako je na ulazu D nula, na izlazu Q se uspostavlja nula.

Funkcija prelaza taktovanog D flip-flop je
za C = 0
Q(t+1) = Q, dok je
za C = 1
Q(t+1) = D

28
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.4 MEMORIJSKI ELEMENTI
IV.4.2 TAKTOVANI FLIP-FLOPOVI

Taktovani flip-flop T tipa

Taktovani flip-flop T tipa pored signala takta C ima i jo jedan ulazni signal i to
signal T. Izlazni signal je signal stanja Q.

Ako je vrednosti signala takta C nula, ulazni signal T moe da se menja, ali se
vrednost izlaznog signala Q ne menja.

Ako je vrednosti signala takta C jedinica, ulazni signal T ne sme da se menja i
tada:
1. ako je na ulazu T nula, na izlazu Q se ne menja zadnja uspostavljena
vrednost signala i
2. ako je na ulazu T jedinica, na izlazu Q se invertuje zadnja uspostavljena
vrednost signala.

Funkcija prelaza taktovanog T flip-flopa je
za C = 0
Q(t+1) = Q, dok je
za C = 1
Q(t+1) = Q T Q T +

29
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.4 MEMORIJSKI ELEMENTI
IV.4.2 TAKTOVANI FLIP-FLOPOVI

Taktovani flip-flop JK tipa

Taktovani flip-flop JK tipa pored signala takta C ima i jo dva ulazna signala i to
signale J i K. Izlazni signal je signal stanja Q.

Ako je vrednosti signala takta C nula, ulazni signali J i K mogu da se menjaju,
ali se vrednost izlaznog signala Q ne menja.

Ako je vrednosti signala takta C jedinica, ulazni signali J i K ne smeju da se
menjaju i tada:
1. ako je na ulazu J jedinica a na ulazu K nula, na izlazu Q se uspostavlja
jedinica,
2. ako je na ulazu J nula a na ulazu K jedinica, na izlazu Q se uspostavlja
nula,
3. ako su na ulazima J i K nule, na izlazu Q se ne menja zadnja uspostavljena
vrednost signala i
4. ako su na ulazima J i K jedinice, na izlazu Q se invertuje zadnja
uspostavljena vrednost signala.

Funkcija prelaza taktovanog JK flip-flopa je
za C = 0
Q(t+1) = Q, dok je
za C = 1
Q(t+1) = Q K Q J +

30
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.4 MEMORIJSKI ELEMENTI
IV.4.2 TAKTOVANI FLIP-FLOPOVI

Funkcije prelaza flip-flopova u obliku Bulovih izraza koriste se u analizi
sekvencijalnih mrea.

Za sintezu sekvencijalnih mrea koriste se kombinacione tablice funkcija
pobude flip-flopova koje se nazivaju tablicama pobude flip-flopova. Funkcije
pobuda flip-flopova definiu vrednosti ulaznih signala koje treba dovesti na
ulaze flip-flopova da bi preao iz sadanjeg stanja Q u sledee stanje Q(t+1) i to
za sve etiri kombinacije Q i Q(t+1) (00, 01, 10 i 11).

Tablice pobuda su date na slici 11.
31
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.4 MEMORIJSKI ELEMENTI
IV.4.2 TAKTOVANI FLIP-FLOPOVI

Q Q(t+1)
0
0 1
0
1
1
0
1
R
b
0
1
0
S
0
1
0
b
Q Q(t+1)
0
0 1
0
1
1
0
1
J
0
1
b
b
K
b
b
1
0
Q Q(t+1)
0
0 1
0
1
1
0
1
D
0
1
0
1
Q Q(t+1)
0
0 1
0
1
1
0
1
T
0
1
1
0

Slika 11 Tablice pobuda flip-flopova

32
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.4 MEMORIJSKI ELEMENTI
IV.4.2 TAKTOVANI FLIP-FLOPOVI

Osnovni parametri taktovanih flip-flopova su:
1. ulazni signali,
2. zakon funkcionisanja,
3. maksimalno optereenje izlaza i
4. vremenski parametri.

Ulazni signali odreuje koliko ima ulaznih signala i njihove oznake.

Zakon funkcionisanja je dat funkcijom prelaza.

Maksimalno optereenje izlaza sa daje u obliku celog broja koji pokazuje na
koliko se ulaza drugih logikih elemenata i/ili memorijskih elemenata se moe
voditi signal sa izlaza flip-flopa.

Vremenski parametri su (slika 12):

irina impulsa t
w
se definie kao minimalno vreme u kojem se mora zadrati
aktivna vrednost signala takta da bi flip-flop preao iz sadanjeg u sledee
stanje.
Vreme postavljanja t
su
je minimalno vreme izmeu promene ulaznih signala i
promene signala takta sa vrednost 0 na vrednost 1 neopodno da bi se flip-flop
ponaao saglasno zakonu funkcionisanja.
Vreme zadravanja t
h
je minimalno vreme zadravanja vrednosti ulaznih
signala posle promene signala takta sa vrednosti 0 na vrednost 1 neopodno da
bi se flip-flop ponaao saglasno zakonu funkcionisanja.
Kanjenje t
d
je vremenski interval izmeu trenutka kada se signal takta
promeni sa vrednost 0 na vrednost 1 i trenutka kada sa promena stanja zavri.
t
w
t
su
t
h
0
1
C
0
1
ULAZNI
SIGNALI
0
1
Q
t
d

Slika 12 Vremenski parametri taktovanih flip-flopova

33
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.4 MEMORIJSKI ELEMENTI
IV.4.2 TAKTOVANI FLIP-FLOPOVI

Ostali parametri taktovanih flip-flopova su:
1. napon napajanja,
2. disipacija snage,
3. temperaturni opseg pouzdanog rada itd.
34
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.5 STRUKTURA SEKVENCIJALNIH MREA

Sekvencijalna mrea se najee realizuje prema strukturnoj emi sa slike 13 za
koju se kae da predstavlja kanoniki ili Huffman-Moor-ov model sekvencijalne
mree.
Z = F(X,Q)
P = H(X,Q)
K
S
C
S
Q
S
1
R
1
S
C
S
Q
S
k
R
k
Q
1
Q
k
Q
Q
Q
1
Q
k
z
2
z
1
z
m
x
2
x
1
x
n

Slika 13 Kanoniki model sekvencijalne mree

Po ovom modelu sekvencijalna mrea je kompozicija
1. kombinacione mree oznaene sa K i
2. flip-flopova Q
1
, Q
2
, ..., Q
k
.

Kombinaciona mrea K realizuje funkcije izlaza i funkcije pobude flip-flopova.
Za realizaciju kombinacione mree moe da se koristi bilo koji bazis logikih
elemenata.

Flip-flopovi Q
1
, Q
2
, ..., Q
k
realizuju stanje sekvencijalne mree. Za realizaciju
stanja sekvencijalne mree moe da se koristi bilo koji tip flop-flopova.



35
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.5 STRUKTURA SEKVENCIJALNIH MREA

Funkcije izlaza sekvencijalne mree date su skupom prekidakih funkcija z
1
, z
2
,
..., z
m
koje zavise od nezavisno promenljivih x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
.
Funkcije izlaza z
1
, z
2
, ..., z
m
su date relacijama
z
1
= f
1
(x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
),
z
2
= f
2
(x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
)
...
z
m
= f
m
(x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
)
ili u vektorskom obliku Z = F (X, Q), gde je Z = z
1
z
2
... z
m
izlazni vektor, X =
x
1
x
2
... x
n
ulazni vektor i Q = Q
1
, Q
2
, ..., Q
k
vektor stanja sekvencijalne mree.
Ove prekidake funkcije nazivaju se funkcijama izlaza sekvencijalne mree.

36
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.5 STRUKTURA SEKVENCIJALNIH MREA

Funkcije pobuda FF-ova u sluaju sekvencijalne mree realizovane SR FF-
ovima date su skupom prekidakih funkcija S
1
, R
1
, ..., S
k
, R
k
koje zavise od
nezavisno promenljivih x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
. Funkcije pobuda S
1
, R
1
, ...,
S
k
, R
k
su date relacijama
S
1
=
S
1
h (x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
),
R
1
=
R
1
h (x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
)
...
S
k
=
S
k
h (x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
)
R
k
=
R
k
h (x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
)
ili u vektorskom obliku P = H (X, Q), gde je P = S
1
R
1
... S
k
R
k
vektor pobude
flip-flopova.
Ove prekidake funkcije nazivaju se funkcijama pobude sekvencijalne mree.

Uvrtavanjem funkcija pobude flip-flopova u funkcije prelaza flip-flopova
dobijaju se funkcije prelaza. Za SR flip-flopove funkcija prelaza je Q(t+1) =
Q R S+ .

Funkcije prelaza flip-flopova u sluaju sekvencijalne mree realizovane SR flip-
flopovima su date relacijama
Q
1
(t+1) = g
1
(x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
),
Q
2
(t+1) = g
2
(x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
)
...
Q
k
(t+1) = g
k
(x
1
, x
2
, ..., x
n
, Q
1
, Q
2
, ..., Q
k
)
ili u vektorskom obliku Q (t+1) = G (X, Q). U njima je Q vektor sadanjeg
stanja, a Q(t+1) vektor sledeeg stanja sekvencijalne mree.
Ove prekidake funkcije nazivaju se funkcijama prelaza sekvencijalne mree

Funkcije izlaza i funkcije prelaza definiu zakon funkcionisanja sekvencijalne
mree.

37
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.5 STRUKTURA SEKVENCIJALNIH MREA

Za sekvencijalnu mreu sa taktovanim flip-flopovima, kao na slici 13, kae se da
je taktovana ili sinhrona. Vrednost signala takta na ulazima C svih flip-flopova
taktovane sekvencijalne mree menja se u istom trenutku.

Dok je signal takta na vrednosti 0 taktovana sekvencijalna mrea ostaje u
sadanjem stanju nezavisno od ulaznog vektora X. To znai da je Q(t+1) = Q za
svaki ulazni vektor X, pa se kae da je svako stanje Q stabilno za svaki ulazni
vektor X. U stabilnom stanju moe se koristiti izlazni vektor Z taktovane
sekvencijalne mree kojeg generie kombinaciona mrea K saglasno funkcijama
izlaza.

Prelaz iz sadanjeg u sledee stanje kod taktovane sekvencijalne mree postaje
mogu kada se signal takta promeni sa vrednosti 0 na vrednost 1. Tada sadanje
stanje Q postaje nestabilno jer zapoinje prelaz flip-flopova u sledee stanje
saglasno funkcijama prelaza i to na osnovu signala pobude na njihovim ulazima
koje generie kombinaciona mrea K saglasno funkcijama pobude. Signal takta
zadrava vrednost 1 samo koliko je neophodno da flip-flopovi preu iz
sadanjeg u sledee stanje i vraa se na vrednost 0 pre nego to bi mogao da
zapone novi prelaz. U tom trenutku sledee stanje postaje stabilno i posmatra
se kao sadanje. Od tog trenutka i kombinaciona mrea K generie novi izlazni
vektor Z.

Trenuci promene signala sa vrednosti 0 na vrednost 1 predstavljaju trenutke
takta. Signal takta je periodian signal impulsnog tipa, pa se vremenski interval
izmeu dva uzastopna trenutka takta t
i
i t
i-1
naziva perioda signala takta i
oznaava sa T.
38
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.5 STRUKTURA SEKVENCIJALNIH MREA

Ako se flip-flopovi na slici 13 zamisle bez signala takta dobija se kompozicija
asinhronih flip-flopova RS tipa i kombinacione mree K koja moe predstavljati
asinhronu sekvencijalnu mreu.

Asinhrona sekvencijalna mrea ima sve ulazne signale ravnopravne. Za svaki
ulazni vektor X mora da postoji barem jedno stabilno stanje Q. Prelaz iz
sadanjeg stabilnog stanja u sledee stabilno stanje moe prouzrokovati samo
promena ulaznog vektora. Pritom mrea moe proi kroz vie nestabilnih stanja.
Izlazni vektor Z asinhrone sekvencijalne mree moe se koristiti samo u
stabilnom stanju.

39
IV. FUNKCIJE I STRUKTURA PREKIDAKIH MREA
IV.5 STRUKTURA SEKVENCIJALNIH MREA

Sekvencijalna mrea (taktovana i asinhrona) preslikava svaki niz vektora koji su
prisutni na ulazima mree u diskretnim vremenskim trenucima t
1
, t
2
, ..., t
i
, t
i+1
, ...
u niz izlaznih vektora. Sekvencijalna mrea preslikava vektor prisutan na njenim
ulazima u trenutku t
i
u razliite izlazne vektore, to zavisi od stanja u kome se
mrea nalazi u trenutku t
i
. S druge strane, stanje sekvencijalne mree u trenutku
t
i
jednoznano je odreeno stanjem u kojem se mrea nalazila u trenutku t
1
i
nizom vektora koji su bili prisutni na ulazima mree u trenucima t
1
, t
2
, ..., t
i-1
.
Prema tome, izlazni vektor sekvencijalne mree u trenutku t
i
zavisi ne samo od
vektora prisutnog na ulazima u tom trenutku ve i od niza vektora koji su bili
prisutni na ulazima u trenucima t
1
, t
2
, ..., t
i-1
. Zbog toga se kae da sekvencijalna
mrea pamti predistoriju i da ima memoriju.

You might also like