You are on page 1of 1

library ieee;

use ieee.std_logic_1164.all;
package constants is
constant fetch1:std_logic_vector(3 downto 0);
constant fetch2:std_logic_vector(3 downto 0);
constant fetch3:std_logic_vector(3 downto 0);
constant add1:std_logic_vector(3 downto 0);
constant add2:std_logic_vector(3 downto 0);
constant and1:std_logic_vector(3 downto 0);
constant and2:std_logic_vector(3 downto 0);
constant jmp1:std_logic_vector(3 downto 0);
constant inc1:std_logic_vector(3 downto 0);
constant add:std_logic_vector(1 downto 0);
constant an:std_logic_vector(1 downto 0);
constant jmp:std_logic_vector(1 downto 0);
constant inc:std_logic_vector(1 downto 0);
constant t1:std_logic_vector(2 downto 0);
constant t2:std_logic_vector(2 downto 0);
constant t3:std_logic_vector(2 downto 0);
constant t4:std_logic_vector(2 downto 0);
constant t5:std_logic_vector(2 downto 0);

end constants;
package body constants is
constant fetch1:std_logic_vector(3 downto 0):="0000";
constant fetch2:std_logic_vector(3 downto 0):="0001";
constant fetch3:std_logic_vector(3 downto 0):="0010";
constant add1:std_logic_vector(3 downto 0):="0100";
constant add2:std_logic_vector(3 downto 0):="0101";
constant and1:std_logic_vector(3 downto 0):="0110";
constant and2:std_logic_vector(3 downto 0):="0111";
constant jmp1:std_logic_vector(3 downto 0):="1000";
constant inc1:std_logic_vector(3 downto 0):="1111";
constant add:std_logic_vector(1 downto 0):="00";
constant an:std_logic_vector(1 downto 0):="01";
constant jmp:std_logic_vector(1 downto 0):="10";
constant inc:std_logic_vector(1 downto 0):="11";
constant t1:std_logic_vector(2 downto 0):="000";
constant t2:std_logic_vector(2 downto 0):="001";
constant t3:std_logic_vector(2 downto 0):="010";
constant t4:std_logic_vector(2 downto 0):="011";
constant t5:std_logic_vector(2 downto 0):="100";
end constants ;

You might also like