You are on page 1of 4

DPI - Direct Programming Interface

Foreign language Interface for System Verilog


Simple and Easy to use unlike Verilog PLIs

Works with any Foreign Language C, C++ etc

Both layers are transparent to each other in terms of


implementation

Verification with System Verilog

3/11/2014

Imported Functions/Tasks
Functions implemented in C and given import declarations in
System Verilog can be called from System Verilog

SV Code

Verification with System Verilog

C Code

3/11/2014

Exported Functions/Tasks
Functions implemented in System Verilog and specified in export
declarations can be called from C

SV Code

Verification with System Verilog

C Code

3/11/2014

Data Types Supported

Verification with System Verilog

3/11/2014

You might also like