You are on page 1of 34
Chapter £, “Introduction,” introduced the basic jitter and noise sources and: ‘theit: causing mechanisms in a qualitative way. Chapier 2, “Statistical 'Sipnal~ard Linear Theory for Jitter, Noise, and Signal Integrity,” introduced the necessaty statistical signaling and linear system theorems. Now we are ready to, discuss jit- ter and noise components in a quantitative way to enable advanced disciissions of Jiter separation in time, frequency, and statistical domains ’in later chapters. The jitter and noise component models will be treated in terms of statistical PDFs, and also in tems of their comesponding time series and frequency domain spectrum or PSD. We will focns first on the jitter and its component discussion, and then similar concepts will be established for naise components. 78 7% Jitter, Notse, and Signal Integrity at High-Speed 3.1 DETERMINISTIC JITTER (DJ) Recall Figuré 1.11 in, Chapter |, which classifies various jitier components and their relationships with higher-level deterministic and random jittes, The three basic deteiininisti¢ jitter types are- data-dependent jitter (DDI), periodic jittor (PD), and bounded uncorrelated jitter (BUN), DDI is composed of duty cycle dis- tortion (DCD) and ISI:'DCD is a special type of DDY when the data pattern is a clock like pattern. 3.4.1 Data-Dependent Jitter (DDJ) The following sections focus on DDI because jt is the most commonly eneoun- tered DJ type and its effect is significant as data rates increase. Also, it is the dom- inant limiting factor for the link channel or medium at multiple Gbps rates, especially for copper-based channel materials. We will first establish the DD. Amation 1 mechanism and theory for euanbative estimation} Then we will discuss 3.1.1.1. General Theory DDS is due to the “memory” of any lossy electrical or optical system such that the bit ansition time (of zero-crossing, time) of the current bit depends on the teansi- tion times of the previous biG) Equivalently, the current bit (ransition times affect ‘the future bit transition times. DDJ can be modeled through an LTT system in which an ideal data pattern is the input to the LT] system. DDJ ‘is calculated from the output waveform via its deviation of the edge transition times from the corre- spotiding ideal cdge transition times, Figure 3,1 shows DDJ modeling and estima- tion through an LTI system. ny, ve Figure 3.1. DDJ modeling and estimation through an LIT system. This figure shows a data patiern input signal with ideal rise/fall times (zero tiseffall time) to an L¥T system. If an LTT system has a non-Dirac delta impulse response function, tbe outpat paltern V,(t) has time deviations from its i a ee Dare 6 fen 1e Deterministic Jitter (OJ) 7 ideal transition times at a given threshold level.. Examples ate a 50% voltage level for a single-ended signal, or 0 voliage:tor a differential signal, assuming that there is no DC bias. Those time deviitions of At, Aty, Afy and Aty, as shown in Figure 3.1, are DDJs,-Erom the LTE theory introduced in Chapter 2, we know that . ¥,O=Worng? Equation 3.4 You can sec that DDJ has the following propeities: * DDJ depends on the impulse. response’ of the, systerh that generates the patter... + DDI depends on the input pattern V0). *{DDI is a distribution with its sunple size equal to the number of transitions of the data patent) “Ag Ab. Sty by -T + Tf the LTI system is perfect or non-lossy—nainely, V,(¢) = ¥,@), and no DDI. or zero DDI is introduced by the system,“ ‘Theoretically, to maintain exactly the same waveform between input signal and output signal, the impulse response of the LTI system needs to be a Dirac delta function, meaning an ideal step response (the integral of the impulse response) with zero rise diag, nite bandwidth-{iC the Dirac delta condition cannot be met, the edge transition deviates from the ideal, and DDY accurs. Ti general, the impact of the LT1 transfer function on the DD] can be viewed in both time and frequency domains. In the time domain, the step response gives a good indication of the DPJ,Similarty, in the frequency domain, the frequency response function for both magnitude and phase of the LTT leads the estimation of DDJ, As discussed in section 2.5 of Chapter 2, {the time domain and frequency domain are equivalent and transformable through Laplace/inverse Laplace transformation. Whether to use the time domain or frequency domain is just 4 matter of conven- ience. For instance, DDJ is a timé-domain phenomenon, so it is relatively easier or more convenient to develop « qualitative (elationship between DDY and step _esponse function characteristic paramerers, For a step response, as shown in Figure 3.2, the settling time T, gives a pod indication for the DDJ, although the exact estimation depends on the exact patiern and the shape of the step response function | tig > teat Alep eubras.e. wily 1O pee. Be 78 Jitter, Noise, and Signal Integrity at High-Speed Veltage Practical sigp function Ideal step ftinction Settling tino: To Figure 3.2 Ideal stop function, practical step function, and associated settling-time.. ‘The settling time gives a good indication of DDJ. In general, the longer the settling time, the larger the DDJ is for a given pattern Further readings on LTT application in DDJ estimation can be found in ',?, and 3, 3.14.2 DDI Estimation for an RC LT System We will illustrate how to estimate the DDI for a single pulse when the LTI system transfer fimnction is an RC circuit, as illustrated in section 2.6.2 of Chapter 2. Here we assume an RC constant of t = RC. The step response for an RC circuit is given by the following equation: ’ t in ‘4 f, 4 Pe ee ‘fori. Equation 3.2 ng yO The DDI time displacement at the 50% voltage level according to the definition shown in Figure 3. can be calculated as follows: b = deay LL ert st &n(1-50%) = 0.6931 Te Equation 3.3 Clearly, the DDI in this case is proportional to the RC constant 4. ln the case of an RC first-order system, the rise time is also proportional to the RC constant. in Cop) 4 —Yeoy 2 WT ds a) At = Tile Che Vosy ) Ppy 3.1. Detorministic vitter (OJ) 79 this context, we may say that DI circuit. This problem can also be viewed in the frequency domain. According to the transfer function developed in Example 2.4 of Chapter 2, the transfer function of an RC circuit is ag follows: also proportional to the rise time of the RC. lZ@- Vi+@e? “Equation 3.4 The 3.4B bandwidth for such a transfer function can be eslimated as follows: 4 pe bo : ke MW oo uw ere Combining equations 3,3 and 3.5 and eliminating + yields the following: ‘\c ; — ae Equation 3.6 In this case,[the DDJ is, inversely, proportional to the 3.4B bandwidth of the RC oui) For a data pattern containing many transition bits, a general analytical form. for DDJ is hard to obtain. We have to rely on equation 3.1 and the DDJ definition shown in Figure 3.1 to obtain the DDJ estimation numerically. The next section shows some simulation results. 3.1.1.3. Simulations We have pointed out that the DDJ depends on both the data pattem and the impulse/step response of the channel or medium that the signal passes through. A critical parameter that determines the magnitude of DDJ is the patiern’s run longth (the longest Ul span of consecutive 1s or Os), The fonger the run length, the larger the maximum DDI js. Because DDI is estimated from the waveform, and it is derived from a collection of all the data pattern’s edge transitions, it is best viewed in the form of an eye diagram ina cumulative or statistical manner. Here the simulation model is based on the theory established in section 3.1.1.1. 80 Jitter, Noise, and Signal integrity et High-Spead Fitst, we will show pattern effect oa the BDI. The 1:TT transfer function that we use in this exampfe is a fourth-order Bessel function. Its impulse, step, frequency-domain magnitude, and phase response functions are shown’ in Figure 3.3. We chose the Bessel filter because itis the standard receiver, model for many communication standards, such as Fibre Chatinel and Gigabit Ethernet. Bessel Filter Responses tmp. Amp as AN oe : : ae fA | as - [ od a2 - 02 ® LV + i 3 °y oe 1 Stop Phase wt Sa re 4 Time (U)) Freq, (WU) Figure 3.3. The impulse (upper left), stop (lower left), frequeney magnitude (upper right), and ~ frequency phase (lower right) response functions for a fourth-order Bessel function. The 3 4B bandwidth is 0.3 * (1/0. ‘We studied two patterns of K28.5 and PRBS 2!° — 14 and they are the com- monly used testing pattems for multiple Gbps data communication links, The DDI for those two patterns are shown in Figure 3.4:K28.5 has a run length of Ul aad, a total of 20 bis) PRBS 2! — 1 has a run length of 10 UT anda total oF (024 bits. The eye opening for the PRBS 2" — 1 is smaller than the K28.5, as we may expect due to the longer run length for PRBS 2! — 1. The DDJs are 0.2644 Ut and 0.2751 UL for K28.5 and PRBS 2!°~ 1, respectively. Second, we will show the effect of the LTT system bandwidth on the DDJ. ‘The data paticm used is a PRBS 2! — 1, and.the LTI system response function is slill a fourth-order Bessel function. However, the bandwidth is 0.6 * (1/1) in this case, Its time and frequency domain response functions are shown in Tigure 3.5. 3.1. Detstministic Jittor (DJ) Eye Diagram and Histogram Bt Eye Diagram and Histogram 15 f= oy = a ° a an re] 38 Ba 0 : | i “08-06 04-02 0 02 94 0.6 08 1 wy ch [aco “1-08-06 04-02 0 0204 08 08 1 wy Figure 9.4 Eye diagrams for deta pattern of K28.5 (a) and PRBS 2! — | (b), for the same LItL transfer function of the fourth-order Bessel-"Thompson shown in Figure 3.3. Bessel Filter Responses Imp. Amp. as KE as A + oO 1 2 3 4 Time (UN) Fee. (1/UN) Figure 3.5 The same as Figure 3,3, but with a 3 dE bandwidth of 0.6 * (I/UL), 82 Jitter, Noise, and Signal Integrity at High-Speed The eye diagram and DDI histogram corresponding to Figure 3.5 are shiown in Figure 3.6, For the 0.6 * (I/UL) bandwidth, the DDI value is 0.00036 UT, much smaller than the DDI of 0.275 UL for the case when the bandwidth is 0.3 * (/UD. As may be expected, the smaller the bandwidth, the larger the rise time, and so are the eye closure and DDI. Eye Diagram and Histogram oe 02 0 02 OF O08 O8 1 wu) Figura 9.6 The same asin Figure 3.4, part (b), but with a higher 3 6B bandwidth 0.6 * (1/UE). Notice the smaller DDJ and eye closure. It will be interesting to sce how the DDJ changes as a function of bandwidth for a given pattern and system transfer function type. We extend the exercise of this DDJ-versus-bandwidth relation stady by including more data points. ‘The results acc shown in Figure 3.7. ‘As might be expected, DDJ decreases as bandwidth increases in general, although we do observe a small ripple effect in the 0.5-0.6 (I/UI) range for the Ressel transfer function, The curve is not linear in the log-log plot, suggesting, that iL is not an exponential function. It is worth pointing out vale ficrent pat- or different J-T1 wensfer function types, the DI bw want ee ea | 4 \ 4 1a 34 Deterministle Hiter {DJ} , 93 LOG10(bDU(U)) DDJ Versus Bandwicth 02 oa ae 08 7 f 4 = LOG10(Bandwidth(1/u)) —Bessel = = + Butterworth Figure 3.7 DDJ asa function of bandwidth for a PRBS 2? - 1 pattern. The solid-tine curve is for a fourth-order Bessel transfer function, and the dashed-line curys.iséor-a fourth-order Butterworth transfer function. 3.4.1.4 Duty Cycle Distortion Duty Cycle Distortion (DCD) jitter is only for clock pattems of repeating 0101 ii isthe mean deviation of the clock pulse (either postive or negative) from bits “applicable to DCD estimation, but the pattern used needs to, be a clock paitera One common[faat,¢ & generatiofas shown in Chapter . Another s for clocks synthesized with many sub-rate clock§jen The DCD jitter is determined by two mean values of rising edge and falling edge. This, DCD can best be modeled by the dual-Dirac delta function shown in Figure 3.8, a4 reer. ww by BAS omy Yoh Hehe Ulter, Noise, and Signal Integrity at High-Speed A Prabatty Denaty Falingtising edgo mona Fisingiling edge mean “Iiteri Figure 3.8 A dual-Direc della function representing the DCD jilter PDF function. Mathematically, this duaf-Dirac function can be represented as follows: +5014 Dal Equation 3.7 3.1.15 Intersymbol Interference (ST) 181 is another component of DDI{ISI is caused by timing spread of various pulses “with different run lengths within the palier;]More specifically ISI is largely asso- _ciated with the edge transitions surrounded by.uneven. pulsés} Referring to Fig- ure 3.2, the largest interference can be expected between 2 short pulse (1 bit period of unit interval (UI)) and a tong pulse with opposite polarity (several bit periods or Us) o vice versa, For example, a bit sequence of OL L1Y1 or 100000 is expected to have the largest ISI for « pattern with 5 UL run length. Here the interference is defined as the residual difference betweén the actual waveform and the ideal wave- form, and ISI is proportional to the residual difference.fISt depends on both data patlem and channel or medium sysiem response funcligip, As a result, an ISI PDF function has no fixed and closed form, However, the convolution rule still holds for DDI components of [SI and DCD, long as thoy are independent, and that is gen- erally true for DCD and IS], becaiise they are caused by different mechanisms. If the DDI and DCD PDFs are both known, the IST PDF can be estimated using the following inverse convolution, of deconvolution, operation: Ful) = COM in, |Foco) Equation 3.8 oll 8.1 - Deterministic Jitter (DJ) 85 Coar'{ ) represents doconvolnting f,..5 ftom fp, to get f,.,. Most publications on the DDJ and IST do not differentiate between DDI and ISI. Few publications deal ‘with DCD. and IST separation.“ Those that do take a more ad’ hoc of qualitative approzch. 3.1.1.6 A General Model for DDI Because DDI is closely related to the run length of a data pattern, and there arc. oly limited run-length permutations for a given pattern, the DDJ value will be finite and discrete, Based on this, we can establish a general DDJ math model for its PDE. This method can also extend to cover the ISI and DCD generic PDF models, The following is the generic form for a DDJ PDE: 4 fot bt)= DY (ar -P2 Equation 3.9 P?” is the probability for the DDJ value of D?”. Clearly, P needs to satisfy the following probability property: ISI and DCD PDFs can also be written in the generic form of equation 3.9, but with fewer discrete values if the same resolution is used. For example, if we write the IS) PDF like this; Sug) = 3 PRIA DS) Equation 3.11 a similar condition to equation 3.10 can be found for P* a: ' Because the peak-to-peak valuc of DDI is always farger or equal to that of ISL, we always have the following inequality of max( Py) — min (D!) = max(D) = mini?) Equation 3.12 86 . . Jitter, Nolse, and Signal Intogrity at High-Speed ‘The same kind of sum of delta function method can be applied for DCD distribu- tion, so we will not repeat the derivation here. For the DCD with a dual-Dirac delta function PDF, it is in fact a special case of DDI with N = 2 and 7°? = 0.5, 3.4.2 Periodic Jitter (Pu) @eriodic jitter is 2 repeating jitter signal at a certain period or frequency?From a signal perspective, itis dhe sane as any periodic signal in, terms of frequency and phase, but its amplitude is.jittor in units of timing PJ can be viewed as bounded uncorrelated narrow-band jitter. 3.1.2.1 PDF for a Single PJ For illustration purposes, periodic jitter is essumed to be sinusoidal. Jt can be described mathematically by the followin 1 = Avast + go) Equation 3.13 is the angular frequeney and 6, is the initial phase. The conclusions cstablished can apply well to other PJs with diffrent profiles, such as isiangle, sawtooth, or trapezoid. Let us define the overall phase for this sinusoidal as © =a i+ ¢,. Then equa- tion 3.13 can be rewritten as Atm Acos ® Equation 2.14 Phase ® has a uniform distribution if it is observed over a few periods. lis PDF is given by $O= for Os @s 29 Equation 3.15 ‘We need ‘0 find the PDF for PJ based on equations 3.44 and 3.15 by using the inverse variable PDF estimation method of equation (2.23). The inverse function of Ais b- cos?(At/ A). The PDF for PF At is given by 3.1 Deterministic Jitter (Dl) a7 ~ SRL Iu Oa Equation 3.16 Substituting the PDF of the phase and carrying out the derivative operation, we find that the PDF for the single PJ is as follows: i folAD= mYi- TAY 0, otherwise Equation 3.17 “AsAtsA This PDF for a single sinusoidal is shown in Figure 3.9. Aly bn ay ° a iter (48) Figure 3.9 The PDF for a single sinusoidal PJ. An approximation of a PJ PDF can be a dual-Dirac delta function of the following: (at 5(AE- A) + S(AL+ ADI 2 Equation 3.18 This approximation makes the PJ PDF convolution operation with other types of PDF's casy to carry out. ats Aceg at *pfn) Jitter, Noise, and Signal Integrity at High-Speed 3.1.2.2 Spectrum for a Singlé PJ If.we take the Fourier Transformation (FT) for equation 3.13, we get the spectrum for the singte PJ. [fhe spectrum is a complex function and therefore has two parts: “magnitude and phase ine ng] Because we are dealing with a single frequency (or tone) sinusoidal with a constant phase, the complex spectrum has both magni- tude and phase response functions,as shown in Figure 3.10. Megmnitudo Phase Froarenoyt Frequensyt @ @ Figure 3.10 The complex spectrum magnitude (a) and phase (b) for a single sinusoidal PJ. v Dem Anop(eoet he) 3.4.2.3 PDF for Two PJs ‘We have shown that the PDF for a single PY does not depend on its initial phase condition if it is observed over many periods, However, in the case of two PJs, the relative phase relationship is importadt in determining the overall PDF function Recall equation 3.13, and expand it to cover twa Pls. We get the following: Adit) - A, costo t+ p))-+ A, costa, + 4) Equation 3.19 Lot us ussume that the amplitude of those two PJs are the same—namely, A, =A, = A-and use the formula of sum-to-procuct conversion for cosines. We get the following: eo = 2Alcost Oe 2 ar) Equation 3.20 482) gyi Ont HG “Od 3.41 Deterministic Jitter (DJ) a9 Let us further assume that the frequencies of these twa Pis are the same—namely, , = @, =0)~leaving only the phases being different, Equation 3.20 then becomes 4 522 ote + Equation 3.21 Adit) = 2Acost thy 2 ‘With equation 3.21, we can study the PDF of two P¥s and its dependency on their phase relationship, Case 1: $1 = 62 = ¢. In other words, they have the same phase or are in phase. Equation 3.21 then becomes ° Atle) = 2Acofert + $] Equation 3.22 ‘This form is the same as the single PJ, but with twice as much of the amplitude. In other words, when two PJs with the sarne magnitude and frequency are added together, they form another PY with twice the amplitude of the single PY when their initial phases are the same. See Figure 3.11. 15 eT Sas Jitter 18 o on be 03 0 ts O8 Bre 1 Time Figure 3.14 The sum of two PJs with same frequency, same initial phase, and same peak ampli- tude. Note that (he amplitude adds at cach time sample and the sum of those two PJs has a peak. magnitude that is Qwice that of each one. 90 uitter, Noise, and Signal Integrity at High-Spead Case 2: 1 ~ 42 =, In other words, they are in opposite phases. Equation 3.21 then becomes” AteQ- Equation 3.23 ‘These two PJs are cancelled out. In other words, when two PJs with the same magnitude and frequency are added together, their sum is zero when their initial phases are eppersiggSee Figure 3.12, 1 02 Sum of Pus Jitter 02 04 06 “08 Time Figure 9.12 The sum of two Pls with the same frequency and amplitude when their initial phases are opposite, Note the araplitude canceling each other at every sampling point with their sum equal to zero. These two cases were developed by assuming that the frequencies of those two PJs are the same, When those two frequencies are different, we need to use equation 3,20 to estimate the sum of the two PJs. The end results will depend on the frequency and phase of each PJ and can have many possible outcomgs-7fi gen- eral, when two PJs are in phase—that is, their phase difference is small (9, — ¢, = 0)}—the “adding” effect is dominam and the peak-to-peak of the summed function ' | ' i 3.1. Deterministic uitter (Du) 1 is M@,,_4-> 2A, + A,). When two PIs are out of phase—that is, their phase dit- ference is large (, — ¢, ~ £n)—the “substracting” effect is dominant and the peak-lo-peak ofthe summed function is Afié),,.4~> 24, - A, |. If the phase dif. ference is between these-two extremes of in-phase and out-of-phase, the-outcome will have-a pcak-to-peak between those values of “in-phase” and “out-of-phase.” Figuré 3.13 shows numerical results for the general case of the sum of (wo PJs when they have different magnitude, frequency, and phaso. The effects of the phase relationship effect are obvious, The sum of two PJs can have totally differ- ent shapes, depending on their phase relationships. The peak-to-peak values from the simulation are consistent with our extreme case discussion. Figure 3.13 shows thefimportance of phase information in determining the resulting fraction from the sum of two PJs. Time Time Figure 3.13. Simulation of the sum af two Pls with different (requeacy, magaitude, and a series of phase angles between (hem as (06-4) + S(artay) a 92 Jitter, Neise, and Signal Integrity at High-Speed For the sum of « PJs, simifar results can be achieved as in the case of two PJs, but the possibilitios of the form of the summed furiction are many times larger. The in-phase concept still holds, but it is hard to use the concept of out-of phase for many PJs, When all the PJs are in. phase—theit phases are very close to each other—the peak-to-peak satisfies Arit),,_,.—> 2(A, + A,+-A,)- 3.1.24 Spectrum for Two PJs If we take the FT for equation 3.19, we get the following frequency-domain rep- resentation: At(joo) = Fee ane eq vo-ayen Equation 3.24 ‘This is 2 complex spectrum and can be represented by (wo functions of magnitude and phase. We can further calculate those two parts explicitly and have the fol- Jowing two functions of magnitude: A A [Arie = (© - + 23(@- 0} Vox Equation 325 ox and phase: Arg{ iGo) = 44>, Equation 3.26 Clearly, the phase of cach PJ affects the spectrum. To uniquely determine the time-domain function, both magnitude and phase responises of the spectrum are neaded. For illustration purposes, Figure 3.14 shows the spectrum magnitude fune- tion for two PIs. ‘ya OER ee Be IS We RE Sah I 3.44 Detormiristi ditter (Du) . 93 42 g at = & Z on 2 Frequency <> Figure 3.14 The spectcum magnilude function for the sum of two PJs. 3.1.2.5 PDF for Multiple (n > 2) Pls For a general case of multiple PJs, the superposition rule stil! applies. The sum- mation of all the PJs with different amplitude, frequency, and phase is given by x At = a costa t+) Equation 3,27 ‘The PDF can be estimated from the overall PJ time record of Arif) through the fol- lowing equation: Sul At) = Hist Atte) Equation 3,28 Hist is a histogram building function based on the ArG) time record, The overall PF time record Ai) is a multiple variable function, depending ‘on each PJ amplitude, frequency, and phase, and the number of PJs. Therefore, it is hard to draw a general conclusion about the characteristics of the PDF for the overall PS. However, we cau do a Monte Carlo simulation to study the characteris- tics of fp, by assuming that all the PJs are independent, with their amplitude, fre- quency, and phase randomly distributed over the range of (A... Ana,s €, vo? Areas)» Frtar fro» (0, 27), respectively. The simulation resulis are shown in Figure 3.15. 94 Jitter, Nolse, and Signal Integrity at High-Speed Ly ‘Total PUhistogram, K= 3, N= 500000 Total PJ histogram, K = 20, N = 500000 4 2009} Ontenn ete ri Event counts nn = Figure 3.18 The overall PJ PDT for three independent Pls (a) and 20 independent PIs overlaid with Gaussian distributions (6) cs It is clear that as the number of PJs increases, the agreement between the 1 overall PJ PDF and the Gaussian distribution gets better within the PJ magnitude range. This is exactly the consequence of the “central-limiting theorem” dis- 4 cussed in section 2.3.2 in Chapter 2. Our simulation suggests that as long as the i number of PJs is thres or more, and they are independent, the overall PDF can be approximated by a tcuncated Gaussian distribution (as opposed to the conven- tional unbounded Gaussian) PDF, as discussed in the next section. The agree- i ment between the overall PDF and the Gaussian gets better as the number of PJs increases, i 3.1.2.6 Spectrum for Multiple PJs (> 2 PJs) i ‘The spectrum estimation for multiple PJs is similar to that of two PIs, Again, the "| FT for equation 3.27 results in | i _ Atiany= AL 3-00 ™ | A ix ci Equation 3.29 ut Its magnitude function is t { * |ae(jonle $A 6(0 -w,) ‘ 2x Equation 3.30 3.1 Deterministic Jitter (D.)) , 8 and ils phase function is: x Arg(AtGo) = 2oe »6, Equation 3.31 The magnitude spectrum response function of the multiple Pfs is similar to Figure 3.13, except that there will be mary spectrum lines instead of (wo. 3.1.3 Bounded Uncorrelated Jitter (BUJ) Chapter 1 discussed the mechanisms for jitter caused by crosstalk. Because of the randomness of how crosstalk forms, BUJ is bounded, as well as uncorrelated from a statistical distribution point of view. At the same time, BUI can contain other bounded and ‘uncorrelated jitter, such as electromagnetic interference (EMD. However, crosstalk is the dominant component of BUS, and this section assumes that BUS is crosstalk jitter. The similarity between BUS and DDI is that they are both bounded. The difference is tha: DDI is correlated to its data pattern, and BUT is not, ‘The comparison between BUT and PT cau be very interesting, Both PJ and BUS are bounded and uncorrelated, so they atc similar from a statistical distribu- tion point of view. However, from a frequency spectrum point of view, the differ~ ence can be Jatge. For a single PI, its spectrum is simply a specteal line, However, crosstalk jiter typically has a broad spectrum with many uncorrelated spectral lines. The difference between BUI and many independent PJs can be very vague. Tn fact, BUS can be modeled in terms of many independent PJs from a mathemati- cal point of view. Because of those characteristics of BUJ, we can put Pf and Crosstalk within the general category of BUS. Along this line of thought, single- tone P} should be called narrow-band BUJ (NB-BUD), and crosstalk jiller should be called broadband BU! (BB-BUN),* 3.4.3. PDF for BUI BUI can be modeled by many independent PJs if it composed by many indopend- ‘ent root sources. As suggested in section 3.1.2.5, its time-domain PDF is a trun- cated Gaussian, as defined by the following equation: 2 Sot =] ~phet-@ 2% orl tA, Vine, 0 forl >A, Equation 3.32 96 Jitter, Nolse, and Signal Integrity at High-Speed Aguy is the peak value, Opp, is the sigma value, and Py), is the normalization prob- ability for the BUS PDF, The normalization probability Pay, is introduced to ensuce that the intogral of equation 3.32 will be unit 1. Apparently, Py, > 1. Figure 3.16 graphically represents the BUJ PDF defined in equation 3.32. fous 0.9) t tow “Aan Aous Figure 2.16 A BUI PDF (unclion represented as a truncated Gaussian. The major difference between the Gaussian and truncated Gawssian is at the tail parts of the PDP distribution. The truncated Gaussian has a zero probability when jitter ceaches its peak value, and the Gaussian has a probability approaching, zero only when the jitter reaches infinity. Experimental work on the BUJ PDF has. been carried out, and the truncated Gaussian PDF has been verified > 3.1.3.2 Spectrum for BUT The spectrum of the BUJ can be modeted ina similar way as for the case of multi- ple PJ spectrums, because cach crosstalk sousce can be treated as a single PJ at a given frequency. Of course, the spectrum of BU really depends on how many crosstalk sources it is composed of. As the number of independent crosstalk sources ineceases, the gap between cach individual source in the frequency domain becomes smalier, and the spectrum looks like “finite” while noise. Figure 3,17 shows an example of the spectrum for BUT when the mimber of crosstalk, sources is large. The BUJ spectrum is characterized by bounded frequency range, randomness, and non-correlated with the signal’s data pattern. When the number of BUJ sources is small, it is hard to describe its PDF with a closed-form distribution function. You must deal with BUJ on a case-by- case basis for both its statisticat PDP and frequency-domain spectrum or PSD. 8.2 Random Jitter (PU) 1 08 \ os | oa Crosstalk Jitter amplitude oof 02 OS 04 065 08 07 08 08 1 ‘ Frequency Figure 3.17 The spectrum of BUI for a large number of crosstalk sources. It is similar to white noise specitum but with finite frequency range. 3.2 RANDOM JITTER (Ru) Random jitter is caused by unbounded jitter sources, such as Gaussian white noise. Those {ypes of jitter sources arc’ usually corresponding noise processes of thermal noise, 1/f flicker noise, shot noise, and other high-order noise processes. As introduced in section 1.2.2, a common noise-to-timing jitter conversion mech- anism is the amplitude noise-to-phase jilter conversion through the slope of an edge transition. The statistical PDF for the rendom jitter is generally treated as Gaussian. However, a proof of such a PDF is generally based on 2 white jiterinoise model. The following sections discuss random jitier PDE, spectrum, and PSD for cach type of the noise process. 3.2.1 Gaussian Jitter The first type of random jitter is Gaussian jitter, In much literature, Gaugsian jitter and randons jitter are treated as the same jitter type. This treatment isn’t precise, however, because in the presence of a nonwhite noise source, the corre sponding PDF is not necessarily a Gaussian, Only when the PSD of the random jitter is predominantly white can its PDP be described by a Gaussian; this is an important point. \ t i 4 4 A a ¥ a 98 Jitter, Noise, and Signal Integrity at High-Speed 3.2.1.1 Gaussian Jitter PDF ‘The Gaussian jitter model is defined by equation 3.33: At 202 1 ‘a aga Equation 3.23 ‘This function is characterized as unbounded because its PDF is not zero unless the jitter At-approaches infinity. Mathematically, we caa show that the mean of this Gaussiat form equals U1, and its standard deviation equals o. Jitter and noise ate physical quantities, and cach has a corresponding physical unit or dimension. It will be easier to discuss the mathematical properties if we introduce another dimensionless variable of z as z= (At- 2)/ 0. Then we have the Gaussian PDF in terms of the normalized variable z: fo S48 Neem Equation 3.34 #(z) is called normal distribution function and was well studied, The integration of #() is of particular interest, because it gives the CDF funetion and is defined as eC a= 7 ode je 2a trent so 2m 0 2 v2 Equation 3.35 where erf() is an error function and is defined as 220 ep(= 2afe! dt veo Equation 3.36 The numeric values of both normal distribution function and error function have been calculated and tabulated. An interesting application of the normal dis- tribution is to estimate the probability when the deviation of the random jitter q j 3.2 Random ditler (RY) 99 sariable At is within a multiple of iis o value. For example, the probability of the random variable satisfying { At - jz} o can be estimated as P(at—u\so}= O()— O(-1)= 0.6826 Equation 3.37 Asimilar calculation for 2o and 3c yields results of Pat ls 20)= @{2)- {-2)= 0,9545 Equation 3.38 and Pl ar~ aks 30)= B(3)— P—3) = 0.9973 Equation 3.39 ‘These probability characteristics for a Gaussian distribution are shown in Figure 3.18. Probatitiy Donalty a™~ 68.45% ——_ | 20 95.45% {. 40 98.75% ea, « at kK Figure 3.18 A Gaussian PDF with corresponding prabahility areas of 2c, do, and 66 widths covering 68.46%, 95.45%, and 99.73% of the undemeath area, 100 str (Normalized) Jittor, Noise, and Signal Integrity at Hign-Speed 3.2.1.2 Gaussian’fitter PSD itis well known that Gaussian jitter has a white PSD. This section does not pur- suc the analytical proof for this conclusion. Instead, we will conduct « numeric simulation to show the Hakage between time-domain series, [requency-domain spoctram ot PSD, and slatistical-cdomain PDP for Gaussian jitte Assuming that At,,(0 is the Gaussian jitter time record, this time record can be simulated by a random-number generator via Monte Carlo® 7, as shown in Figure 3.18. {ls PSD can be estimated via the autocorrelation function and corre- sponding FF according to equation 2.112, introduced in section 2.2.4.2. The histo- gram can be obtsined through the Hlist() function, Gaussian iter is commonly viewed via its statistical-domain PDF and frequeney-domain PSD. Figure 3.19 gives three views from different domains to show the linkage for cach representation. amor Time Bertew ad sr PF ’ s ‘ . aioe 03.405 08 a7 08 09 1 Eg Time Series (Normalized) a 7 Bos ae " i l 1 s we SF ee Fraquancy (orralzed) mar dn sig Figure 3.19 Gaussian jiter time-domain record and frequency-domain PSD (@), as well as the statistical-domain PDF (b), for the sare Ganssian jiller source with 10° samples. Aualytically, the Gaussian PSD ean be approximated by a white noise type of PSD of the following form: S§qgm8 Equation 3.40 It is worth pointing out that white PSD is a mathematical convenicnce rather than physical, because il is feeqnency-unlimited and gives rise lo infinite energy. 3.2 Random Jitter (3) 101 This contcadicts any physical jitter of noise, Figure 3.20 illustrates the PSD for a Gaussian jitter/acise source. ter PSD'Saith |) Frequency (Ha) Figure 3.20 Gaussian white jitter PSD. 3.2.2 f* Higher-Order Jitter This section focuses on the higher-order jitter processes with PSDs having a gen- eral form of £, As in the case of Gaussian jitter, we will discuss high-order jitter from the perspectives of statistical PDF and frequency-domain PSD. 3.2.2.1 ** Jitter POF fis a gonorsl form for random jitter processes. For exumple, when ct = 0, it cor- responds to while or Gaussian jitter. When © = 1, it corresponds to flick jitter, When & = 2, it corresponds to an integrated white. As the IC feature size contin- uss fo shrink to 90 nm or smafler, the higher-order power-law random jiter becomes increasingly important, However, the PDF of the high-order I~ jitter is rarely addressed in the literature and is commonly mistreated the same as the Gaussian PDP, Because of this, we fee] that f random jitter PDF needs special attention. We will start with the PDF of the f? random jitter, because its derivation is telatively straightforward. Let At,(t) be the Gaussian randoms jitter in the time domain, We denote its comesponding PSD as S,(F) and its Fourier spectrum as Ai-{8). Then we have Sql D> At LP ~ 2 Equation 3.41 102 Jitter, Noise, anc Signai Integrity at High-Speed Consider the time integral of the Gaussian random jitter of ‘ MgO 1 Me ode Equation 3.42 4 Denoting the PSD and spectrum for the integrated Gaussian as S(f) and Atyé) i respectively gives us the following: (At DN fF Equation 3.43 Sy f~ Ag AY ~ because ay, =P = Mf An giD= Pride = FTG Sl Od) ~ Equation 3.44 Equations 3.43 and 3.44 suggest that the time-domain record fanction for the £2 random jitter is an integrated Gaussian, justifying ils name of integrated Gaussian jitter. ‘With equation 3.44 as the theoretical guide, we will conduct a Monte Carlo simulation for the PDF for an £? random jitfer process. First, the Gaussian ran- dom jitter time series Atgy(t,) is generated via a Monte Carlo candom-number generator with a Gaussian 6 = 1, while | is a relative unit. We use 10° jitter sam- ples in the simulation, The integrated Gaussian jitter time series Atjg(t,) can be obtained through equation 3.42. The results for At¢y(t,) and Atys(t,) are shown in Figure 3.21. Figure 3.21 suggests an unbounded “drunk walk” type of characteristic for the £2 random jitler process. With the time record generated, we can establish the PDFs for both Ganssien and integrated Gaussian jitter processes. Figure 3.22 shows the corresponding PDFs. 3.2 Random Jitter (RJ) ‘103 1200 1090 200 Integraled Gauestan oo 400 Gaussian 200 c 01 02 08 04 08 06 o7 08 29 1 Time Series (Normalized) Figure 3.21 Monte Carlo-gencrated Gaussian and integrated Gaussian jitter time record. Jitter yalues arc relative to a Gaussian G= 1, 4 4 oe aa ; diwran POF | as Insgaadcaudan POF 2 2 @ 3 Ba 5 5 a os a Z, | 2 3 3 Ais Be é, & osh q 0 5 5 $a + toa) Fae Jitter (Relative to Gaussian Sigma) Jitter Figure 3.22 PDFs for Gaussian and integeated Gaussian derived from the jitter time records ia Figure 3.21, Jitter, Noise, and Signal Integrity at High-Speed You can see that the PDF for the Gaussian jitter process obtained through random-nimber generation is indeed a Gaussian, as its name suggests, Further analytical Gaussian form comparison with this 10° sample Monte Carlo indicates that the agreement between them is at a 99.7% confidence level (3a). However, the PDF of the integrated Gaussian PDF is by no means a Gaussian. If the Gaussian is the seed function to generate the integrated Gaussian, the jitter of the integrated Gaussian can be many times larger than the Gaussian itself. Following’ the similar argument that the integration of a Gaussian time record yields an integrated Gaussian that. bas £* power-law PSD, if we make the integration of the integrated Gaussian time record again, we get another higher- order random-process time record, whose POF is not a Gaussian for sure and whose PSD has an {4 power-law PSD. Only the Gaussian process or a white PSD. gives rise to a Gaussian PDF; other higher-order random processes do not give Gaussian PDF. This fact has been overlooked in the past by most of the publica~ tions on this subject. 3222 f# Jitter PSD You already know that the PSD for a Gaussian has constant “white” spectrum den- sity. The integrated Gaussian is sown in equations 3.41 through 3.43 to have a power-law spectuum f? shape. To put this in perspective, we will show our Monte Carlo-geuerated PSDs for Gaussian and integrated Gaussian. The procedure is straightforward. We use the Gaussian jitter time record shown in Figure 3.21 and take the FT to get the corresponding spectrum, Because we use.a long record, we * use the square of the spectrum to approximate the PSD. The PSD results ace shown in Figure 3,23. ‘A detailed analysis of the results shown in Figure 3.23 reveals that the mean of maximum of the PSDs follows approximately a constant and an f? power law well for Gaussian and integrated Gaussian, respectively. It can be extrapolated from our integration demonstration that any higher- order random processes are the resull of Gaussian white process integrals. How- ever, the integral here is in a broad sense, because to generate an odd power index type of PSD such as £7, “haif” integer integral must be invoked. It is clear that higher-order random processes do not have a Gaussian PDE, as we have shown in the case of f type random processes, 33 Overall Jitter PDF and PSD 105 so} PSD (Normalized) 1 10° } to Frequency (Normalized) Figure 2.23 PSDs for Gaussian and integrated Gaussian derived from the jitter time record in Figure 3.2. Note that the power-law PSD for the integrated Gaussian follows very closely an f? form—two orders of magnitude per decade. | { 3.3 OVERALL JITTER PDF AND PSD a This section discusses the relationship between the overall jitter PDF and compo- nent PDFs, as well as the overall PSD and individual component PSDs. i 4 \ i i 4 d A 4 4a 3.3.1 Overall Jitter PDF We have discussed various jitter components and their related mathematical PDF models, A nalural.question is how these individual PDFs will relate to the overall total jitter PDFs that are commonly obseryadte in practical measurement and veri- fication exercises. Chapter 4, “Jitter, Noise, BER (JNB), and Interrelationships,” hs a detailed mathematical explanation and derivation. We will give just the final results here ( 4 4 4 4 a | 106 Jitter, Noise, and Signal Integrity at High-Speed because it is logical to address this question hore in an overview manner. Assam- ing that all the jitter components are independent, the overall jitter PDF is the convolution of each individual and independent jitter PDP, Ya the context of the jitter components introduced in this chapter, we have the following: Se otis Fe Sais * Snr "Sa Equation 3.45 Knowing all. the component PDFs, the overall total PDF is uniquely determined through convolution operations. Conversely. if.one component PDF is unknown and test of the PDFs are known, the overall total PDF can be uniguely determined (hrough deconvolution. This topic is discussed further in Chapter 5,. “Jitter and Noise Separation and Analysis in the Statistical Domain” 3.3.2 Overall Jitter PSD The overall jitter PSD and its relationship to the individual component PSDs fol- lows the general energy conservation superimposition law. In other words, the sum of the component PSDs equals the overall total PSD, as given by the follow- ing equation! Say ~ Soou + Spy * Saas + Szcs * Sao “Equation 3.48 Knowing all the component PSDs, the overall total PSD is uniquely determined through linear sum operations. Conversely, if one component PSD is unknown and rest of the PSDs are known, the overall total PSD can be uniquely determined through the linear subtraction operation, 3.4 SUMMARY “This chapter has discussed the cause mechanisms and corcesponding mathematical models for individual and independent jitrcr components, in time, ftequency, and statistical doniains, and in terms of time series record, frequency PSD, and statisti- cal POF. This chapter then illustrated the relationship between the overall jitter PDF with its component POPs through convolution. The overall jitter PSD equals the sum of all ils component PSDs duc to the nature of energy conservation law. Endnotes, 107 Those PDF and PSD models for overall and component jitter are fundamentals and building blocks for jitter and noise analysis-and separation. They are used extensively in the following chapters for jitter and noise separation and spectrum analysis. ENDNOTES 1 J. Buckwalter, B. Avalui, aud A. Hajimiri, “Predicting Data-Dependent Fitter” IEEE Transactions on Circuits and Systems 1, Analog Digital Signal Processing, vol. 5t, no. 9, pp. 450-457, 2004. A. Sanders, M. Resso, and J. D’Ambrosia, “Channel Compliance ‘Testing Utitizing Novel Statistical Eye Methodology,” IEC, DesignCon, 2004. ¥. Stojanovic and M. Horowitz, “Modeling aud Analysis of High-Speed Links,” IEEE, Customer Integrated Circuits Conference (CIC), 2003. Internationa! Committee for Information Technology Standardization {INCITS), working draft of “Fibre Channel Methedologies for Jitter Specification-MISQ,” tev. £4.0, 2004, A, Kuo, T, Farahmand, N. Ou, S. Tabatabasi, and A, Ivannoy, “Iitier Model and Measurement Methods for High-Speed Interconnectors” TEEE. Internstioaal Test Conference (ITC), 2004, R. Y. Rubinstein, Sirudation and the Monte Carlo Method, John Wiley & Sons, Inc., 1981. C. P. Robert and G. Cavsella, Statistical Monte Carlo Method, Springer, 2004,

You might also like