You are on page 1of 229

I HC NNG

TRNG I HC BCH KHOA


KHOA IN
B MN: T NG HO

Gio trnh
K thut xung -s

GV son: LM TNG C
TRN NH KHI QUC

Nng 06/2007

Chng I

H thng s v m s
CHNG I

Chng nay trnh bay s khac nhau gia mach tng t va mach s, cac u im
cua mach s. Mach s con goi mach lgic, hoat ng hai trang trai cach bit rt ro rt,
do o s t nhin thch hp vi h thng s nh phn ch dung hai con s 0 va 1 thay v
mi con s 0 n 9 nh h thp phn quen thuc. lam ro thm v h nh phn,
phep tnh s hoc c ban va s co du, k ca s bu 1 va bu 2 cung c trnh bay. Tip
theo la h thp luc phn dung cac con s 0 n 9 va mt s ch cai din ta s lng
hay trang thai t 0 n 15 thp phn. Na sau cua chng la cac ma s ma chnh la ma
BCD va ma ASCII thng dung.
1.1 MACH TNG T VA MACH S
Tn hiu la bien thin cua bin , ma thng la in th hay dong in, theo
thi gian. ng biu din cua tn hiu la dang song.
Mach tng t x ly tn hiu tng t. Tn hiu tng ng vi ting noi, tn hiu
tm in, tn hiu tng ng vi s bin thin cua nhit la vai v du v tn hiu tng
t ( hnh 1.1a ). Tn hiu tng t co c tnh:
- Thng do cac hin tng t nhin phat sinh ra va c mt cam bin chuyn
thanh tns hiu in, v du ting noi, hnh anh.
- Lin tuc v bin ngha la co bt c ttr s nao trong khoang bin thin cua
no, v du 1V; 1,1V;1,12V; 1,125V...
- Thng la lin tuc v thi gian.

Bin
2
1
1
t

1
(a) tn hiu tng t

5V

0V
t
(b) tn hiu s
-1-

Chng I

H thng s v m s

Hinh 1.1 Tin hieu tuong tu va so


Mc khac mach s x ly tn hiu s thng la tn hiu nh phn(gm logic 0 va 1
) co dang song xung ( hnh 1.1b ).vi hai mc bin : mc cao ( logic 1) v du bng
5V, va mc thp ( logic 0 ) v du bng 0V. Thi gian bin thin gia hai mc, goi thi
gian chuyn tip, la t bin ( v cung ngn ) nn tn hiu s co th xem nh gian oan
v bin . Tht ra mc cao va mc thp co th co cs tr s khac vi hnh 1.1b.
Tn hiu tng t nh hnh 1.1a co th c chuyn i thanh tn hiu s nh
hnh 1.1b bi mach chuyn i tng t sang s ( Analog to Digital Conventer ADC). D nhin tn hiu s cung c phat sinh bi chnh cac mach s ( k ca may
tnh) .
u im cua mach s.

t
0

(a) truyn i
1
1
0 1

0
ngng

(b) nhn c

Hinh 1.2 Anh huong cua su meo dang va nhieu


Mach s co nhiu u im so vi mach tng t khin mach s ngay cang ph
bin. gn nhu moi lanh vc t o lng, iu khin n tnh toan, thng tin ( in
thoai s thay th in thoai tng t, truyn hnh s se thay th truyn hnh tng t
vv... ). Tuy mach in tng t va cac thit b tng t cung co nhng c tnh ring
khin khng bao gi b thay th hoang toan bi mach s va vac thit b s.
Sau y la mt s u im cua mach s:
Kha nng chng nhiu va s meo dang cao: Nhiu la nhng tn hiu ln xn
do chnh mach in t tao ra hay t bn ngoai thm nhp vao chng ln tn hiu ch
thc biu th thng tin ma ta cn x ly hay truyn i. Ngaoi ra tn hiu truyn trong
mach in t va mi trng thng tin ( dy in cap, si quang, khng gian vv... ) con
b meo dang. Kt qua la tn hiu nhn c may thu b meo dang va b nhiu ( hnh
1.2 ). may thu tn hiu c so sanh vi mt ngng ( thi im so sanh la tai gia
-2-

Chng I

H thng s v m s

thi gian cua xung biu th 1 haoc 0 ) xac nh lai hai mc: nu tn hiu nho hn
ngng la mc thp, nu cao hn ngng la mc cao. Sau o dang xung vung c tai
tao ging nh u truyn. Nh vy, nhiu va s meo dang, ngoai tr khi trm trong,
khng anh hng ln kt qua.
T phat hin sai va sa sai: khi nhiu hay s meo dang trm trong th kt qua
nhn c b sai. iu hay la bng cach ma hoa d liu nhj phn mt cach thch hp
ngi ta lam h thng mach s co kha nng t bit ch sai va t sa lai cho ung.
Lu tr va truy cp d dang va nhanh chong: Do tn hiu s ch co hai mc
nn vic lu tr cac mi trng khac nhau ( b nh ban dn ,bng t, ... ), va truy cp
rt thn tin.
Tnh toan, ly lun nhanh chong: Tnh toan y noi cac phep tnh c ban cng
tr nhn chia va s kt hp cac phep toan nay giai bai toan phc tap hn. Ly lun (
logic ) y noi cac phep so sanh, dch chuyn, phn loai, xp hang vv...May tnh la kt
hp cac kha nng tnh toan, ly lun va lu tr.
chnh xac va phn giai cao: Trong vic o ac thi gian, tn s, in
th vv... ky thut s cho chnh xac va phn gia cao hn ky thut tng t.
Thun tin cho cng vic tch hp: Mach s du la mt may tnh , mt mang
in thoai s, vv... chu yu la do mt s mach c ban nhng c lp i lp lai hang
ngan, hang ttrieu ln tao nn. Chnh s lp i lp lai nay rt thn li cho vic ch tao
cac mach tch hp ( Intergrated Circuit IC ). Thc t a co hang ngan cac IC s khac
nhau lam cac chc nng t gian n n v cung tinh vi phc tap.
D thit k, kp rap, sa cha: Do co rt nhiu mach IC cho cac chc nng
khac nhau, ma cac IC nay gn nh khng cn cac linh kin thu ng h tr ( khac vi
cac IC tng t bao gi cung cn nhiu la tu in, in tr vv... chung quanh ) va do
in th cac ni trong mach s ch hoc mc cao hoc mc thp khin s thiet k,
lp rap va sa cha mach s d dang hn mach tng t.
Nhc im cua mach s
Ch noi u im ma khng noi nhc im la khng cng bng. Mach s co hai
nhc im ln. Trc tin th gii vt ly ta ang sng chu yu la th gii tng t:
nhit tng ln giam xung lin tuc ch khng nhay vot, chic xe t dng n ln
banh ri mi nhanh dn ch khng dt bin, dang song biu th ting noi bin thin lin
tuc t bin nay sang bin khac vv... Do o co mt lng vt ly t nhin lot
c vao mach s hay t mach s tac ng tr lai th gii t nhin phai co s chuyn
i. K n, trong vai trng hp mach s co th tn kem hn. V du h thng truyn
hnh s, bn canh nhiu u im, trc mt se tn kem hn nhiu so vi hn thng
truyn hnh tntg t nh hin nay. Tuy nhin trong hu ht trng hp mach s mi ca
kha nng v tc , chnh xac, mc phc tap cn thit, v du may tnh s, bng
en quang bao.vv... S tin trin nhanh chong cua cng ngh mach tch hp khin cho
mach s cang ngay cang re.

-3-

Chng I

H thng s v m s

1.2 H THNG S NH PHN.


Co nhiu h thng s. Thng dung hang ngay la h thng s thp phn hay goi
tt he thng 10, dung mi con s ( digit ) 0,1,2,3,..., 9. Khi s lng ln hn 9 ngi ta
dung hay hay nhiu con s vi quy c v gia tr hang khac nhau. V du din ta s
lng bay ngan hai trm nm mi ba ngi ta vit 7253 theo quy c nh sau;
725310 = 7000 + 200 + 50 + 3
= 7 x 103 + 2 x 102 + 5 x 101 + 3 x 100
Mt v du khac la din ta s lng bn trm bay mi lm le hai mi tam ta
vit 475,28 theo quy c nh sau:
475,28 = 400 + 70 + 5 + 0,2 + 0,08
= 4 x 102 + 7 x 101 + 5 x 100 + 2 x 10-1 + 8 x 10-2.
Trong ngn ng mach logic va may tnh s lng nh 5; 202; 7252 la s nguyn
con s co phn le ( phn s ) nh 5,3; 202,2; 475,28 la s thc.
1.2.1.Cach goi s nh phn
Mach in t rt kho biu th, x ly va lu tr trc tip cac s thp phn khac
nhau, nhng co th hoat ng rt bao am hai trang thai cach bit nhau v du mt
cng tc in co th ong ( dong in i qua lam bong en sang ) hay h ( khng
co dong in i qua, lam bong en tt ), mt transistor co th ngng dn hay dn manh,
vv... Do o ngi ta a phat trin h thng s nh phn, hay goi tt h 2, ch dung hai
con s 0 va1. din ta cac s lng khac nhau ngi ta dung s co nhiu con s 0 va 1
vi quy c v gia tr tng t nh h thp phn nhng by gi s nhn la 2n thay v
10n ( n la s nguyn dng hay m ). V du:
111111112 = 1 x 27 +1 x 26 +1 x 25 +1 x 24 +1 x 23 +1 x 22 +1 x 21 +1 x 20
= 128 + 64 32 + 16 + 8 + 4 +2 + 1 = 25510
Mt con s trong s nh phn c goi la mt bit ( vit tt cho Binary ) . Bit u
( hang u tn cung bn trai ) co gia tr cao nht ( v du trn la 1 x 27 ) va c goi
MSB ( Most Significant Bit - bit co ngha nht ) bit cui ( hang tn cung bn phai ) co
gia tr thp nht ( v du trn la 1 x 20 ) va c goi LSB ( Least Significant Bit - bit co
ngha nht ) . Vi s thp phn th phai noi MSD ( Most Significant Digit ) va LSD (
Least Significant Digit).
Khi mt bit la 0 du hang nao cung u co tr gia la khng ( v 0 x 2n = 0 ). V
du:
100101012 = 1 x 27 + 0 + 0 + 1 + 22 + 0 + 1 x 20
= 128 + 16 + 4 + 1
= 14910
S nh phn co 8 bit nh hai v du trn c goi mt byte, s nh phn co 4 bt
c goi la mt nipple. Mt s nh phn noi chung c goi la mt word ( t ) nhng
thng c dung ch s co 16 bit. Con s 32 bit goi doubleword, 64 bt goi
quaword. Hoc goi 32 bt la word, 16 bt la halfword, 32 bt la doubleword.
-4-

Chng I

H thng s v m s

Tng cung cn bit cach goi th t cac bit trong s nh phn nhiu bt. Bit LSB
( tn cung bn phai ) co th c goi bit 1 ( bit th nht ) nn bit co ngha cao k la bit 2
( bit th hai ) , vv...Nhng ngi ta goi bit LSB la bit 0 ( bit th khng ) nn bit co
ngha cao k la bit 1, vv... V du vi s nh phn 8 bit ( mt byte ) th s goi th t cac
bit theo mt trong hai cach sau:
MSB
LSB
So nhi phan:
1 0 1 1 0 1 0 1
Thu tu bit :
8 7 6 5 4 3 2 1
Hoac :
7 6 5
4 3 2 1 1
Trong lanh vc mach s va may tnh rt thun tin nu ta nh c s chuyn
i qua lai gia s thp phn va nh phn i vi cac s thp phn 0 n 15 nh trnh
bay bang 1.1.
K n ta cung cn bit mt s luy tha nguyn cua 210 = 1024 c goi tt la
1K ( oc K hay kilo ), vy trong ngn ng nh phn 1K la 1024 ch khng phai 1000 (
mt ngan ). Tip theo:
211 = 21 . 210 = 2K
212 = 22 . 210 = 4K
220 = 210 . 210 = 1K .1K = 1M ( Mega)
224 = 24 . 220 = 4.1M = 4M
230 = 210 . 220 = 1K .1M = 1G (Gita)
232 = 22 . 230 = 4.G = 4G
Trong o 1M ( oc Mega hay Meg ) la 220 = 1048576 ch khng phai 1000000 (
mt triu ) va 1G ( oc Gita ) la 230 = 107374 ch khng phai 1000000000 ( mt t ).
Ngoai ra 264 = 232 . 232 = 16G2 = 18.446.744073.709.551.616.

-5-

Chng I

H thng s v m s

Bang 1.1 Thap Phan-Nhi Phan


Thap Phan

Nhi Phan

0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
32
64
128

0
1
10
11
100
101
110
111
1000
1001
1010
1011
1100
1101
1110
1111
10000
10001
100000
1000000
10000000

Bang 1.2: tri gia cua 2n


n
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
20
24
30
32

2n
1
2
4
8
16
32
64
128
256
512
1024
2048
4096
8192
16384
32748
65536
1048576
16777216
1073741824
4294967296

Viet tat

1K
2K
4K
8K
16K
32K
64K
1M
16M
1G
4G

1.2.2.Chuyn i thp phn sang nh phn.


Tht ra t khi ta cn s chuyn i thp phn sang nh phn ngoai tr cac s nho
nh ghi bang 1.1. Con v nguyn tc th thc hin phep chia hai bn lin tip tnh
s d. V du, s thp phn 26
Chia tiep cho 2 : 0
1
3
6
13
26
So du tuong ung : 1
1
0
1
0
LBS
Kt qua: 2610 = 11010
u tin 26 chia 2 la 13 d 0; k n 13 chia 2 la 6 d 1; 6 chia 2 la 3 d 0; 3
chia 2 la 1 d 1; 1 chia 2 la 0 d 1. Tp hp cac s d theo th t ngc lai la s nh
phn mong mun.
i vi s thp phn ln, cach gon hn la tm hiu s lin tip cau s thp phn
vi luy thua cua 2 co gia tr thp hn nhng gn s thp phn nht. V du s thp phn
627:
-6-

Chng I

H thng s v m s

Hiu s: 627 115 51 19 3 1


S tr: 512
64 32 16 2 1
9
(2 )
(26) (25 (24) (21) (20)
Kt qua: 62710 = 29 + 26 + 25 + 24 + 21 + 20
= 1001110011.
u tin luy tha cua 2 gn ( nhng nho hn ) vi 627 la 29 = 512, hiu s 627 512 la 115. K n luy tha cua 2 gn vi 115 nht la 26 = 64, hiu s 115 - 64 la 51,
vv... Bang 1.2 cho bit cac luy tha nguyn dng cua 2.
1.2.3.S nh phn biu th s co ngha le.
S co phn le ( s thc ) la s co phn nguyn va phn phn s ma c vit
ngn cach nhau bi du phy, goi du thp phn h thp phn, v du 725,475. Tng
t, h nh phn ngi ta dung du phy nh phn ngn cach phn nguyn va phn
phn s, v du 1101,101. Cach vit s le thp phn a c trnh bay trc, v du khac
la:
725,475 = 7 x 102 +2 x 101 +5 x 100 +4 x 10-1 +7 x 10-2 +5 x 10-3
= 7 x100 + 2 x 10 + 5 x1 + 4 x 0,1 + 7 x 0,01 + 5 x 0,001
= 700 + 20 + 5 + 0,4 + 0,07 + 0,005
Tng t, cach vit s le h nh phn co ngha nh qua v du sau:
1101,101 = 1 x 23 +1 x 22 +0 x 21 +1 x 20 +1 x 2-1 +0 x 2-2 +1 x 2-3
= 8 + 4 + 1 + 0,5 + 0,25 + 0,125
= 13,62510
Theo quy c quc t ngi ta dung du chm ngn cach phn nguyn va
phn le thay v du phy.
1.2.4.Chuyn i s thp phn le sang nh phn.
Trc tin xem s chuyn i phn le ( phn phn s ). chuyn i ta nhn
phn le cua s thp phn vi 2, phn nguyn nhn c, ma ch co the la 1 hoc 0 la bit
MSB cua phn le cua s nh phn mong mun. Tip theo nhn phn le mi cua s thp
phn vi 2 tm bit nh phn k va tip tuc nh vy cho n khi phn le thp phn ht
( tr thanh 000...). V du s php phn 0,6875:
0,6875 x 2 = 1,3750 bit nh phn la 2 ( MSB )
0,3750 x 2 = 0,7500 bit nh phn la 0
0,7500 x 2 = 1,5000 bit nh phn la 1
0,5000 x 2 = 1,0000 bit nh phn la 1 ( SLB)
Kt qua: 0,687510 = 0,1011
V bit u tin la bit MSB nn cac bit cang v sau cang co ngha thp tc cang
co gia tr nho nn trong trng hop vai phep nhn 2 u khng dn n phan le thp
phn la 0 th ta vn co th dng hay tip tuc cho n khi u s le nh phn cho s chnh
xac cn thit.
Khi s thp phn gm phn nguyn va phn phn s ta chuyn i hai phn
ring bit ri kt hp lai. V du:
-7-

Chng I

H thng s v m s

a bit: 62710
= 1001110011
Va :
0,687510 = 0,1011
Nn:
627,687510 = 1001110011,1011.
1.3. TNH TOAN S HOC VI S NH PHN.
Vn tnh toan s hoc vi cac s nh phn va cac mach s thc hin cac phep
tnhs se c trnh bay chng 10 sau nay. Nhng ngay by gi nn bit s lc v
tnh toan hiu thm v s nh phn.
1.3.1. Cng va tr s nh phn.
Ta a bit cng hai so thp phn la cng hang n v trc, nu tong nho hn 10
th vit tng, nu tng t 10 tr ln th vit hang n v va nh 1 cho ln cng hang k
trn. Vic cng hai s nh phn cung tao ra s nh. Trc tin xem phep cng hai s nh
phn 1 bit:
S b cng :
0 0 1 1
+
+
+ +
S cng:
0 1 1
0
Tng:
0 1 1 10
S nh ( Carry )
trng hp cui cung, 1 + 1 la 2 nhng h nh phn ta vit 0 va nh 1 cho
hang k trn. y la 10 co gia tr thp phn la 2. khi s nh phn co nhiu bit ta thc
hin phep cng bit co ngha it nht ( LSB ) trc va tip tuc cho n bit co ngha cao
nht ( MSB). V du:
S b cng:
1010 (= 10)
1101 (= 13)
+
+
S cng:
1001 (= 9)
1111(= 15)
Tng:
10011(= 19)
11100(= 28)
Trong phep tr nu s b tr nho hn s tr, cu th la khi 0 tr i 1, th phai
mn 1 hang cao k ma la 2 hang ang tr va s mn nay phai tra lai cho hang cao
k tng t nh hai phep tr hai s thp phn. Trc tin xem trng hp tr hai s bit:
S b tr:
0 1 1 0
S tr:
0
1
0
1
Hiu:
0
0 1 11
S mn ( borow)
y la 0 -1 khng phai la 11 ma la 1 vi 1 la s mn. Khi tr hai s nhiu bit
th s mn hang nao phai c cng vao vi s tr cua hang o trc khi thc hin
vic tr. Hay tm hiu qua cac v du sau:
1011
1011
1100
S b tr :
-8-

Chng I

H thng s v m s

S tr:
Hiu:

1001
0010

0101
0110

0111
0101

D nhin co th th kt qua ging nh phep tr s thp phn o la cng hiu


vi s tr xem co bng s b tr hay khng.
1.3.2.S nh phn co du.
Nu ch lin quan n s dng k ca s khng th s nh phn va chuyn nh
phn sang thp phn la nh a bit trc. Cac s nh phn nay la s khng du, y noi la
cac s chung chung va t nhin c hiu la s dng. Trong tnh toan s hoc ngi ta
dung du cng (+) ch s dng, du tr (-) ch s m. Nhng trong th gii mach
logic ( mach s ) k ca may tnh moi vic phai c biu th bi logic 0 va logic1,
khng g khac. Do o phai co cach biu th s nh phn co du, cach c ban la thm
1 bit u ( tn cung bn trai ) ch du: bit 0 ch s dng, bit 1 ch s m. Luc by
gi co du gm hai thanh phn la du va bit u tin va ln ch tr s tuyt i cua
gia tr la cac bit con lai. y la cach biu th du - ln cua nh phn. V du:
0 10101 = +21
1 10101 = -21
du ln
du ln.
Quy c nay dn n hai biu th khac nhau cho khng:
0 00000 = + 0
1 00000 = - 0
du
du
D nhin tranh nhm ln gia bit du va cac bit ln ngi ta phai quy nh
s bit ln trc thm cac s o trc cho u s bit quy nh. V du quy nh s
co du la 8 bit trong o mt bit dau va 7 bit ln, th din ta +21 va - 21 ta phai
vit:
+21 = 0 0010101
-21 = 1 0010101
Bit du a c gach di ch bit du, con trong vit bnh thng khng co
gach di ( bit du va cac bit ln c vit lin tuc ).
Cach biu th du - ln cho s nh phn co du nh trn khng cho phep thc
hin cac phep tnh v kt qua thng sai. V du i vi s co du 5 bit:

01000 (+ 8)
+
01010 (+ 10)
10010 (- 2)
sai

01000 (+ 8)

11000 (- 8)

+
10010 (- 2)
11010 (- 10)
sai

10110 (- 6)
1 01110 (+ 14)
bo
sai
-9-

Chng I

H thng s v m s

bai toan u neu bit u c hiu la bit MSB cua ln thay v bit du th
kt qua ung.
1.3.3.S bu1.
V phng din mach in t th mt y cua s biu ht du - ln la bin
phep tr thanh phep cng, v du nh 8 - 2 = 8 + (- 2), nhng rt tic la y nay khng
at c v kt qua thng la sai ( v du trn la sai nhng cung co trng hp ung ).
Do o , co th thc hin cac phep tnh s hoc vi s co dau nht la trong pham vi
mach s (may tnh ) ngoi ta phai tm cac cach biu th khac cho s m.
S bu 9 cua mt s thp phn c nh ngha qua v du sau:
S bu 9 cua 8 la 9 - 8 = 1
S bu 9 cua 7413 la 9999 - 7413 = 2586
Tng t, s bu 1 cua mt s nh phn co n bit la hiu s cua 111...(n bit) va s
nh phn o. V du:
S bu 1 cua 10 la:
11
10
01
S bu 1 cua 101101 la:
111111
101101
010010
Nhn xet la khi tr ta ch gp hai trng hp o la 1-1 ma la 0 hoc 1- 0 ma la 1.
Do o s bu 1 cua mt s nh phn nh c bng cach i 0 thanh 1 va 1 thanh 0 (ao)
tc ly bu tng bit. V du i vi s 4 bit:
S bu 1 cua 0010 (2) la: 1101
S bu 1 cua 1000 (8) la: 0111
thc hin cac phep toan s hoc ngi ta vn biu th s dng dang du ln a ni trc vi bit du la 0 nhng biu th s m dang du - bu 1 cua ln,
d nhin bit du la 1. V du di vi s co du 5 bit gm bit du MSB va 4 bit ln:
2 =
0010
+ 2 = 0 0010
- 2 = 1 bu 1 (0010) = 1 (1101) = 1 1101
y la bit du cua s dng la 0 va bu cua no la 1 nn ta co th noi s m c
biu th bi s bu 1 cua s dng tng ng. V du i voi s 5 bit:
- 2 = bu 1 (+2) = bu 1 ( 0 0010) = 1 1101
- 8 = bu 1 (+8) = bu 1 ( 0 1000) = 1 0111
hai dong trn du = u tin co ngha la c biu th bi
Y la thc hin phep tr hai s nh phn A - B bng cach thc hin phep cng:
A - B = A + (- B) = A + bu 1 (+ B)
- 10 -

Chng I

H thng s v m s

By gi xem phep tr c tin hanh ra sao qua v du:


8 - 2 = 8 + (- 2) = 8 + bu 1(+ 2):
0 1000 (+ 8)
0 1101 (bu 1 cua + 2)
0 0 0101
bo

- 11 -

(+ 5)
sai

Chng II

Cng Logic v i s Boole


CHNG II

Mach logic (hay mach s) x ly d liu nh phn. Ngi ta ch nh ngha mt s ham logic
c ban, ma v phng din mach goi la cac cng c ban. Cac ham logic phc tap hn c thit
lp t cac ham c ban nay. ai s Boole c dung din ta mach logic theo ai s. No la cng
cu toan hoc phn tch, thit k mach logic. cui chng la ban Karnaugh giup n gian
biu thc logic mt cach co h thng.
2.1 TRANG THAI LOGIC 1 VA 0
H

ong

en
tt

220V
50Hz

en
sang

220V
50Hz

Hnh 2.1: Hai trang thai ro rt cua cng tc in


Mt cng tc in (bt in) nh dung ph bin trong nha co hai trang thai ro rt (hnh 2.1):
- H : in khng qua nn en tt.
- ong : in qua lam en sang.
K n xem diod ban dn la mt linh kin in t co hai cc goi la anod va catod (hnh 2.2).
Diod c phn cc thun khi anod c ni n cc dng cua ngun in mt chiu Vcc (
co hiu th 1 volt tr ln) va catod n cc m cua Vcc (hnh 2.2a).
Anod + V - catod

Anod + V - catod

Rc

I=0

Vcc

Rc

-1-

Vcc

Chng II

Cng Logic v i s Boole

(a) Diod c phn cc thun:


(b) Diod c phn cc ngc
Diod dn in
diod khng dn in
Hnh 2.2: Diod ban dn va s phn cc
in tr RC gii han dong in trong mach va c goi la tai cua mach. Luc by gi co dong in
I chay qua diod va mt hiu th V xut hin ngang qua
I
diod. Hiu th V thay i theo dong I, nu cht ban dn la
silicium (ky hiu Si) th s bin thin cua I theo V, goi c (mA)
tnh I-V, la nh hnh 2.3 . in th ngng V, xp s 0,6V, diod
xem nh bt u dn in, luc by gi dong in la khoang 0,3 n
0,5mA (miliampe). ngng VT xp s 0,7V diod thc s dn, luc
by gi dong in la khoang 3 n 5 mA, sau o dong in tng
0

nhanh.

V(volt

Khi diod khng c phn cc (tc khi Vcc = 0)


hay khi diod c phn cc nghch (hnh 2.2b), trong
mach khng co dong in (I= 0) tc diod ngng dn. Nh
vy ngi ta co th khng ch diod no hoat ng
hai trang thai khac nhau ro rt :
- Khng phn cc (hay phn cc nghch): diod ngng
dn.
- Phn cc thun dong trn vai mA : diod dn manh
va hiu th ngang qua diod la khoang 0,7 volt tr ln (n
ti a khoang 0,85V)
Hnh 2.3: c tnh I-V tiu biu
cua
By gi xem linh kin in t quan trong hn :
cua diod Si phn cc thun
Transistor lng cc ( Bipolar Junction Transistor - BJT) ma thng c goi tt la transistor.
Transistor co ba cc goi la cc nn (Base) B, cc thu (Collector) C, cc phat (Emitter) E (hnh
2.4). in tr RB gii han dong nn IB, in tr RC ni co dong thu IC chay qua la tai ngo ra.
transistor co h thc dong in c ban:
IC = IB ,
Trong o la h s khuch ai dong ( con goi hFE ), thng la vai chuc n 200. Khi in th
ngo vao (so vi t) VI = 0 th dong nn IB = 0 khin dong thu IC = 0 va in th ngo ra (so vi
t) la:
VO = VCC - IC RC = VCC - 0 = VCC

-2-

Chng II

Cng Logic v i s Boole


Vcc

Vcc

Rc
C

Rc

VO

RB

VO=0
RB

B
IB = 0

VCESAT
E

IB
t

t
(a) khng phn cc: Transistor
ngng dn in th ra = VCC

(b) phn cc thun mach : Transistor


dn mach, in th ra 0V

Hnh 2.4: Hai trang thai cua transistor lng cc


Khi in th vaoVI VCC th dong nn IB ln khin transistor dn bao hoa (y noi dn manh va
dong IC khng th tng hn na du IB co tng thm), luc by gi

-3-

Chng II

Cng Logic v i s Boole

Thy nu o B thnh B ri HOC vi A cho kt qu ng (hnh 2.18b v c). D nhin cng c


th o A thnh A ri HOC vi B. mc 2.7 ta s bit cch thit k c tnh ton hc hn.
2.3 HM (V CNG) LOGIC KHNG V (NAND), KHNG-HOC (NOR)
V (AND) theo sau bi KHNG (NOT) l KHNG V (NAND). Xem trng hp c 2 bin
s A v B. Ra cng V l AB nn ra cng KHNG tip theo l o ca AB tc l AB (hnh
2.19):
Y= AB
V k hiu thay v dng cng KHNG ngi ta ch cn them vng trn ph nh nh sau cng
V, hnh 2.19 cng cho thy k hiu thng m rt ph bin v k hiu IEEE/ANSI t c dng
hn.
Vo
A
0
0
1
1

B
0
1
0
1

Ra
Y
1
1
1
0

AB

A
B

Y= AB

Y= AB

A
B

K hiu thng
A
B

&

Hnh 2.19: cng KHNG V (NAND) v bng s tht


D nhin cng NAND cng p dng trong trng hp nhiu ng vo, v d khi c 3 ng vo
A,B,C th ra l:
Y= ABC
Hnh 2.20 cho thy cch to cng NOT t cng NAND. hnh (a) cc ng vo ca NAND c
ni chung tc l A=B. Bng s tht ca NAND cho thy khi A=B=0 th ra l Y=1, Khi A=B=1 ra
l Y=0 nn l cng NOT . hnh (b) v B c ni ln cao (B=1) nn bng s tht ca NAND
cho thy khi A=0 (v B=1) ra l Y=1 v khi A=1 (v B =1) ra l Y=0 ,vy l cng NOT , v
phng din logic th nh trnh by, cn phng din mch th hai trng hp (a),(b) c khc
nhau cht t. Tuy nhin tm b qua chi tit tinh vi v xem c 2 trng hp u thc hin c
cng NOT nh nhau.

-4-

Chng II

Cng Logic v i s Boole


A

Y= C

B
B

(a)
5V

Y= A
A
(b)

Hnh 2.20 cch to cng NOT cng NAND


V d 2.3.1
lp bng hm logic (bng s tht )ca cng NAND ba ng vo
Gii
Gi u vo A,B,C v u ra l Y. Trc tin to tc c cc t hp kh d ca A,B,C nh bit ,
c 8 t hp. K n to logic ABC ri ly o , bng s tht, bc ABC c hiu nhm. Cch
khc l nh quy lut ca cng NAND ra l 0 khi tt c cc ng vo la1 v ra l 1 trng hp
cn li vit ngay kt qu ra.
A
0
0
0
0
1
1
1
1

Vo
B
0
0
1
1
0
0
1
1

C
0
1
0
1
0
1
0
1

Ra
Y
1
1
1
1
1
1
1
0

A
B
C

Y= ABC

Hnh 2.21: v d 2.3.1


V d 2.3.2
chng t khi mt ng vo ca cng NAND thp (logic 0) th tn hiu cc ng vo cn li
khng truyn qua cng NAND c.
Gii:
Xem cng NAND hai ng vo (hnh 2.19).Khi ng vo B dc gi thp (B=0) th d A=0 hay
A=1 Th ra cng l 1 c ngha s thay i logic ng vo A khng nh hng n ng ra hay ni
cch khc tn hiu vo A khng truyn qua cng c (lc by gi ngi ta ni cng b ng ).
Khi cng NAND c ba ng vo (hnh 2.21) cng vy. V d khi A=0 th ra Y=1 bt chp trng
thi logic ca B v C.
V d 2.3.3
Bng cch lp bng s tht tm lien h gia A+B v AB
Gii :

-5-

Chng II

Cng Logic v i s Boole

Ta d dng lp bng s tht ca A+B v AB so snh thy A+B v AB bng nhau hai trng
hp gia v khc nhau trng hp u v cui. Nh vy khng c h thc logic no gia A+B
v AB
Hm (cng) logic KHNG- HOC (NOR)
HOC (OR) theo sau bi KHNG (NOT) l KHNG- HOC (NOR). Xem trng hp hai ng
vo l A,B ra cng HOC l A+B nn ra cng KHNG tip theo l o ca A+B tc A + B :
Y= A + B
V k hiu ngi ta th cng KHNG bng vng trn ph nh nh sau cng HOC (xem hnh
2.22). Cng NOR cng p dng cho trng hp nhiu ng vo.
VO
A B
0 0
0 1
1 0
1 1

RA
Y
1
0
0
0

A+B

Y= A + B

Y= A + B

A
B

Y
A
B

K hiu thng
k hiu IEEE/ANSI
Hnh 2.22; cng KHNG - HOC (NOR) v bng s tht
V d 2.3.4

Nu o ng vo A v B ri mi a n cng
NAND th mch tng ng cng g ?

A
B

B
Hnh 2.23: v d 2.2.4

AB

Hnh 2.2.3: v d 2.2.3


Gii
Lp bng s tht gm ct A v B ri ly o
ngnh A , B . Thc hin hm NAND i vi
A , B ta ly logic ra Y ging nh ra cng OR

vi vo l A, B . Vy cng tng ng l OR.


2.4 HM (V CNG) LOGIC EX-OR V EX-NOR
Hm HOC trc c gi HOC BAO GM (Inclusive OR), n khng ng nh ngha
hoc hng ngy v n khng gii quyt c bi ton cng nh phn. L do l khi c A v B l
1 th Y= 1 thay v la 0. Mc d HOC nh vy vn c ngha thc t v vn c dng nhng
ngi ta phi nh ngha mt HOC LOI TR (Exclusive OR gi tt l EX-OR hay EXOR hay
XOR) ni loi tr trng hp cui ngha l lc by gi khi c A v B l 1 th Y=0 (xem hnh
2.2.4) k hiu l:
-6-

Chng II
Y=A B
Bin (ng
vo)
A
B
0
0
1
1

0
1
0
1

Cng Logic v i s Boole

Hm (ng
ra)
Y
0
1
1
0

A
B
A
B

=1

Y=

Hnh 2.26: EX-NOR(hay XNOR)


C th biu th nh ngha ca XOR nh cho bng s tht theo nhiu cch m dn n nhiu
mch khc nhau, nhng d nhin l tng ng nhau. Trc tin c hiu l Y=1 khi A=1 v
A=0 hoc A=0 v B=1 m din t thnh biu thc l nh thy hnh 2.25. Hnh ny cng cho
thy mch logic, l cng NOT c th bng mt vng ph nh nh.
Mt cch hiu khc l Y=1 khi HOC A= 1, HOC B=1 V KHNG phi A V B du bng
1 m din t thnh biu thc l:
KHNG

Y=(A+B) ( AB )
HOC V V
T biu thc ny c th v mch thc hin d dng.
EX-OR theo sau bi NOT l EX-OR
Hot ng logic ca EX-NOR o li so vi EX-OR:
A

0
0
1
1

0
1
0
1

Y
1
0
0
1

K hiu thng

A
B
Y= A B
B
A

K hiu IEEE/AKNSI

-7-

Y= ( A B)

Chng II

Cng Logic v i s Boole

V d 2.4.1
Bng cch lp bng s tht nghim li Y=(A+B). ( AB ) chnh l hm EX-OR.
Gii:
Trc tin lp cc t hp ca A, B, K n l logic A+B, AB, AB , v sau cng (A+B).( AB ).
Bng s tht cho thy logic sau cng chnh l EX-OR i vi A,B vy chng minh c.

A
0
0
1
1

B
0
1
0
1

A+B
0
1
1
1

AB
0
0
0
1

AB

(A+B).(
0
1
1
0

1
1
1
0

AB )

V d 2.4.2
Thc hin mch logic m t bi h thc logic
Y= A BC( A B )
Gii
Cch thc hin l o A c A ri V vi B,C. Mt khc cho A v B qua EX-NOR c
A B . Sau cng V A BC vi A B .
A
B
C

A BC

Y = A BC ( A B)

A B

Hnh 2.27: v d 2.4.2


Mch tch hp logic
trc, cng logic c trnh by gn nh ch l cc k hiu ton hc hn l mt thc th vt l.
Thc ra cc cng l cc linh kin in t, vi mt cu trc mch c th c cc c tnh k thut
nht nh. Cc cng logic v cc mch logic ni chung c ch to dng mch tch hp (IC) rt
tin li cho vic s dng.

-8-

Chng 3
C S i S Logic
3.1> Khi nim c bn, cng thc v nh l:
i s logic do George Booole, nh ton hc nc Anh, sng to vo gia th k
X - so vi i s thng i s logic n gin hn nhiu. Tuy i s logic cng dng ch
biu th bin s nhng bin s logic ch ly gi tr rt n gin, 1 v 0, khng c gi tr th
ba no na. Hn na, 0 v 1 i s logic khng ch biu th s lng to nh c th m
ch yu l biu th hai trng thi logic khc nhau. (v d dng 1 v 0 biu th: ng
v sai; tht v gi; cao v thp; c v khng; m v ng.v..v...). Trong i s logc c mt
quy tc ging vi i s thng nhng li c mt s quy tc khc hon ton khc vi i
s thng, chng ta cn lu phn bit trong qu trnh hc tp.
3.1.1> Php ton logic v hm logic c bn:
1/ Php ton logic c bn
Nh ta bit, quan h logic c bn nht ch
c 3 loi: V, hoc, ph nh. Vy nn trong i s
logic cng ch c tng ng 3 php ton logic c bn
nht l: nhn logic - v, cng logic - hoc, o logic ph nh. Cc mch in thc hin 3 php ton c
bn nht, tng ng l cc cng v (and); hoc (or);

Hnh 3-1-1.K hiu logic ca cc cng c bn

o (not).
Ngoi 3 php ton logic c bn nht trn y chng ta cn thng xuyn gp cc
php ton logic sau: V - ph nh, hoc - ph nh, v - hoc - ph nh, cng vi php
loi tr... Mch in tng ng thc hin cc php ton trn, theo th t cc cng: NAND,
NOR, NORAND, XOR biu th trn hnh 3-1-2

Hnh 3-1-2.K hiu logic cc cng logic thng dng

Tng ng:
Hnh 3-1-2a: cng NAND

Z4 = A . B

(3-1-4)

Hnh 3-1-2b: cng NOR

Z5 = A+B

(3-1-5)

Hnh 3-1-2c: cng NORAND

Zo = A.B + C.D

(3-1-6)

Hnh 3-1-2d: cng XOR

Z7 = A B

(3-1-7)

2/ Bin logic v hm logic:


Cc cng thc (3-1-1) + (3-1-7) l cc biu thc logic, trong A,B,C,D l cc
bin logic u vo, Z l bin logic u ra, du gch trn bin logic biu th hm logic o
ca bin . Cng thc (3-1-1) biu th quan h V gia A vi B, Z1 l ham V ca cc
bin A v B. Cng thc (3-1-2) biu th quan h hoc gia A vi B, Z2 l hm hoc ca
cc bin A v B. Cng thc (3-1-3) biu th Z3 l hm o ca bin A. Cng thc (3-1-7)
biu th quan h CNG VI PHP LOI TR gia A vi B, Z7 hm XOR ca cc bin A
v B.
Ni chung, sau khi xc nh gi tr cc bin u vo A, B, C... th gi tr bin
u ra Z cng c xc nh theo mt cch n tr. Vy ta gi Z l hm s logic ca A, B,
C..., v ta c th vit:
Z = F (A, B, C,

...)

Trong i s logic, bin s v hm s u ch lu hai gi tr; thng dng 0 v 1


biu th. iu c c s trong quan h nhn qu ca cc s kin. Mi bin s biu th
mt iu kin s kin c th pht sinh. iu kin ch c th c hay khng. Hm s
biu th bn thn s kin pht sinh hay khng. S 0 v 1 biu th k hiu ca hai kh
nng i lp nhau v trong a s trng hp, chng khng c ngha s lng na.
3.1.2> Cng thc v nh l:
1/ Quan h gia cc hng s:
Cng thc 1:

0.0 = 0

(3-1-8)

Cng thc 1':

1+1= 1

(3-1-9)

Cng thc 2:

0.1 = 0

(3-1-10)

Cng thc 2':

1+0= 1

(3-1-11)

Cng thc 3:

1.1 = 1

(3-1-12)

Cng thc 3':

0+0= 0

(3-1-13)

Cng thc 4:

= 1

(3-1-14)

Cng thc 4':

= 0

(3-1-15)

Nhng quan h trn y gia hai hng s lm tin ca i s logic. Ngha l,


chng l cc quy tc php ton c bn i vi t duy logic.
2/ Quan h gia bin s v hng s:
Cng thc 5:

A.1=A

(3-1-16)

Cng thc 5':

A+0=A

(3-1-17)

Cng thc 6:

A.0=0

(3-1-18)

Cng thc 6':

A+1=1

(3-1-19)

Cng thc 7:

A. A=0

(3-1-20)

Cng thc 7':

A+A=1

(3-1-21)

3/ Cc nh l tng t i s thng:
Lut giao hon:
Cng thc 8:

A.B=B.A

Cng thc 8':

A+B=B+A

(3-1-22)
(3-1-23)

Lut kt hp:
Cng thc 9:

(A . B) .C = A . (B . C)

(3-1-24)

Cng thc 9':

(A + B) + C = A + (B + C)

(3-1-25)

Lut phn phi:


Cng thc 10:
Cng thc 10':

A . (B + C) = A.B + A.C
A . BC = (A + B) . (A + C)

(3-1-26)
(3-1-27)

4/ Cc nh l c th ch c trong i s logic
Lut ng nht:
Cng thc 11:
Cng thc 11':

A.A=A
A+A=A

(3-1-28)
(3-1-29)

nh l De Morgan:
Cng thc 12:

A.B=A+ B

(3-

1-30)
Cng thc 12':

A+B=A.B

(3-1-31)

Lut hon nguyn


Cng thc 13 A = A

(3-1-32)
3

Phng php chng minh cc cng thc trn l lp bng tt c cc gi tr c th ca


cc bin v tnh tng ng vi v phi, v tri ring r. Nu ng thc gia hai v tn ti
vi tt c cc gi tr c th th cng thc l ng. Cng thc 5 v cng thc 13 rt d
chng minh. Di y s chng minh lm mu cc cng thc 10 v cng thc 12
V d 3-1-1. Chng minh cng thc 10
A+B x C = (A+B) x(A+ C)
Gii: lp bng tt c cc gi tr c th ca bin v tnh nh sau:
Bng 3-1-1:

A+B x C

A+B

A+C

(A+B)

BxC

(A+C)

Tt c cc gi tr ca 3 bin A,B,C to thnh 8 t hp. Bng chn l ca hm A + B


x C trng vi bn chn l ca hm (A+B)(A+C). Vy cng thc A + B x C = (A+B) (A+C)
c chng minh.
5) 3 quy tc v ng thc
a) Quy tc thay th
Trong bt k ng thc logic no, nu thay th mt bin no bng mt hm s
th ng thc vn thit lp.
Quy tc ny c ng dng rt ln trong bin i cng thc to ra cng thc mi
t mt cng thc bit, m rng phm vi ng dng ca cng thc bit.
V d:
b) Quy tc tm o ca mt hm s
Z l o ca hm s Z s c c t Z bng cch i du . thnh du + ; +
thnh du .; 0 thnh 1, 1 thnh 0, bin s thnh o ca bin s , o bin s
thnh nguyn bin s.
4

V d:
Khi tm o ca mt hm s, nhng gch ngang no (biu th php ton o) trn
nhiu bin th vn gi nguyn. Cng cn ch th t u tin x l cc k hiu: du mc,
du nhn, du cng. V d , theo th t php tnh phi lm php nhn AxB v CxD trc,
sau mi ti php cng gia chng. Vy th t x l k hiu tm o s dn ti kt qu
c) Quy tc i ngu
Hm Z v hm Z gi l i ngu, khi cc du + v ., vi cc gi tr 1 v 0
i ch cho nhau mt cch tng ng
V i ngu l tng h, nn nu mt ng thc tn ti i vi biu thc v tri
v biu thc v phi, th i ngu ca v tri v i ngu ca v phi cng l mt ng
thc.
Cn lu th t u tin x l khi tm biu thc i ngu
p dng quy tc i ngu c th lm cho s cng thc cn chng minh gim i mt
na. Sau khi chng minh hai biu thc bng nhau, cn c quy tc i ngu, cc i
ngu ca ng thc chng minh cng phi bng nhau. Vy nn, khi gii thiu nhng
cng thc sau y, chng ta s khng a ra cc cng thc dng i ngu ca chng.
6) Mt s cng thc thng dng
7) Nhng cng thc XOR (php cng vi s loi tr)
nh ngha php XOR:
Hm logic XOR =1 khi cc bin A,B ly cc gi tr khc nhau,
V XOR = 0 khi cc bin A, B ly cc gi tr bng nhau.
Tn hm XOR, v vy, mang ngha d hoc, hoc tuyt i
o ca XOR l:
Hm AxB = 1 khi cc bin A,B ly cc gi tr bng nhau
AxB = 0 khi cc bin A,B ly cc gi tr khc nhau
AxB c tn hm tng ng
1. Lut giao hon:

AB=BA

2. Lut kt hp:

(A B) C = A (B C)

3. Lut phn phi: A(B C) = AxB AxC


4. Cc php ton ca bin v hng s:
5. Lut i ch nhn qu
Nu A B = C
Th A C = B v B C = A
Chng minh:
V

AB

=C
5

Nn

ABB

=CB

A0

=BC

=BC

8. nh l trin khai
3.2 Cc phng php biu th hm logic
Khi nghin cu v x l nhng vn logic, ta c th dng nhng phng php
khc nhau biu th hm logic tu theo c im ca hm logic xt. Thng dng 4
phng php. l bng chn l, biu thc logic, bng Karnaugh v s logic. Chng ta
khng nhng cn nm vng tng phng php, m cn phi thnh tho chuyn i t
phng php ny sang phng php khc.
3.2.1 Bng chn l
Bng chn l bng miu t quan h gia cc gi tr ca hm s tng ng vi mi
gi tr c th ca bin s
1) Phng php lit k thnh bng chn l
Mi bin u vo c th ly 2 gi tr 1 v 0, nu c n bin u vo th c 2n t hp
cc gi tr khc nhau ca chng. nhn c bng chn l, ta phi lit k tt c cc t
hp gi tr ca bin u vo v gi tri xc nh ca hm u ra tng ng vi tng t hp
.
V d 3-2-1: Hy k bng chn l ca hm s sau:
Z= AB + BC + CA
Gii: c 3 bin u vo, tc l c 8 t hp cc gi tr ca chng. Thay gi tr
ca mi t hp vo hm s v tnh ra gi tr tng ng, ri lit k thnh bng 3-2-1 (Ni
chung, khi b st, khi trng lp, thng sp xp th t cc gi tr bin vo theo
tun t s m nh phn).
Bng 3-2-1:
A

V d 3-2-2: mt bng n ng cn ng, ngt c lp 4 ni khc nhau. Hy


vit bn chn l ca hm logic .
Gii: gi A,B,C l chuyn mch ng ngt 4 ni, ng in th cc bin ly gi
tr 1, ngt in th cc bin ly gi tr 0. Gi Z l trng thi n c iu khin, n sng
Z=1, n tt Z=0. Sau khi suy xt k, ta k c bng chn l 3-2-2
Bng 3-2-2
A

Thuyt minh

0 4 chuyn mch u ngt, n tt

1 C 1 chuyn mch ng, n sng

0 C 2 chuyn mch ng thi ng,

0 n tt

1 C 3 chuyn mch ng thi ng,

1 n sng

0 C 4 chuyn mch ng thi ng,


n tt

Nu phi gii quyt mt vn logic thc t, u tin ta hy lm r u l u vo,


u l u ra, dng bin i s biu th ; tip theo cn xc nh quan h tng ng ca
trng thi u ra - u vo. Cui cng lit k bng chn l mt cch chnh xc.
2) c im bng chn l
Bng chn l biu th hm logic di dng s, n c cc c im ch yu sau y:
7

a- R rng, trc quan. Sau khi xc nh gi tr bin u vo th c th tra bng chn


l bit gi tr tng ng ca hm u ra. Vy nn trong cc s tay vi mch s u c
bng chn l gii thiu chc nng logic ca vi mch
b- gii quyt mt nhim v thc t dng vn logic, th bng chn l l tin
nht. Vy nn trong qu trnh thit k logic ca mch s, vic u tin l phn tch yu
cu, k ra bng chn l.
Nhc im ch yu ca bng chn l l s ri rm nu bin s kh nhiu, khng
th dng cc cng thc v nh l ca i s logic tnh ton.
n gin, i khi ch k t hp cc gi tr u vo no tng ng hm s ly gi
tr bng 1. Nhng t hp thc t s dng khng cn, hoc lm cho hm sly gi tr 0 u
khng cn k ra.
3.2.2. Biu thc hm s
Biu thc hm s dng i s logic dng cc php ton v, hoc, o biu th quan
h logic gia cc bin trong hm.
1) Dng chun tc tuyn (tng cc tch)
Ch cn ch n t hp gi tr cc bin no tng ng hm c gi tr 1 trong bng
chn l. Trong t hp chn, gi tr 1 vit nguyn bin, gi tr 0 vit o bin, v kt qa
vit c mt s hng dng tch cc bin tng ng vi t hp xt nu em cng tt c
cc s hng nh vy, th ta c dng chun tc tuyn (Tng cc tch - ORAND) ca hm
logic
V d 3-2-3: Hy vit biu thc t bng chn l 3.2.3
Bng 3-2-3:
A

Gii: hm Z = 1 tng ng 4 t hp gi tr cc bin


ABC = 011,101,110,111. Cc s hng dng tch cc bin A BC, A B C, AB C , ABC.
Dng chun tc tuyn ca hm s:
Z= A BC+ A B C+ AB C + ABC (3-2-1)
Kt qu ny c chnh xc khng ? Chng ta c th nghim li
Biu thc hm s chun tc tuyn c tn gi nhn mnh hnh thc chun ca cc s
hng dng tch trong biu thc. Chng ta gi s hng chun ny l s hng nh nht.
2) S hng nh nht
a) nh ngha
S hng nh nht l mt khi nim quan trng trong i s logic. Nh v d 3-23, Z l hm ca cc bin A,B,C. 3 bin c 8 t hp cc gi tr kh d: 000,
001,010,011,100,101,110,111.

Tng

ng

ta c 8 s hng
ABC , ABC , ABC , ABC ,

dng

tch

ABC , ABC , ABC , ABC , ABC , ABC ABC , ABC , ABC , ABC , ABC , ABC .c im chung
A+ B +C

ca 8 s hng ny l:
- u c 3 tha s:
Mi bin s xut hin ch 1 ln di dng tha s hoc l nguyn bin hoc
l o bin
Vy chng ta gi 8 s hng dng tch c c im trn l s hng nh nht
ca cc bin A, B, C.
Ni chung, i vi trng hp n bin, s hng dng tch P c n tha s; trong P mi
bin u xut hin mt ln, v ch 1 ln m thi, hoc di dng nguyn bin, hoc di
dng o bin; P c gi l s hng nh nht ca n bin, n bin c tt c 2n s hng nh
nht. V mi bin u c 2 trng thi (nguyn bin v o bin), m tt c c n bin
b) Tnh cht s hng nh nht
Bng 3-2-4: bng chn l tan b s hng nh nht ca 3 bin s

ABC

ABC

ABC

ABC

ABC

ABC

ABC ABC

T bng 3-2-4, ta nhn thy cc tnh cht sau ca s hng nh nht:


Mi s hng nh nht tng ng vi mt t hp gi tr ca bin n bng 1, v
ch c mt t hp m thi.
Tch ca hai s hng nh nht bt k lun bng 0
Tng ca tt c cc s hng nh nht lung bng 1
c) S hng ti thiu l phn t c bn cu trc hm logic
Mt hm logic bt k u c th biu th di hnh thc l tng ca cc s hng nh
nht dng chun tc tuyn. Hn na, hnh thc l duy nht, tc l, mt hm logic ch
c mt biu thc duy nht biu th n di dng tng cc s hng ti thiu. Khng nhng
c th vit ra dng chun tc tuyn ca hm logic trc tip t bng chn l, m cn c th
dng cc cng thc v nh l ca i s logic, cng c th dng cch khai trin v bin
i c dng chun tc tuyn
V d 3-2-4: hy vit dng chun tc tuyn ca hm s Z = AB + BC + CA
Gii: Z = AB + BC + CA
V d 3-2-5: hy vit dng biu thc s hng ti thiu ca hm
Gii:
d) K hiu ca s hng nh nht
tin vit, thng gn cho mi s hng nh nht mt k hiu. Phng php nh
sau: t hp cc gi tr bin s tng ng vi s hng nh nht c xt, chuyn hnh thc
s nh phn sang s thp phn, con s ny l k hiu ca s hng nh nht xt V d,
trong cc s hng nh nht ca cc bin A, B, C th ABC tng ng t hp gi tr 000, tc
l 010, k hiu ca ABC v vy l m0 ; ABC tng ng t hp gi tr 010, tc l 210 k hiu
ABC l m2

10

Tng t ABC = m1; ABC = m3 ; ABC = m4 ; ABC = m5 ; ABC = m6 ; ABC = m7


;
Hn na, thng dng k hiu biu th cc s hng nh nht ca dng chun tc
tuyn; V d, trong v d 3-2-4:
Z= ABC + ABC + ABC + ABC thng vit thnh
Z= m3 + m5 + m6 + m7 = (3,5,6,7)
Tng t, trong v d 3-2-5:
Z= ABC + ABC = m0 + m7 = (0,7)
3) Dng chun tc tuyn ca o hm
Nu ly tng cc s hng nh nht tng ng vi cc t hp gi tr cc bin m
hm ly gi tr 0 trong bng chn l, th ta c dng chun tc tuyn ca o hm. V d,
bng chn l 3-2-3 ta c: Z = ABC + ABC + ABC + ABC
Z l o hm ca Z. Nu ta li ly o ln na ca Z , v trin khai theo nh l

trin khai, th ta s c dng chun tc tuyn ca Z m ta c v d 3-2-3:


4) Dng chun tc hi (tch cc tng)
Dng chun tc hi c th nhn c bng phng php sau:
T bng chn l tm dng chun tc tuyn ca o hm, sau dng nh l De
Morgan tm o ca o hm
V d, t phn trn ta tm c Z = ABC + ABC + ABC + ABC
Cc tha s ca hm s dng chun tc hi c tnh cht sau:
u bao gm tt c cc bin ca hm
Mi bin u xut hin mt ln v ch mt ln trong dng tng ca tha s, hoc l
nguyn bin, hoc l o bin.
Cc tha s c tnh cht nu trn c gi l tha s ln nht. Tch cc tha s ln
nht l dng chun tc hi ca hm s.
(3-2-2) l biu thc ca hm Z dng chun tc hi.
Ni chung, i vi trng hp hm n bin, tha s ln nht l mt tng ca n s
hng, mi s hng l mt bin, xut hin mt ln di dng nguyn bin hoc o bin v
ch xut hin mt ln m thi, n bin c tng ng 2n tha s ln nht. Bng 3-2-5 l bng
chn l ca ton b cc tha s ln nht tng ng hm 3 bin A, B, C
Bng 3-2-5:

11

A+B+ C A+ B +C A+ B + C A +B+C A +B+ C A + B +C A + B + C

A+B+C

Nhn xt bng 3-2-5, ta thy tha s ln nht c cc tnh cht sau;


Mi tha s ln nht tng ng vi mt t hp gi tr ca bin n bng 0, v ch
c mt t hp m thi.
Tng ca hai tha s ln nht bt k lun lun bng 1
Tch ca tt c cc tha s ln nht lun bng 0
Cch k hiu cc tha s ln nht nh sau: t hp cc gi tr bin s tng ng vi
tha s ln nht c xt chuyn hnh thc s nh phn sang s thp phn, con s ny l k
hiu ca tha s ln nht xt V d, trong cc tha s ln nht ca cc bin A, B, C th:
A+B+C

tng ng t hp

000,

chuyn thnh 010,

k hiu

M0

A+B+ C

tng ng t hp

001,

chuyn thnh 110,

k hiu

M1

A+ B +C

tng ng t hp

010,

chuyn thnh 2,

k hiu

M2

A+ B + C

tng ng t hp

011,

chuyn thnh 3,

k hiu

M3

A +B+C

tng ng t hp

100,

chuyn thnh 4,

k hiu

M4

A +B+ C

tng ng t hp

101,

chuyn thnh 5,

k hiu

M5

A + B +C

tng ng t hp

110,

chuyn thnh 6,

k hiu

M6

A+ B +C

tng ng t hp

111,

chuyn thnh 7,

k hiu

M7

Cch vit k hiu rt thun tin. Ch rng m1 v M1 l o ca nhau:


m1 = M i
V d: m0 = ABC
m0 =

m5 = ABC

M0 = A + B + C
= A + B + C = ABC

M5 = A +B+ C

12

m5 =

= A + B + C = ABC

Tha s ln nht cng l phn t c bn cu trc hm logic. Biu thc hm s (3-22) c th vit di dng:
Z = M0 M1 M2 M4 =

(0, 1, 2, 4)

c im cc biu thc hm s
Mt hm logic c biu th bng biu thc cc php ton V, hoc, o ... lin kt
cc bin s ca n vi nhau. u im ca phng php biu thc hm s l:
- Dng cc k hiu logic biu th quan h logic gia cc bin lm cho cch vit gn
v tin, tnh khi qut v tru tng rt cao.
- Rt tin s dng cc cng thc v nh l ca i s logic bin i, lm ton.
- Tin cho vic dng s logic thc hin hm s. Ch cn dng cc k hiu
logic ca mch in cng tng ng thay th php ton xt trong biu thc hm s, ta
c mt s logic. Vn ny cn c gii thiu c th sau.
Nhc im ch yu ca phng php biu thc hm s l kh xc nh gi tr hm
ng vi gi tr bin mt cch trc tip i vi cc hm s phc tp (khng trc quan
nhbng chn l).
3.2.3. Bng Karnaugh:
Bng Karnaugh l phng phphnh v biu th hm logic, trong cc gi tr hm
u ra tng ng t hp cc bin u vo u c biu th y . Trn c s bng
Karnaugh ca cc bin, in cc s hng nh nht ca hm s vo cc tng ng th ta
c bng Karnaugh ca hm.
1/ Bng Karnaugh ca bin logic:
a/ Hnh 3-2-1 trnh by bng Karnaugh 3 bin v 4 bin.
b/ Qui tc v bng Karnaugh ca bin
nh sau:
- Bng Karnaugh c dng hnh ch nht.
N bin c 2n , mi tng ng vi mt s hng
nh nht. V d hnh 3-2-1, n=3 tng ng bng
23 = 8 , n = 4 tng ng bng 24 = 16 .
- Gi tr cc bin c sp xp th t
theo m vng. (Nu khng sp xp thu t theo
m vng th khng cn l bng Karnaugh na).
V d: S sp xp ca AB v CD u l
00, 01, 11, 10(hnh 3-2-1).
13

M vng c th suy ra t m s nh
phn nh sau. Gi s cho m s nh phn l

Hnh 3-2-1
Bng Karnaugh c xem nh s
khi ca cc s hng nh nht

B3, B2, B1, B0 , m vng tng ng l G3, G2,


G1, G0, thc th tnh Gi = Bi+1 Bi . C th, G0 = B1 B0+; G1 = B2 B1; G2 = B3 B2 ;
G3 = B4 B3 = 0 B3 = B3 (B4 = 0). Hnh 3-2-2 l bng Karnaugh 5 bin v 6 bin.
Bng 3-2-6 l m vng tng ng vi m nh phn (3 bit)

Hnh 3-2-2 (a)

Hnh 3-2-2 (b)


Hnh 3-2-6

B2

B1

B0

G2

G1

14

G0

c/c im bng Karnaugh ca bin:


-u im ln nht ca bng l lm ni bt tnh k nhau ca cc s hng nh nht.
Cc k nhau bt k trn bng u c cc s hng nh nht u c tnh k nhau v logic.
S sp xp gi tr cc bin theo m vng bo m c im quan trng ny.
Tnh k nhau bao gm 3 tnh hung sau: cc k nhau, cc u cui ca hng v
ct, cc i xng u phi c mt gi tr i nhau ca bin v ch mt m thi. c im
ny ca bng cho php d dng nh v phn bit, kim tra, tnh ton bng bng, nht l khi
c n 5, 6 bin (xem hnh 3-2-2). Nh trn ni, nu trong 2 s hng nh nht c v ch
c 1 bin ly gi tr khc nhau, cn tt c cc bin khc u ly gi tr nh nhau, th hai s
hng nh nht c tnh k nhau v logic. V d, trong hnh 3-2-1, m0 c tnh k nhau v
logic vi m1, m2 v m4.
Khi cng cc s hng nh nht c tnh k nhau, th bin i nhau trong s b
kh. V d m0 +m1 = ABC + ABC = AB ( C + C) = AB ; AB l tha s chung ca m0 v
m1; m0 +m2 = ABC + ABC = A C kh mt B v B; m0 + m4 = ABC + ABC = BC kh
mt A v A.
-Nhc im ch yu ca bng Karnaugh: nu s bin tng th phc tp ca
bng tng nhanh. V d nu s bin t 7 tr i th hnh v qa phc tp, hn na rt kh
xt on tnh k nhau v logic ca cc s hng nh nht. V vy, bng Karnaugh ch thch
hp biu th hm logic c s bin t 6 tr li.
2/ Bng Karnaugh ca hm logic
a/ Cch v: c 3 trng hp
Trng hp 1: cho bng chn l ca hm.
Trn bng Karnaugh ca bin, in gi tr 1 vo m hm ly gi tr tng ng t
hp gi tr cc bin ca xt, in gi tr 0 vo m hm ly gi tr 0 tng ng t hp
gi tr cc bin ca xt.
V d 3-2-5:
Cho bng chn l 3-2-7 (hnh di)
Hy v bng Karnaugh ca hm Z
Gii:
- u tin v bng Karnaugh cho 4 bin A, B, C, D.
- Tip theo in cc gi tr ca hm Z vo cc tng ng ph hp vi bng chn
l.

15

Bng 3-2-7
- Kt qa : Hnh 3-2-3

Hnh 3-2-3

Hnh 3-2-4 a/Cc c ghi k hiu s hng nh nht


b/ Cc ghi gi tr 1 v 0 ca hm

16

Trng hp 2; cho biu thc ca hm di dng chun tc tuyn trn bng


Karnaugh ca bin, in gi tr 1 vo cc tng ng vi tng s hng nh nht c trong
biu thc, cc khc u in vo gi tr 0.
V d 3-2-6
Hy v bng Karnaugh ca hm logic
Z = (0,3,5,6,9,10,12,15)
Gii:
-V bng Karnaugh ca hm logic
-in gi tr
-Kt qa: hnh 3-2-4
Trng hp 3: cho biu thc khng chun tc ca hm.
-Bin i hm cho thnh dng tng cc tch
-Trn bng Karnaugh ca bin, in gi tr 1 vo tt c cc tng ng s hng
nh nht bao hm trong s hng dng tch ni trn, sau in gi tr 0 vo cc cn li.
V d: 2-2-7:hy v bng Karnaugh ca hm Z = ( A B )(C + D)
Gii:
-Bin hm thnh dng tng cc tch:
Z = ( A B )(C D) = A B + C + D = AB + AB + C D
-Xc nh mi s hng bao gm nhng s hng nh nht
no:
AB = m0 + m1 + m0 + m3

AB = m12 + m13 + m14 + m15


Hnh 3-2-5

C D = m0 +m4 + m8 +m12

-Kt qa v c nh hnh 3-2-5


(i chiu hnh 3-2-4a)
b/ T bng Karnaugh k ra bng chn l v vit biu thc:
Bng chn l hm dng chun tc tuyn v bng Karnaugh u l duy nht biu th
cho mt hm, chng tt c quan h chuyn i nhau. Thc t cc phn trn chuyn
i ri.
u im ni bt nht ca bng Karnaugh l tnh k nhau v logic ca cc s hng
nh nht ca hm biu th r rt thnh s lin kt hnh hc ca cc trong bng, do d
dng ti thiu ho hm cho. Vn ny s ging chi tit phn sau.
3.2.4. S logic
17

Trong mch s, sau khi dng cc k hiu logic biu th mt cu trc logic trn mt
bn v, ta c s logic. S logic cng l mt phng php biu th hm logic, hn
na li c u im ni bt l rt tip cn thc t. Cc k hiu
logic thng thng u c cu kin in t c th tng ng,
vy nn thng gi s logic l s mch logic.
1) Cch v s logic ca hm logic
Nh trn ni, ta dng k hiu logic ca mch in
t thay th php tnh logic c trong biu thc hm logic th
c s logic ca hm.
V d 3-2-8: cho hm Z = AB + BC + CA

Hnh 3-2-6

Hy v s logic ca Z.
Gii: Quan h nhn logic ca cc bin A v B, B v C, C v A c thc hin bng
cc cng AND. Quan h, cng logic ca cc s hng AB, BC v CA c thc hin bng
cng OR. Kt qu: hnh 3-2-6
V d 3-2-9: cho hm Z = A B C D
Hy v s logic ca Z.
Gii: quan h cng vi php loi tr gia cc bin A, B, C, D c thc hin qua
cng XOR kt qu xem hnh 3.2.7

Hnh 3-2-7
a) Cu trc hnh thp (tr truyn t nh);
b) Cu trc ni mt xch (tr truyn t ln).

2) Cch xc nh biu thc t s logic


Trn s d logic, t u vo n u ra, vit
biu thc hm u ra ca tng cp, cui cng c
biu thc hm logic ton s .
V d 3-2-10: cho s hnh 3-2-8.
Hy vit biu thc hm logic ca s
Gii: Z1 = AB
Z2 = ABC
18

Z = Z1Z2 = AB ABC
3/ c im ca s logic
Cc k hiu logic trong s logic c quan h ph hp vi cu kin in t trong
thc t, vy s logic tng i tip cn thc t cng trnh. Trong cng tc, khi tm hiu
chc nng logic ca mt h thng s no hay thit b c iu khin s no ,
thng ta cn dng s logic, v rng so logic c th

Hnh 3-2-8.

biu th r rng chc nng logic tng tng ca cc mch


in thc t phc tp. Trong vic ch to cc thit b s, vic u tin l thit k logic
v ra s logic, ri chuyn t s logic thnh mch in thc t.
3.3 Phng php ti thiu ho hm logic
Trc tip thit k s mch logic hm s c c t bng chn l thng l rt
phc tp. Nu sau khi c thc hin ti thiu ho hm logic, ni chung vic thc hin
thun tin hn, khng nhng ch dng s cu kin t hn, m nng cao c tin cy.
Di y s ni n khi nim ti thiu ho, tip theo s gii thiu 2 phng php thng
dng ti thiu ho.
3.3.1 Khi nim v ti thiu ho
1) Cc loi biu thc logic v s thc hin bng mch in
Ta bit, mt hm logic c th c rt nhiu biu thc khc nhau. Chng ta c th
phn loi th cc hm logic thnh 5 loi cn c vo c im v quan h gia cc s hng
dng tch trong hm: OR-AND, ANDOR, NAND-NAND, NOR-NOR, NOR-AND. V d:
Z = AB + A C

dng biu thc

OR-AND

Z = (A + C) ( A + B)

AND-OR

Z = AB AB

NAND-NAND

Z = A+CA+ B

NOR-NOR

Z = AB + AC

NOR-AND

Khi dng cc cng logic thc hin cc hm logic ny, tin nht l: hia loi u
dng cng AND v cng OR, loi th ba dng NAND, loi th t dng NOR, loi th
nm

dng NORNAND
Hnh 3-3-1 di y

gii

ghiu mch in cc hm

ni

trn:

19

Hnh 3-3-1

Trn thc t, khi chng ta vit mt hm logic di mt dng no , th dng c


c khng phi l duy nht. V d, biu thc OR-AND trong cc v d trn c th vit
thnh:
Z = AB + A C
= AB + A C + BC

(3-31a)
(3-3-1b)

= ABC + AB C + A BC + A B C (3-3-1c0
Dng cc cng AND V OR thc hin (3.3.1a) ta c mch n gin nht. Ni
chung, nu biu thc cng n gin th mch in cng cng n gin. Nhng i vi cc
biu thc dng khc nhau th tiu chun v s n gin c khc nhau. Ta s lm r iu
ny qua v d v biu thc OR-AND
2) Biu thc OR-AND ti thiu
a) Th no l ti thiu
- u tin s cc s hng dng tch phi l t nht
- Nu iu kin trn m bo th s bin ca mi s ahngj cng phi l t nht.
V d: Z = A C + B C + A B + A C

(3-3-2a)

= AC + BC + AC

(3-3-2b)

A B c kh b theo quy tc ca cng thc 17. R rng (3-3-2B) n gin hn (3-

3-2a) v n t hn mt s hng.
b) ngha vic ti thiu ho biu thc OR-AND
Chng ta tp trung nghin cu phng php ti thiu ho biu thc OR-AND, v
ch cn c biu thc OR-AND ti thiu, ta s d dng c c cc biu thc dng khc
cng ti thiu. C hai l do: mt l, mt biu thc logic bt k u dng trin khai thnh
biu thc dng OR-AND; Hai l, t biu thc dng OR-AND ti thiu, cng d dng c
c cc biu thc ti thiu dng NAND-NAND, NORAND.V.V
3.3.2 Phng php ti thiu ho bng cng thc

20

Da vo cc cng thc v nh l trong i s logic thc hin ti thiu ho. V


trong thc t, biu thc logic rt a dng, li khng c mt cch no hon chnh nh mt
quy trnh, nn vic t n mt biu thc logic ti thiu mt cch nhanh nht s hon ton
phc thuc kinh nghim, hiu bit v thnh tho ca chung ta.
Cc v d v ti thiu ha:
V d 3-3-1: Hy ti thiu ho hm Z = A B C + A B C
Gii: Z = A B C + A B C =A B (C + C ) = A B (cng thc 14)
V d 3-3-2: Hy ti thiu ha hm Z = A(BC + B C )+ A( BC + BC )
Gii: Z = A[(BC+ B C ) + ( BC + BC )] = A
V d: 3-3-3: Hy ti thiu ho hm Z = A B + A B CD(E + F)
Gii: Z = A B + A B CD(E + F) = A B (cng thc 15)
V d: 3-3-4: Hy ti thiu ho hm Z = AB + A C + B C
Gii: Z = AB + A C + B C = AB + ( A + B )C
= AB + AB C = AB + C (cng thc 16)
V d 3-3-5: Hy ti thiu ho hm Z = A B + AC + ADE + C D
Gii: Z = A B + AC + C D +ADE = A B + AC + C D (H qu cng thc 17)
Vic kh i s hng hay bin trong s hng l do chng c bao hm trong cc s
hng khc. iu ny khc hn i s thng!
V d 3-3-6: Hy ti thiu ho hm Z = AB + BC + BC + AB
Gii Z = A B + B C + B C + A B
Z = (A B + B C + A C + A C ) + ( B C + A B)
Z = (A B + B C + A C ) + (B C + A B + A C )
Z = ( B A + B C + A C ) + (B C + A B + A C )
Z = ( B C+ A C ) + ( A B + A C )
Z = B C + AB + AC
Cch gii trn y ng vi cng thc 17, thm vo v bt i rt linh hot. Chng
hn nu thm vo hay bt i AC, th hm cho v d 3-3-6 c dng ti thiu ha mi:
Z = A B + BC + B C + AB = A B + BC + AC
Theo cng thc 11, ta c th ch vit 1 s hng A C nhng ngm hiu c th gp
n vo nhiu nhm. Trong v d ny, thm vo ch mt, nh gp vo hai nhm nn bt i
hai, kt qu l kh bt 1 s ahngj. Trong trng hp tng qut, ta thng ng dng nhiu
cng thc v nh l c th ti thiu ho mt hm phc tp.
V d 3-3-7: Hy ti thiu ha hm
Z = AD + A D + AB + A C +BD + A CEF + B EF + DEFG
Gii:
21

- Dng cng thc 14: AD + A D = A


Z = A + AB + A C + BC + A CEF + B EF + DEFG
- Dng cng thc 15: Kh b AB, A CEF
Z = A + A C +BD + B EF + DEFG
- Dng cng thc 16: Kh b A trong s hng A C
Z = A + C + BD + B EF + DEFG
- Dng cng thc 17: kh b DEFG, vy:
Z = A+ C+ BD + B EF
3.3.3 Phng php ti thiu ha bng hnh v
Phng php ny dng bng Karnaugh.
1) Quy lut gp (dn) cc s hng nh nht trn bng Karnaugh
Trn bng Karnaugh ca bin, tt c cc s hng nh nht k nhau u c th gp
vi nhau, khi gp li th c th kh b bin lin quan. C th, c 2 s hng nh nht gp
li th kh b c mt bin, c 4 s hng nh nht gp li (thnh mt s hng) th kh b
c 2 bin, c 8 s hng nh nht gp li th kh c 3 bin. Ni tng qut, 2n s hng
nh nht gp li (thnh mt s hng) th kh c n bin. V rng 2n s hng nh nht
cng vi nhau (gp li), tr cc tha s chung ri th cn li 2 n s hng dng tch, va
ng bng ton b s hng nh nht ca n bin cn kh b. Ta bit tnh cht ca s
hng nh nht, tng ca chng bng 1.
Cc hnh 3-3-2, hnh 3-3-3, hnh 3-3-4 v tng ng cc trng hp c 2,4,8 s
hng nh nht c gp.

22
Hnh 3-3-2

Hnh 3-3-4

23

2) Dng bng Karnugh ti thiu ho hm logic


Ni chung, quy trnh c 3 bc
- V bng s hng nh nht
- Gp cc s hng nh nht
- Chn s hng vit biu thc OR-AND ti thiu
V d 3-3-8 : Dng hnh v ti thiu ho hm
Z = (1,3,4,5,10,11,12,13)
Gii : - v bng Karnaugh ca hm Z : V bng
Karnaugh ca 4 bin A,B,C,D . Trn hnh nh du tt

Hnh 3-3-5

c cc s
Hng nh nht ca hm . Xem hnh 3-3-5
- Gp cc s hng nh nht .
Theo phng php gii thiu trc y , khoanh vng cc s hng nh
Nht c th gp . T hnh 3-3-5 , ta c :
(4,5,12,13) = B C
(1,3)

= A BD

(10,11)

=A B C

(1,5)

=A CD

(3,11)

= B CD

- Chn s hng vit biu thc OR-AND ti thiu .


Nguyn tc chon s hng :
- Phi bao gm cc s hng nh nht ca hm
- S cc s hng c chn phi l t nht
- S tha s ca mi s hng cng phi l t nht .
Trong v d ny , c th chn B C , A B D, A B C
Vy kt qu ti thiu ho , ta c:
Z = BC + A B D + A B C
3) My vn cn lu
- Vng gp phi cng to cng tt . Tng ng s cc s hng nh nht c gp li
cng nhiu ; do , sau khi gp , s hng cng t tha s .
- Mi vng gp bao gm t nht mt s hng nh nht khng c trong vng khc .
Vng bao gm cc s hng u c trng vng khc , th vng l tha . Mt khc, mi
s hng nh nht c th c s dng nhiu ln ( c mt trong nhiu vng khc nhau)

24

-Phi khoanh vng sao cho ton b s hng nh nht ca hm s u c cc vng ,


khng st . Cc tha s tng ng ca s hng vng gp lm thnh s hng ca hm ti
thiu ho .
- Trong mt s trng hp , c th c nhiu cch khoang vng, ngha l c th c
nhiu vng ti thiu . Nhng hm ti thiu ny cn c so snh , kim tra chn ra u
l hm ti thiu thc s ( ti thiu ca ti thiu !)
- Khi gp cc s hng nh nht , ngha l khi khoanh vng , c 2 iu sau y d
qun : mt l , phi nh rng 4 4 gc bng Karnangh cng c th gp vi nhau (xem
hnh 3-3-3g); hai l, v vng ln trc vng b sau, kim tra
xem : mi vng c t nht mi s hng nh nht khng c
trong vng khc. Khng lu n nhng vn ny , biu
thc hm s t c khng chc l ti thiu .
V d 3-3-9 : Dng hnh v ti thiu ho hm
Z = (1,4,5,6,8,12,12,15)
Gii :
- V bng Karnaugh ca hm Z

Hnh 3-3-6.

xem hnh 3-3-6


- Gp cc s hng nh nht.
Tuy vng m4 + m5 +m12 + m13 , nn vng ny tha.
-Biu thc OR-AND ti thiu:
Z = A C D + A B D + A C D + ABD
V d: 3-3-10: Dng hnh v ti thiu ha hm
Z = A C B( AC D + AC D)

Hnh 3-3-7

Gii:
-Bin i hm Z thnh dng biu thc OR AND
Z = A C.B ( AC D + AC D)
= A C + B ( AC D + AC D)
= A C + AC + A B C D + A B C D
- V bng Karnaugh nh hnh 3-3-7
25

- Gp cc s hng nh nht.
Lu cc 4 gc bng c th gp l m0 + m2 +m8, m10. (nu gp thnh 2 vng nh
m0 , m2 v m8, m10 th vn cha c ti thiu).
-Vit hm ti thiu: Z = A C +AC + B D
4/ Dng bng Karnaugh tm hm OR-AND ti thiu ca hm o
Nu trn bng Karnaugh ca hm s, ta gp tt c cc s hng nh nht ng vi gi
tr 0 ca hm xt, th ta c biu thc OR-AND ti thiu ca
hm o Z .
V d: 3-3-11: cho Z = AB + BC + CA. Dng hnh v tm
ra biu thc OR-AND ti thiu ca Z .
Gii:
Hnh 3-3-8

- V bng Karnaugh ca hm Z
Hnh 3-3-8
- Gp cc s hng nh nht ng vi Z = 0
m0 + m1 = A B , m0 + m2 = A C
m0 + m4 = B C
- Vit biu thc hm OR-AND ti thiu ca Z:
Z= AB + BC + AC

3.3.4. Chuyn i biu thc OR-AND ti thiu thnh biu thc ti thiu cc
dng NAND-NAND, NORAND, NOR-NOR
Sau khi nghin cu xong phng php ti thiu ha biu thc dng OR-AND,
chng ta ngh ngay n vn : Lm th no t biu thc OR-AND ti thiu c c
biu thc ti thiu cc dng khc.
1/ Tnh hon ho ca php tnh NAND v NOR
Mi php ton trong i s logic u c th qui v 3 php ton c bn AND, OR,
o. S dng cc php ton NAND v NOR cng rt d dng thc hin 3 php ton c
bn trn . V d:
Z = A . B = A.B = A + B
Z = A + B = AB = A + B
Z = A = A.1 = A + 0
Vy php tnh NAND v NOR l hon ho, vn nng. Cho nn, trong cc mch
in vi mch s, cc cng NAND v NOR tr thnh cc phn t c bn, in hnh.
2/ Biu thc NAND NAND

26

V trong cng tc thc t ta dng nhiu cng NAND, nn vic chuyn i biu thc
OR-AND ti thiu ha thnh biu thc NAND-NAND l cng vic v cng quan
trng.
Biu thc NAND-NAND ti thiu phi l:
-K hiu o t nht(khng k n o bin, o bin coi nh c sn)
-S cng NAND cn c cng t nht.
-S bin vo t nht (s u vo ca cng NAND t nht)
i vi mch in 2 cp cng NAND, dng phng php o 2 ln th c th
chuyn i biu thc OR-AND ti thiu thnh biu thc NAND-NAND ti thiu.
V d 3-3-12: Hy chuyn i hm Z = A B +B C +C A thnh biu thc
NAND-NAND ti thiu.
Gii: p dng nh l De Morgan n cp s hng (khng n cp bin s):
Z = AB + BC + C A = ABBCC A

Ly o ln na
Z = Z = ABBCC A
Mch in dng cng NAND thc hin, xem hnh 3-3-9
3/ Biu thc NORAND ti thiu:
Chng ta cn biu thc NORAND ti thiu khi mun dng cng NORAND
thc hin hm logic. Ni chung, cho php xut
hin k hiu o kt hp vi NORAND.
C hai phng php chuyn i t biu
thc OR-AND ti thiu thnh biu thc
NORAND 2 cp ti thiu.
a/ Ly o 2 ln i vi hm Z
V d:
Z = AB + C D
Hnh 3-3-9

Z = AB + C D
Mch in thc hin Z xem hnh 3-3-10
b/ Tm biu thc OR-AND ti thiu ca Z, sau
d ly o ln na
Hnh 3-3-10

V d: Z = AB + A B
Tm biu thc OR-AND ti thiu ca Z = A B + A B
27

Ly o ln na, ta c
Z = Z = AB + AB
Mch in thc hin Z xem hnh 3-3-11a (s 3-3-11b l kt qa phng php
ly o 2 ln ni trn, r rng phc tp hn)
4/ Biu thc NOR-NOR ti thiu
Biu thc NOR-NOR ti thiu c dng khi chng ta mun thc hin hm logic
bng cng NOR.
Biu thc NOR-NOR ti thiu l:
- S cng o t nht.
- S u vo t nht
Cch thc hin hm NOR-NOR ti
thiu (2 cp):
- Tm biu thc OR-AND ti thiu
ca Z
- p dng nh l De Morgan c
biu thc ti thiu dng AND-OR
- Ly o 2 ln na c biu thc
NOR-NOR ti thiu
V d 3-3-1: Hy vit hm Z = AB +BC +CA dwois

Hnh 3-3-11

dng biu thc NOR-NOR ti thiu.


Gii:
- Tm Z dng OR AND:
V bng Karnaugh ca hm Z v gp cc s hng
nh nht tng ng Z =0 (hnh 3-3-12)
Ta c: Z = A B + B C + C A
- p dng nh l De Morgan c dng AND-OR:
Hnh 3-3-12

Z = Z = AB + BC + C A = AB.BC.C A
= (A+B) (B+C) (C+A)
- Ly o 2 ln c dng NOR-NOR:
Z = Z = ( A + B)( B + C )(C + A) = ( A + B) + ( B + C ) + (C + A)
- Mch in logic xem hnh 3-3-13

28

3.4 S ti thiu ha hm logic rng buc


3.4.1. Khi nim rng buc, iu kin rng buc
1/ Rng buc, phn t rng buc, iu kin rng buc:
a/ Rng buc: Rng buc l khi nim quan trng ni
v mi quan h qui nh ln nhau gia cc bin dng trong
mt hm logic.
V d: 3-4-1: Ngy l quc t Ph n 8-3, mt n v
n t chc chiu i phim, v ch pht cho ph n ca n v .

Hnh 3-3-13

Hy xem xt vn logic .
Gii: Cn c c th lit k bng chc nng 3-4-1
Bng 3-4-1
Thuc n v

Nam hay n

C v khng

khng

c vo

Thuyt minh

rp khng
Khng

Nam

Khng

Khng

Nam

Khng

Khng

Khng

Nam

Khng

Nam

Khng

Khng
Khng c trng hp ny
Khng
Khng c trng hp ny
Khng
Khng c trng hp ny
Khng
C

Nu dng A, B, C biu th cc bin logic tng ng 3 ct u bng 3-4-1, cc bin


ly gi tr 0/1 tng ng khng /c thuc n v, nam/n, khng/c v, v nu dng Z biu
th hm logic Z = 0/1 tng ng khng/c c vo rp xem phim, th ta c bng chn l 34-2 sau:

29

Bang 3-4-2 :

Thuyet minh
Khong xay ra
Khong xay ra
Khong xay ra

Bang 3-4-2 cho biet rang, cac bien A, B, C ch lay cac gia tr co the la 000, 010,
100, 110, 111 va khong the lay cac gia tr 001, 011, 101 v ve xem phim ch phat cho
phu n cua n v. Vay gia cac bien A, B, C co mot quan he rang buoc nhat nh, hay
la ta goi chung la mot nhom bien rang buoc. Ham logic rang buoc la ham co cac bien
rang buoc.
b) Phan t rang buoc : Cac so hang nho nhat co cac to hp gia tr khong xay ra,
nh trong v du 3-4-1, la A B C , ABC , A B C . Nhng gia tr o c goi la so hang rang
buoc.
Nh ta a biet ve so hang nho nhat, moi so hang nho nhat co mot to hp gia tr
cac bien tng ng e no lay gia tr 1, so hang rang buoc luon bang 0 v to hp gia tr
cac bien tng ng e no lay gia tr 1 thuoc vao gia tr khong xay ra.
c) ieu kien rang buoc : Bieu thc logic cau truc bang tong cac so hang rang
buoc c goi la ieu kien rang buoc. V so hang rang buoc luon bang 0, nen tong cac
so hang rang buoc cung bang 0, vay ieu kien rang buoc bang 0.
2) Phng phap bieu th ieu kien rang buoc
a) Trong bang chan l dung dau x bieu th, xem v du bang 3-4-2 tren ay. Gia
tr ham so tng ng to hp gia tr bien cua so hang rang buoc co dau cheo x.
30

b) Trong bieu thc logic dung ang thc


ieu kien rang buoc bang 0 e bieu th. V du,

BC
A

t bang 3-4-2 ta co :
A B C , ABC , A B C = 0 hay la (1,3,5) =

00

01

11

10

hay di dang OR-AND toi thieu :


AC + BC = 0

Hnh 3-4-1.

c) Trong bang Karnaugh dung dau x bieu th, v du, tng ng vi bang 3-4-2
la bang Karnaugh hnh 3-4-1.
3-4-2. Toi thieu hoa ham logic rang buoc
Mau chot cua van e la dung ieu kien rang buoc nh the nao. Noi chung neu
tan dung ieu kien rang buoc th co the nhan c bieu thc toi thieu hoa.
1) ng dung ieu kien rang buoc e toi thieu hoa
a) Phng phap cong thc
Trong phng phap cong thc, tuy yeu cau, co the tuy y cong them hoac kh bo
so hang rang buoc. So hang rang buoc bang 0, nen them bt 0 vao bieu thc logic
khong lam thay oi gia tr bieu thc o. V du, bang 3-4-2, ta co : Z = ABC.
Z = ABC + AC + BC = C (AB + A + B) = C (AB + AB) = C

b) Phng phap hnh ve


Trong phng phap hnh ve, tuy yeu cau, co the tuy y khoanh vong qua so hang
rang buoc. V so hang rang buoc bang 0, nen s gop them no khong lam thay oi gia tr
ham so. V du hnh 3-4-1, co the khoanh vong 4 o gia, ta co :
Z= m1 + m3 + m5 + m4 = C
Phan tch bang 3-4-1, 3-4-2, chung ta se ro y ngha thc te cua viec toi thieu hoa
dung ieu kien rang buoc. Z = ABC ngha la ngi gac rap chieu phim phai kiem tra
ve, lai phai phan biet gii tnh va ni cong tac cua ngi co ve : Phien phc qua. Z = C
ngha la ngi gac rap chieu phim ch can kiem tra ve : That n gian. Tuy nhien, ieu
kien rang buoc phai bao am la ve ch phat cho phu n cua n v ma thoi. Neu khong,
ngi khong c phep cung vao xem phim. Noi mot cach tong quat, can bao am ieu
kien rang buoc khi toi thieu hoa ham logic rang buoc. Neu khong, co the sinh ra sai
lam.
31

2) Toi thieu hoa ham logic co bien loai tr nhau


Trong mot nhom bien so, neu ch co mot bien lay gia tr 1 th gia tr cac bien
khac phai bang 0. o la cac loai tr nhau.
V du 3-4-2 : Cac bien A, B, C cua ham Z la loai tr nhau.
Hay ke bang chan l cua Z va dung phng phap cong thc, phng phap hnh
ve e tm bieu thc ham so toi thieu dang ORAND.
Giai :
- Bang 3-4-4 di ay can c vao khai niem cac bien loai tr nhau.

Bang 3-4-4 : DAU x BIEU TH TRNG HP KHONG XAY RA

- Dung cong thc toi thieu hoa


Z = A BC + ABC + A B C

ieu kien rang buoc ABC + A BC + ABC + ABC = 0

32

Z = A BC + ABC + A B C + ABC + A BC + ABC + ABC


= (A BC + ABC) + (ABC + ABC) + (A B C + A BC) + ABC
= AC + BC + (A B + ABC)
= AC + BC + A(B + BC)
= AC + BC + A B + AC)
= (AC + AC) + BC + A B
= C + BC + A B
=A + B+C

- Dung hnh ve toi thieu ve bang

BC

Karnaugh nh hnh 3-4-2.

Gop theo khoanh vong


m4 + m5 + m6 + m7 = A

00

01

11

10

m2 + m3 + m6 + m7 = B
m1 + m3 + m5 + m7 = C

Hnh 3-4-2.

Ham toi thieu hoa : Z = A + B + C


oi vi ham logic ma cac bien loai tr nhau, bang chan l thng co dang n
gian ac biet, v du, t bang 3-4-4 ta co bang 3-4-5.
Bang 3-4-5
Bien

Ham Z

Ham logic co bieu thc dang tong cac


bien : Z = A + B + C

BC
A

3) V du ve toi thieu hoa


V du 3-4-3 : hay toi thieu hoa ham
Z = AC + A B C
ieu kien rang buoc B C = 0

00

01

11

10

Hnh 3-4-3.

Giai :
33

- Dung cong thc


Z = AC + A B C = AC + A B C + B C = C(A + A B ) + B C = AC + B C + B C
Z = AC + B

- Dung hnh ve (hnh 3-4-3)


- Gop khoang vong
m0 + m1 + m4 + m5 = B
m5 + m7 = AC
Z = B + AC
V du 3-4-4 : Cho bang chan l cua ham logic rang buoc (bang 3-4-6). Hay tm
bieu thc toi thieu hoa cua ham dang OR- AND va dang AND-OR.
Giai : T bang chan l 3-4-6 ve bang Karnaugh hnh 3-4-4.
Bang 3-4-6 :
A

34

CD
AB

00

01

11

10

00

01

11

10

Hnh 3-4-4.

- Tm dang OR-AND :
Khi khoanh vong m10, m11, m12, m13, m14, m15 c tan dung x l gop, ta co :
Z = B D + BC + BD + A
(3-4-1)
- Tm dang AND-OR :
Neu tren ay gop cac so hang rang buoc vao vong cac o gia tr 1, th ay ta
chia so hang rang buoc thanh hai nhom :
m12 va m14 gop vao cac o gia tr 0, con m10, m11, m12 va m15 gop vao cac o gia tr
1. Ta co : Z = A B C D + BD
(3-4-2)
Lay ao lan na ta co :
Z = Z = A B C D + BD
= A B C D BD
= ( A + B + C + D) ( B + D)

Can thuyet minh them ieu sau ay ; ham Z (3-4-1) va ham ao Z (3-4-2) khong
n gian la ao cua nhau, neu quen i ieu kien rang buoc. Noi cach khac, neu khong
co ieu kien rang buoc th (3-4-1) va (3-4-2) khong the la cac bieu thc ao cua nhau.
Xuat x cua chung la do trong qua trnh x l cac so hang rang buoc, ta gop tat ca vao
vong cac o gia tr 1 e i en (3-4-1_, nhng lai chia chung thanh hai nhom e i en
(3-4-2). Muon lay ao e chuyen oi qua lai hai bieu thc ham so (3-4-1) va (3-4-2), ta
phai tan dung ieu kien rang buoc.

35

CHNG 4
MACH LOGIC TO HP

4.1 AC IEM C BAN VA PHNG PHAP THIET KE CUA MACH


LOGIC TO HP
4.1.1. Phng phap bieu th va phan tch ac iem c ban va chc nang logic
cua mach logic to hp
Can c vao ac iem va chc nang logic, chung ta phan loai mach so thanh hai
loai chnh : mach to hp va mach day (mach day c trnh bay trong chng sau).
1) ac iem c ban cua mach to hp
Trong mach so, mach to hp la mach ma tr so on nh cua tn hieu au ra thi
iem bat k ch phu thuoc vao to hp cac gia tr tn hieu au vao thi iem o. Trong
mach to hp, trang thai mach ien trc thi iem xet, tc trc khi co tac ong cua tn
hieu au vao, khong anh hng en tn hieu au ra. ac iem cau truc mach to hp la
c cau truc nen t cac cong logic. Vay cac mach ien cong chng 2 va cac mach
logic a gap chng 3 eu la mach to hp.
2) Phng phap bieu th chc nang logic
Cac phng phap thng dung e bieu th chc nang logic cua mach to hp la
ham so logic, bang chan l, s o logic, bang Karnaugh, cung co khi bieu th bang o th
thi gian dang song.
oi vi vi mach c nho (SSI) thng bieu th bang ham logic. oi vi vi mach
c va thng bieu th bang bang chan l, hay la bang chc nang. Bang chc nang dung
hnh thc bang ke, vi mc logic cao (H) va mc logic thap (L), e mo ta quan he logic
gia tn hieu au ra vi tn hieu au vao cua mach ien xet. Ch can thay gia tr logic
cho trang thai trong bang chc nang, th ta co bang chan l tng ng.
Nh hnh 4-1-1 cho biet, thng co nhieu tn hieu au vao va nhieu tn hieu au
ra. Mot cach tong quat, ham logic cua tn hieu au ra co the viet di dang :
z1 = f1(x1, x2, , xn)

x1
x2

z2 = f2(x1, x2, , xn)

Mach to hp

z
Hnh 4-1-1
S o logic khoi mach to hp.

zm = fm(x1, x2, , xn)


36

z1
z2

Cung co the viet di dang ai lng vect nh sau :


Z = F(X)
3) Phng phap phan tch chc nang logic
Chng 2 cung a e cap. Bay gi gii thieu cac bc phan tch, bat au t s
o mach logic a cho, e cuoi cung tm ra ham logic hoac bang chan l :
a) Viet bieu thc : tuan t t au vao en au ra (hay cung co the ngc lai) viet
ra bieu thc ham logic cua tn hieu au ra.
b) Rut gon : khi can thiet th rut gon en toi thieu bieu thc tren ay bang
phng phap ai so hay phng phap hnh ve.
c) Ke bang chan l : khi can thiet th tm ra bang chan l bang cach tien hanh tnh
toan cac gia tr ham logic tn hieu au ra tng ng vi tong hp co the cua cac gia tr
tn hieu au vao.
4.1.2. Phng phap thiet ke logic mach to hp
Phng phap thiet ke logic la cac bc c ban tm ra s o mach ien logic t
yeu cau nhiem vu logic a cho.
Bang
Karnaugh

Van e
logic

Toi
thieu hoa

Bang
chan l

Bieu thc
toi
Bieu thc
logic

S
logic

Toi
thieu hoa

Hnh 4-1-2. Cac bc thiet ke mach logic to hp


Hnh 4-1-2 la qua trnh thiet ke noi chung cua mach to hp, trong o bao gom 4
bc chnh :
1) Phan tch yeu cau
Yeu cau nhiem vu tl cua van e logic thc co the la mot oan van, cung co the
la mot bai toan logic cu the. Nhiem vu phan tch la xac nh cai nao la bien so au vao,
cai nao la ham so au ra va moi quan he logic gia chung vi nhau. Muon phan tch
ung th phai tm hieu xem xet mot cach sau sac yeu cau thiet ke. Viec o la mot viec
kho nhng quan trong trong qua trnh thiet ke.
37

2) Ke bang chan l
Noi chung, au tien, chung ta liet ke thanh bang ve quan he tng ng nhau
gia trang thai tn hieu au vao vi trang thai ham so au ra. o la bang ke yeu cau
chc nang logic, goi tac la bang chc nang. Viec nay co ve de va trc quan. Tiep theo,
ta thay gia tr logic cho trang thai, tc la dung cac so 0, so 1 bieu th cac trang thai
tng ng cua au vao va au ra. Ket qua ta co bang cac gia tr thc logic, goi tac la la
bang chan l. ay chnh la hnh thc ai so cua yeu cau thiet ke.
Can lu y rang t mot bang chc nang co the c bang chan l khac nhau neu
thay gia tr logic khac nhau (tc la quan he logic gia au ra vi au vao cung phu
thuoc viec thay gia tr). V du, s o mach nguyen l hnh 4-1-3 dung 2 chuyen mach A,
B mac noi tiep ieu khien bong en Z.

Bang 4-1-1 : BANG CHC NANG

A
Chuye
n mach A

Chuye
n mach B

Ngat

Ngat

Ngat

Ngat

Noi

Noi

Noi

Noi

Bo
ng en Z

Nguon

Ta

Hnh 4-1-3. Mach ien hai


chuyen mach noi tiep.

t
Ta
t
Ta
t
Sa
ng

Bang chc nang 4-1-1 co c t xem xet trc tiep cac kha nang co the cua
mach ien hnh 4-1-3. Neu thay the gia tr logic theo 4 cach khac nhau th t bang chan
l 4-1-2a, b, c, d ta c cac bieu thc logic khac nhau.
Bang 4-1-2 : BANG CHAN L TRONG 4 TNH HUONG THAY GIA TR
KHAC NHAU
A

38

a)

0 bieu th ngat va tat

b) 0 bieu th noi va sang

1 bieu th noi va sang Z = A.B

1 bieu th ngat va

tat Z = A + B
A

c) 0 bieu th ngat va sang

d) 0 bieu th noi va tat

1 bieu th noi va tat Z = A.B

1 bieu th ngat va sang Z =

A+B

T bang 4-1-2, ta thay rang chung ta se co moi quan he logic khac nhau neu
thay gia tr theo cach khac nhau. Chung ta phai can c vao gia tr thay the trang thai e
xac nh y ngha cu the cua 0 va 1 (tc la y ngha thc te cua bang chan l).
Khi liet ke chc nang hoac bang chan l, co the khong liet ke cac to hp trang
thai tn hieu au vao nao khong the co the co hay b cam. Nhng to hp nay cung co
the c liet ke, nhng tai au ra, trang thai tng ng ta ghi mot dau cheo x,
thng s dung cac trang thai anh dau cheo e toi thieu hoa ham logic.
3) Tien hanh toi thieu hoa
Neu so bien so tng oi t th co the dung phng phap hnh ve. Neu so bien so
tng oi nhieu, khi o khong tien dung phng phap hnh ve, th dung phng phap
ai so.
4) Ve s o logic
Ket qua viec toi thieu hoa la bieu thc logic OR AND. Can c viec chon la
loai cong logic cu the, can bien oi bieu thc logic o thanh dang phu hp. V du, neu
chon dung cong NAND th phai co bieu thc dang AND, neu chon dung cong NOR th

39

hai co bieu thc dang NOR, neu chon dung cong NORAND th phai co bieu thc dang
NORAND.
Can lu y rang, nhng bc thiet ke tren ay khong phai la bat buoc ap dung
may moc, ma la nen c van dung linh hoat theo tnh huong cu the cua thiet ke thc
te.
Trong hoat ong thc tien cua loai ngi, cac bai toan, va tng ng cac mach
ien cua mach logic to hp la nhieu khong ke het. Cac mach to hp hien nay thng
gap trong he thong so la bo ma hoa, bo giai ma, bo chon kenh, bo cong, bo kiem tra
chan le (parity cheeker), ROM, v.v
Di ay lan lt gii thieu mot so mach to hp ve cau truc mach, nguyen l
cong tac va ac iem cua chung, ket hp soi sang phng phap thiet ke phan tch mach
to hp.
4.2 BO MA HOA
4.2.1 Khai niem ma hoa
Noi mot cach khai quat, ma hoa la dung van t, k hieu hay ma e bieu th mot
oi tng xac ng. Co the thay biet bao v du ve ma hoa trong i song nh at ten cho
tre s sinh, moi van ong vien co mot so thay the trong thi au. Ten tre la van t, van
ong vien anh so theo he em thap phan. Van t va he em thap phan khong tien
dung cho mach so. Ma hoa nh phan la qua trnh dung ma nh phan e bieu th oi
tng xet en (oi tng nay la tn hieu). Ma nh phan ch co hai so 0 va 1, de dang
bieu th bang trang thai mach ien, nen c dung rong rai trong mach so. Bieu th so
lng nhieu th tang so bit (BInary digiT) trong cach viet so da theo vt r. Ma nh
phan 1 bit co 2 trang thai (0, 1) tng ng 2 tn hieu. Ma nh phan 2 bit co 4 trang thai
(00, 01, 10, 11) tng ng 4 tn hieu. Tong quat ma noi, ma nh phan n bit co 2n trang
thai, co the bieu th 2n tn hieu. Vay, e tien hanh ma hoa n tn hieu can s dung n bit,
theo cong thc 2n N.
Bo ma hoa la mach ien thc hien thao tac ma hoa. Can c vao yeu cau va ac
iem khac nhau cua tn hieu c ma hoa, chung ta co cac bo ma hoa khac nhau, nh
bo ma hoa nh phan, bo ma hoa nh thap phan, bo ma hoa u tien v.v
4.2.2. Bo ma hoa nh phan

40

Bo ma hoa nh phan la mach ien dung n bit e ma hoa N = 2n tn hieu. Chung


ta xet v du di ay e thuyet minh nguyen l cong tac va qua trnh thiet ke bo ma hoa
nh phan.
V du 4-2-1
Hay thiet ke bo ma hoa thc hien ma
hoa 8 tn hieu Y0, Y1, , Y7 theo ma nh phan.

Y0
Y1

Bai giai :
1. Phan tch yeu cau.

Y7

oi tng c ma hoa la 8 tn hieu

Bo

ma

hoa

Hnh 4-2-1
S o khoi yeu cau thiet ke

au vao, tc la Y0, Y1, , Y7. Can c vao cong


thc N = 2n = 8 ta thay au ra la ma nh phan n
= 3 bit, dung A, B, C bieu th. Xem hnh 4-2-1.
2. Ke bang chan l

Viec ma hoa c tien hanh ch vi 1 tn hieu au vao mot thi iem. au


vao khong cho phep co ong thi t 2 tn hieu tr len la logic 1, tc la Y0, Y1, , Y7
khong cung nhau. Vay quan he logic gia au ra vi au vao co the bieu th bang bang
chan l hay bang ma hoa.
Bang 4.2.1 :
C

Y0

Y0

Y1

Y1

Y2

Y2

Y3

Y3

Y4

Y4

Y5

Y5

Y6

Y6

Y7

Y7

a) Phng an 1

b) Phng an 2

Dung ma nhi phan 3 bit bieu th 8 tn hieu au vao co the co nhieu phng an
khac nhau, Bang 4.2.1 gii thieu 2 phng an. Phng an 1 theo so em nh phan.
Phng an 2 la ma chu k 3 bt.
41

3. Toi thieu hoa


Y0 Y7 la khong ong thi. Ch can lay cac bien nao lam cho ham so au ra
bang 1 cong lai th ta co bieu thc toi thieu hoa dang OR - AND. T bang 4.2.1.a
(Phng an 1) ta co bieu thc ham so au ra :
C = Y4 + Y5 + Y6 + Y7
B = Y2 + Y3 + Y6 + Y7
A = Y1 + Y3 + Y5 + Y7
T bang 4.2.1.b (Phng an 2) ta co bieu thc ham so au ra :
C = Y4 + Y5 + Y6 + Y7
B = Y2 + Y3 + Y4 + Y5
A = Y1 + Y2 + Y5 + Y6
4. Ve s o logic
Chon dung cong NAND. Vay can bien oi dang AND OR thanh dang NAND.
Cu the nh sau.
Phng an 1
C = Y4 + Y5 + Y6 + Y7 = Y4 . Y5 . Y6 . Y7
B = Y2 + Y3 + Y6 + Y7 = Y2 . Y3 . Y6 . Y7
A = Y1 + Y3 + Y5 + Y7 = Y1 . Y3 . Y5 . Y7

Phng an 2
C = Y4 + Y5 + Y6 + Y7 = Y4 . Y5 . Y6 . Y7
B = Y2 + Y3 + Y4 + Y5 = Y2 . Y3 . Y4 . Y5
A = Y1 + Y2 + Y5 + Y6 = Y1 . Y2 . Y5 . Y6

a) Mach phng an 1

b) Mach phng an 2

42

Can lu y rang, trong s o logic hnh 4.2.2, s ma hoa cua Y0 c ngam nh :


Khi Y1 Y7 eu bang 0, trang thai au ra cua mach (CBA = 000) la ma cua Y0.
Trong bai toan phan tch, t s o logic bo ma hoa a cho, ta phan tch moi quan
he logic gia au vao va au ra, tuan t cho tn hieu au vao en bo ma hoa, roi can c
vao mc logic (0 hoac 1) cua cac au ra mach ien, tm ra ma tng ng, liet ke thanh
bang ma hoa.
4.2.3 Bo ma hoa nh thap phan
Bo ma hoa nh thap phan la mach ien chuyen ma he thap phan bao gom 10
ch so 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 thanh ma he nh phan.
au vao la 10 ch so, au ra la nhom ma so nh phan, goi la ma nh thap phan
(BCD Binary Coded Decima). Can c vao cong thc 2n N = 10, ta co n = 4. Ma
nh phan 4 bit co 16 trang thai (t ma). Ch can chon 10 t ma tuy y trong so o la u e
bieu th 10 tn hieu au vao. Vay co rat nhieu phng an. Mot so ma nh thap phan
thng dung xem gii thieu 4.2.5. Cung vi l do nh a biet v du 4.2.1, 10 tn hieu
au vao ton tai oc lap nhau.
Nguyen l cong tac va qua trnh thiet ke cua bo ma hoa nh thap phan tng t
nh bo ma hoa nh phan xet v du 4.2.1. Di ay lay ma 8421 la v du e thuyet
minh. Bang 4.2.2 la bang ma hoa BCD 8421 rat thng dung
Bang 4-2-2 : BANG MA HOA BCD 8421
So thap

0(Y0) 0

1(Y1) 0

2(Y2) 0

3(Y3) 0

4(Y4) 0

5(Y5) 0

6(Y6) 0

7(Y7) 0

8(Y8) 1

9(Y9) 1

phan

43

Hnh 4-2-3
S o logic bo ma hoa (dung ma 8421)

D = Y8 + Y9 = Y8 . Y9
C = Y4 + Y5 + Y6 + Y7
C = Y4 . Y5 .Y6 .Y7
B = Y2 + Y3 + Y6 + Y7 = Y2 . Y3 .Y6 .Y7
A = Y1 + Y3 + Y5 + Y7 + Y9 = Y1 . Y3 .Y5 .Y7 .Y9

4.2.4 Bo ma hoa u tien


Trong cac bo ma hoa xet tren ay, tn hieu au vao ton tai oc lap, (khong co
tnh huong co 2 tn hieu tr len ong thi tac ong). Bo ma hoa u tien th khac, co the
co nhieu tn hieu ong thi a en, nhng mach ien ch tien hanh ma hoa tn hieu
au vao nao co cap u tien cao nhat thi iem xet. Viec xac nh cap u tien cho moi
tn hieu au vao la cong viec cua ngi thiet ke mach, tat nhien xuat phat t yeu cau
thc tien. Bay gi chung ta se xem xet nguyen l cong tac va qua trnh thiet ke cua bo
ma hoa u tien qua v du co 10 au vao, 4 au ra.
V du 4-2- :
Hay thiet ke mot mach ien to hp e ma hoa nh phan oi vi 10 tn hieu au
vao Y0, Y1, , Y9 sao cho mc o u tien t cao nhat giam dan theo chieu Y9, Y8, , Y0.
Neu co nhieu tn hieu ong thi xuat hien
cao nhat trong so o mi c ma hoa. Gia

Y0
Y1

thiet ca tn hieu au vao, lan tn hieu au ra

Y9

au vao th ch tn hieu nao co mc u tien

eu tch cc mc thap.

Bo ma hoa
u tien
Hnh 4-2-4.
S o khoi bo ma hoa u tien.

Bai giai :
1. Phan tch yeu cau

Can c cong thc 2n N = 10, vay ta dung ma nh phan n = 4 bit.

44

D
C
B
A

Bang 4-2-3 : BANG CHC NANG

Y9

Y8

Y7

Y6

Y5

Y4

Y3

Y2

Y1

Y0

Bang 4-2-4 : BANG MA HOA U TIEN


Y9

Y8

Y7

Y6

Y5

Y4

Y3

Y2

Y1

Y0

Theo e bai, s ma hoa thc hien theo mc o u tien Y9 en Y0, khi cac tn
hieu cung tac ong th cac tn hieu co mc u tien thap khong tac dung, ngha la bat ke
mc logic cua no the nao eu khong anh hng en au ra.
2. Ke bang chan l Bang ma hoa u tien
Bang chc nang 4.2.3 phan anh yeu cau thiet ke, ma hoa theo cap u tien,
nhng bien so tng ng mc u tien thap khong tac dung g en au ra co anh dau
45

cheo x. Ma nh phan 4 bit au ra co rat nhieu phng an, trong bang 4.2.4 la phng
an ma 8421 vi mc logic am.
3. Toi thieu hoa
V co qua nhieu bien so, nen dung phng phap ai so e toi thieu hoa. Chung
ta se dung cong NORAND trong s o mach. au tien ta tm bieu thc toi thieu hoa
cua hg au ra di dang OR - AND cua ham ao, roi lay ao e c dang NORAND.
Khi viet hg t bang ma hoa 4.2.4, mot cach hnh thc, ta khong can e y nhng v tr co
dau x. Ket qua ta c cac hg au ra di dang NORAND nh sau :
D = Y 9 + Y9 .Y 8 = Y 9 + Y 8
D = Y9 + Y8
C = Y9 Y8 Y 7 + Y9 Y8 Y7 Y 6 + Y9 Y8 Y7 Y6 Y 5 + Y9 Y8 Y7 Y6 Y5 Y 4
= Y9 Y8 Y 7 + Y9 Y8 Y 6 + Y9 Y8 Y 5 + Y9 Y8 Y 4
C = Y9 Y8 Y 7 + Y9 Y8 Y 6 + Y9 Y8 Y 6 + Y9 Y8 Y 5 + Y9 Y8 Y 4
B = Y8 Y8 Y 7 + Y9 Y8 Y7 Y 6 + Y9 Y8 Y7 Y6 Y5 Y4 Y 3 + Y9 Y8 Y7 Y6 Y5 Y4 Y3 Y 2
= Y9 Y8 Y 7 + Y9 Y8 Y 6 + Y9 Y8 Y5 Y4 Y 3 + Y9 Y8 Y5 Y4 Y 2
B = Y9 Y8 Y 7 + Y9 Y8 Y 6 + Y9 Y8 Y5 Y4 Y 3 + Y9 Y8 Y5 Y4 Y 2
A = Y 9 + Y9 Y8 Y 7 + Y9 Y8 Y7 Y6 Y 5 + Y9 Y8 Y7 Y6 Y5 Y4 Y 3 + Y9 Y8 Y7 Y6 Y5 Y4 Y3 Y2 Y 1
A = Y 9 + Y8 Y 7 + Y8 Y6 Y 5 + Y8 Y6 Y4 Y 3 + Y8 Y6 Y4 Y2 Y 1

4. Ve s o logic
Hnh 4-2-5 la s o logic thc hien cac ham au ra D, C, B, A di dang cong
NORAND.
Chung ta co the nghiem chng rang s o hnh 4-2-5 thoa man yeu cau thiet ke
bang cach phan tch logic, tm ra quan he logic gia au ra vi au vao cua mach logic
hnh 4-2-5.

46

Hnh 4-2-5 Bo ma hoa u tien

Chang han, neu tat ca au vao eu tch cc (mc logic 0) th DCBA = 0110, ay
la ma tng ng vi au vao Y9 (co mc u tien cao nhat, cao hn Y8 Y0). Neu tat ca
au vao khong co tn hieu (mc logic 1) th DCBA = 1111, ay la ma (ngam nh)
tng ng vi au vao Y0.
4.2.5. Vi mach c va (MSI) bo ma hoa u tien
Hnh 4-2-6 la vi mach c
va bo ma hoa u tien. Tuy rang
hnh 4-2-5 va hnh 4-2-6 co oi
cho khac nhau, nhng chung eu
co mot chc nang logic duy
nhat. au vao, au ra eu tch
cc mc thap. Trong hnh 4-2-6,
e n gian, cac tn hieu au
vao c k hieu 0, 1, 2, , 9
(thay cho Y0, Y1, Y2, , Y9). Can
c vao s o logic hnh 4-2-6, ta viet ra

Hnh 4-2-6 Bo ma hoa u tien (MSI)

bieu thc ham au ra nh sau :

47

D =9+8
C =9 +87 + 9 +86 + 9 +85+ 9 +84
= 9 . 8 .7 + 9 . 8 .6 + 9 . 8 .5 + 9 . 8 .4
B = 9 + 8 7 + 9 + 8 6 + 9 + 8 5 . 4 .3 + 9 + 8 5 . 4 .2
= 9 . 8 .7 + 9 . 8 .6 + 9 . 8 . 5 . 4 .3 + 9 . 8 . 5 . 4 .2
A = 9 + 9 + 8 7 + 9 + 8 6 5 + 9 + 8 6 . 4 .3 + 9 + 8 6 . 4 .2
= 9 + 9 . 8 .7 + 9 . 8 . 6 .5 + 9 . 8 . 6 . 4 .3 + 9 . 8 . 6 . 4 . 2 .1
= 9 + 8 .7 + 8 . 6 .5 + 8 . 6 . 4 .3 + 8 . 6 . 4 . 2 .1

4.2.6. Mot so ma thong dung


1) Ma nh thap phan thong dung
Xem bang 4-2-5
Bang 4-2-5 : MA NH THAP PHAN THONG DUNG
Loai

Vong d

Dch

phai

0000

0010

00000

0001

0001

0110

10000

0010

0010

0100

0111

11000

0110

0011

0011

0101

0101

11100

0100

0111

0100

0100

0111

0100

11110

0101

1000

0101

1011

1000

1100

11111

0110

1001

0110

1100

1001

1101

01111

0111

1010

0111

1101

1100

1111

00111

1000

1011

1110

1110

1101

1110

00011

1001

1100

1111

1111

1111

1010

00001

Tong so

8421

2421

2421

5211

8421

D 3

2421 (A) 2421 (B) 5211

0000

0011

0000

0000

0001

0100

0001

0010

0101

0011

So thap phan

48

Can lu y rang, trong bang 4.2.5, tuy moi v tr (bit) ch co hai trang thai 0 va 1,
nhng khong nhat thiet phai co quan he ve gia tr chuyen v cua so nh phan (nh
8421), ma noi chung th 4 bit lam thanh mot t ma e tr thanh mot k hieu ham y xac
nh nao o. Cung co the noi chnh xac hn, bang 4.2.5 gii thieu cac loai ma 4 bit hai
trang thai.
a) Ma 8421
Trong t ma 8421, moi nit 1 ai bieu cho mot tr so co nh. Neu cong tat ca tr
so cua cac bit ai bieu trong t ma, th ta c tr so tng ng cua so thap phan ma t
ma o ai bieu. Trong ma 8421 th trong so cua moi bit la co nh, nen no thuoc laoi
ma co trong so.
b) Ma d 3
Ma d 3 c tao thanh t ma nh phan bang cach cong them 0011 (gia tr so 3
thap phan) vao t ma nh phan tng ng.
c) Ma 2421
Hai loai ma 2421 (A va B) eu la ma co trong so, nhng s tuan t cac bit cua
cac t fma khac so nh phan (trong so khong n tr).
d) Ma 5211
Ma 5211 co tnh chat tng t ma 2421, o la ma co trong so khong n tr.
e) ma vong d 3 (ma Gray d 3)
Ma vong (ma Gray) se c gii thieu bang 4.2.6. ma vong d 3 khong bat
au t 0000 nh ma vong, ma lech i 3 hang. (T ma 0010 la ma hoa so 3 cua ma
vong, lai la ma hoa so 0 cua ma d 3. T ma 0110 la ma hoa so 4 cua ma vong, lai la
ma hoa so 1 cua ma d 3 v.v) Ma vong d 3 khong phai la ma co trong so.
g) Ma dch phai
Ma dch phai co ac iem la hai t ma ke can bat k ch khac nhau 1 bit (tren
bang 4-2-5, ta thay s dch sang phai cau cac bit) ma dch phai khong tan dung tat ca
cac trang thai cua ma nh phan 5 bit. ay la nhc iem loai ma nay (phai them 1 bit so
vi cac loai ma khac).
2) Ma Gray
Ma gray con goi la ma vong, bang 4-2-6 gii thieu bang ma gray 4 bit.

49

Bang 4-2-6 : MA GRAY 4 BIT


So thap phan

Ma Gray

So thap phan

Ma Gray

0000

1100

0001

1101

0011

10

1111

0010

11

1110

0110

12

1010

0111

13

1011

0101

14

1001

0100

15

1000

Ta co the thay ro ac iem ma Gray t bang 4-2-6 : s bien oi gia tr bit mot
v tr xac nh trong t ma co tnh chat tuan hoan vi chu k co nh t t ma nay sang
t ma khac. Chu k cua bit th nhat la 0110, chu k cua bit th hai la 00111100, chu k
cua bit th ba la 0000111111110000 v.v
Ma Gray khong co trong so. u iem chnh cua ma Gray la ch co 1 bit khac
nhau trong 2 t ma ke can. Nhc iem la thieu trc quan.
3) Ma ISO (International Standardization Organization)
C quan tieu chuan hoa quoc te ISO a ra ma nh phan 8 bit, chu yeu dung e
truyen tin. Ma nay co 10 ch so (0 9), 26 ch cai Anh, va 20 dau, k hieu, tat ca la 56
k t. Xem bang 4.2.7. Trong bang, cac bt c bieu th bang b7, b6, , b1. Bit th 8 la
bit bu e bien t ma bat k thanh t ma co so bit 1 la so chan, muc ch phat hien loi
truyen tin.
Bang 4-2-7 : MA ISO
b7b6b5
K t

000

0000

NUL

001

010

011

SP

100

101

b4b3b2b1

0001

50

P
A

110

111

0010

0011

0100

0101

0110

0111

(8

1000

BS

1001

HT

1010

LF

EM

1011

1100

1101

CR

1110

1111

DEL

ac iem cac k t trong bang 4-2-7 nh sau : cac ch so eu co chung 3 bit


b7b6b5 = 011, cac ch cai co chung 2 bit b7b6 = 10 ; Cac ch so c chuyen thanh ma
ISO theo kieu ma nh phan cua b4b3b2b1 ; thc t cac ch cai A Z (t 1 en 26) cung
la ma nh phan cua b5b4b3b2b1.
4) Ma ASCII (American National Standard Code for Information Interchange)
Bang 4-2-8 : MA ASCII
b7b6b5
000

001

010

011

100

101

110

111

0000

NUL

DLE

SP

0001

SOH

DC1

0010

STX

DC2

K t
b4b3b2b1

51

0011

ETX

DC3

0100

EOT

DC4

0101

ENQ

NAK

0110

ACK

SYN

&

0111

BEL

ETB

1000

BS

CAN

1001

HT

EM

1010

LF

SUB

1011

VT

ESC

1100

FF

FS

<

1101

CR

GS

1110

SO

RS

>

1111

SI

US

DEL

Ma ASCII, viet tat cua cact co ngha la ma chuan quoc gia M e trao oi tin
tc. Xem bang 4.2.8. Trong o. Ma cac ch so va ch cai giong nh ma ISO tren ay.
Ma ASCII thng dung cho thiet b thong tin va may tnh. ASCII la ma 8 bit nh phan,
vi 7 bit b1 b7 bieu th cac tin tc va bit th 8 la bit parity (kiem tra chan le dung e
phat hien loi truyen tin). Bang 4.2.9 giai thch cac k hieu ch.
Bang 4.2.9 : Y NGHA CAC K HIEU CH CUA MA ASCII
K hieu

Y ngha

K hieu

Y ngha

NUL

So khong, khong, vo hieu

BS

Lui mot khoan k t

SOH

Bat au cua tieu e

HT

Ke bang hng ngang

STX

Bat au cua hanh van

LF

Chuyen dong

ETX

Ket thuc cua hanh van

VT

Ke bang hng doc

EOT

Ket thuc truyen tin

FF

ieu khien chay giay

ENQ

Hoi

CR

Quay ve au dong

52

ACK

Tha nhan

SO

Dch ra (Shift out)

BEL

Chuong

SI

Dch vao (Shift in)

DLE

Chuyen ma (Datlink

EM

Het giay

escape)
DC1

ieu khien thiet b 1

SUB

Tr

DC2

ieu khien thiet b 2

ESC

Chuyen ma

DC3

ieu khien thiet b 3

FS

Dau phan cach (File


separator)

DC4

ieu khien thiet b 4

GS

Dau phan cach goi


(Group separator)

NAK

Phu nh

RS

Dau phan cach ghi


(Record separator)

SYN

ong bo

US

Dau phan cach n v


(Unit separator)

ETB

Ket thuc truyen goi tin

SP

Khoang trong k t

CAN

Huy bo

DEL

Huy bo

4.3. BO GIAI MA
Khi ma hoa, moi t ma nh phan eu c gan mot ham y xac nh, tc la moi t
ma bieu th mot tin tc hoac mot oi tng xac nh. Giai ma la qua trnh phien dch
ham y a gan cho t ma. Mach ien thc hien viec giai ma c goi la bo giai ma. Vay
bo giai ma phien dch t ma thanh tn hieu au ra, bieu th tin tc von co. Tuy theo yeu
cau s dung tin tc c giai ma, tn hieu au ra co the la xung hay mc ien ap. Co
nhieu loai bo giai ma, nhng chung ta eu co nguyen l cong tac va phng phap thiet
ke tng t nhau. Di ay ta se thuyet minh cu
the qua vai v du.
4.3.1. Bo giai ma nh phan
Bo giai ma nh phan phien dch cac t ma
nh phan thanh tn hieu au ra.
V du 4.3.1

Bo

Giai

Ma

Hnh 4-3-1. S o mach yeu

53

Y0
Y1
Y7
cau

Hay thiet ke bo giai ma nh phan 3 bit.


Bai giai :

Bang 4-3-1 : BANG CHAN L CUA BO GIAI MA

Y0

Y1

Y2

Y3

Y4

Y5

Y6

Y7

1. Phan tch yeu cau. au vao la nhom t ma nh phan 3 bit. au ra la 8 tn hieu


tng ng cac t ma.
2. Ke bang chan l. Xem bang 4-3-1. Can lu y rang Y0 Y7 la 8 tn hieu oc lap
nhau tng ng vi 8 t ma (Moi YI la mot ham cua cac bien vao, bang chan l cua no
la mot cot tng ng
ben phai vi 3 cot bien
so ben trai. Tuy nhien
e tien li, chung ta
gop 8 bang chan l vao
lam mot).
3. Toi thieu hoa.
Can c vao bang 4-3-1,
ta co :
Hnh 4-3-2 Bo giai ma cong AND dung iot

54

Y0 = C B A

Y1 = C B A

Y2 = C B A

Y3 = C BA

Y4 = C B A

Y5 = C B A

Y6 = C B A

Y7 = C BA

4. Ve s o logic
Cac ham logic tren ay co the dung cong AND iot thc hien (hnh 4-3-2, hnh
4-3-3), hay cung co the dung cong NAND (TTL) thc hien (hnh 4-3-4).

Trong bo giai ma nh phan,


neu t ma au vao co n bit th se co
2n tn hieu au ra tng ng vi moi
t ma. Bo giai ma nay co khi con
c goi la bo giai ma bien so, v
toan bo cac t ma cua bien so au
vao eu c giai ma.

Hnh 4-3-4 Bo giai ma dung NAND

Hnh 4-3-4 Bo giai ma dung NAND

4.3.2. Bo giai ma (BCD) thap


phan
Bo giai ma thc hien chuyen oi t
ma BCD thanh 10 tn hieu au ra tng ng

D
C
B
A

Y0

Giai ma
T BCD

Y1

Sang thap
phan

Y9

10 ch so cua he thap phan (hnh 4-3-5).


Xem bang chc nang 4-3-2, trong o
55

Hnh 4-3-5. S o mach yeu

cau.

H la mc cao, L la mc thap, tn hieu ra tch cc mc logic thap.


Bang 4-3-2 : BANG CHC NANG CUA BO GIAI MA T MA BCD SANG
MA THAP PHAN
D

Y0

Y1

Y2

Y3

Y4

Y5

Y6

Y7

Y8

Y9

Bang 4-3-3 la bang chan l dung logic dng. Trong o, pha trai la ma BCD
8421 au vao ; ben phai la au ra giai ma, tch cc logic 0, 6 t ma 6 (6 trang thai) t
1010 en 1111 khong c dung. Chung khong xuat hien trong tnh huong bnh thng
cua bo giai ma. Cac trang thai nay c anh dau cheo x trong bang 4-3-3 va trong
cac bang Karnaugh (hnh 4-3-6).
Toi thieu hoa ham logic au ra Yi bang phng phap hnh ve : bang Karnaugh.
Cu the, ta tm ham ao YI (tng ng lay gia tr 0), sau o lay ao cua ham ao o, ta
se c ham Yi can tm.
Bang 4-2-4 : BANG CHAN L CUA BO GIAI MA T MA BCD SANG MA
THAP PHAN
D

Y0

Y1

Y2

Y3

Y4

Y5

Y6

Y7

Y8

Y9

56

57

BA

BA

DC

00

01

11

10

00

01

11

10

BA

DC

00

01

11

10

00

01

11

10

(a)

01

11

10

00

01

11

10

(c)

BA
00

01

11

10

00

01

11

10

DC

BA
00

01

11

10

00

01

11

10

(d)

00

01

11

10

00

01

11

10

(f)

BA
00

01

11

10

00

01

11

10

DC

BA
00

01

11

10

00

01

11

10

(g)

00

01

11

10

00

01

11

10

(h)

BA
DC

DC

(e)

BA
DC

00

(b)

BA
DC

DC

00

01

11

10

00

01

11

10

(j)

Hnh 436.
Bang Karnaugh cua ham Y9
Y

Ham logic toi thieu dang NAND cua chung la :

58

DC

(i)

(a ) Y 9 = DA

( b) Y 8 = D A

Y9 = DA

Y8 = DA

(c) Y 7 = CBA

(d ) Y 6 = CBA

Y7 = CBA

Y6 = CBA

(e) Y 5 = CBA

( f ) Y 4 = C BA

Y5 = CBA

Y4 = CBA

(g ) Y 3 = CBA

(h ) Y 2 = CBA

Y3 = CBA

Y2 = CBA

(i) Y 1 = D C BA

( j) Y 0 = D C B A

Y1 = D C BA

Y0 = D C B A

S o logic hnh 4-3-7 bao gom 10 cong NAND va 4 cong ao

Hnh 4-3-7 bao gom 10 cong NAND va 4 cong ao

Hnh 4-3-8 la vi mach MSI (n phien) thc hien giai ma t BCD sang thap
phan. IC nay co 8 cong ao va 10 cong NAND 4 au vao. S giai ma cua IC la triet e,
ham logic au ra xac nh n tr. (Can e y rang oi vi mach hnh 4-3-7, do s dung
cac gia tr dau cheo X cua ham logic trong toi thieu hoa e xay dng s o mach,
nen neu au vao xuat hien t ma khong c dung, th au ra co the hng ng sai).

Hnh 4-3-8 Vi mach MSI giai ma BCD sang thap phan

59

Nhan xet s o hnh 4-3-8, ta thay : cac cong ao la thanh mach em va bao
am phu tai tieu chuan oi vi cac tn hieu vao A, B, C, D. (S o hnh 4-3-7 bieu th
phu tai nang, khong au oi vi nguon tn hieu vao).
4-3-3. Bo giai ma cua hien th k t
Trong he thong so, thng can giai ma cac k t a ma hoa nh phan thanh cac
tn hieu co the hien th di dang quen thuoc cua con ngi. V phng thc lam viec
cua cac linh kien hien th khac nhau la khac nhau, nen trc het ta hay thuyet minh
ngan gon ve mot so linh kien hien th k t thong dung.
1) Hai loai hien th so
a) Linh kien hien th ban dan
Nguyen l :
Mot so vat lieu ban dan ac biet nh hp
chat GaAsP, khi lam thanh chuyen tiep PN, neu
co ien ap thuan at vao, th co the bc xa
quang, tc la bien ien nang thanh quang nang.
S dung cac chuyen tiep PN bc xa quang co
the che tao cac linh kien nh iot phat quang
LED, en hien th 7 thanh hay en hien th ma

Hnh 4-3-9 Hien th ban dan


a) LED b) en 7 thanh

tran. V du : hnh 4-3-9, mach kch sang.


Hnh 4-3-10 gii
thieu mach ien dung e
kch sang thc hien bang
cong NAND (TTL) hay
bang tranzito : Trong hnh,
BS la LED (hay 1 thanh
trong en 7 thanh). Cong
M thong hay T bao hoa se
lam BS sang. R la ien tr
han dong. ien ap cong tac
cua BS c 1,5 3V, dong

Hnh 4-3-10 Mach kch sang

ien cong tac cua BS c

tren di 10mA. ieu chnh R co the lam thay oi o sang cua BS.

60

ac iem c ban :
Quang pho phat xa cua hien th ban dan phu hp vi cam thu th giac, ien ap
cong tac thap (1,5 3V), the tich snho, tuoi tho cao (hn ngan gio lam viec), toc o
hng ng cao (1 100ns), co nhieu mau.
Bang 4-3-4. Gii thieu tham so hien th so 7 thanh.
Bang 4-3-4. THAM SO HIEN TH SO 7 THANH.
K hieu

o sang (FL)

ien ap

Dong ien

ien ap

Dong ien cc

cong tac

do ngic

ngc anh

ai (mA)

thuan (V)

(A)

thung (V)

BS201A

> 15 (7 thanh)

1,5 3V

< 50

>5

150 (7 thanh)

ES202A

> 15 (7 thanh)

1,5 3V

< 50

>5

150 (7 thanh)

b) en hien so 7 thanh chan khong


Nguyen l :
Hnh 4-3-11 gii thieu en
hien th so chan khong. Katot phat
xa nhiet ien t, ien trng cua
cc li gia toc ien t e chung
u ong nang ap vao anot.
Tren be mat anot co phu
lp huynh quang oxyt kem se
phat xa quang mau luc. Cac anot

Hnh 4-3-11 en hien so 7 thanh chan khong

co cau truc hien so 7 thanh.


Chuyen mach K ieu khioen s cap ien ap cho
anot ; anot nao c cap ien ap th se phat sang.
Mach kch sang :
Xem hnh 4-3-12. R la ien tr han dong
gia tr cua R xac nh theo dong colect cc ai
ICM cua tranzito T. Khi cong NANDM (TTL) a
ra mc cao la T bao hoa, th
E
I c a < I CM
R
Hnh 4-3-12 Mach kch sang en
hien th so chan khong

61

Thay oi R se ieu chnh o sang hien th. Rb cung la ien tr han dong. Rb xac
nh theo gia tr gii han ILM cua cong NAND (TTL). Khi M ngat, T bao hoa th

I B = (V OH V BE )

1
< I LM
Rb

ac iem c ban :
Ve u iem : ien ap cong tac tng oi thap, dong iep nho, hien th phu hp
th giac, on nh va tin cay, tam hien th kha ln, tuoi tho cao.
Ve nhc iem : can nguon ien cong suat ang ke cung cap cho si ot, khong
thuan tien cho lap rap. Bang 4-3-5 gii thieu ch tieu chu yeu cua mot so en hien so 7
thanh chan khong thong dung :
Bang 4-3-5 : CH TIEU CHU YEU EN HIEN SO 7 THANH CHAN KHONG
K hieu

ien ap

Dong ien ien ap

Dong ien ien ap

anot (V)

anot (mA)

li (mA)

li (V)

Dong ien

si ot (V) si ot
(mA)

YS9-1

20

20

<2

1,2

28

YS13-3

20

< 1,5

20

<3

1,2

28

YS18-3

20

<2

20

<2

1,2

50

YS27-3

20

2,5

20

2,5

1,2

80

2) Bo giai ma hien th
Chung ta se thuyet minh nguyen l cong tac va qua trnh thiet ke cua bo giai ma
hien th qua v dung bo giai ma kch cho hien th 7 thanh LED.
V du 4-3-2 : hay thiet ke bo giai ma hien th kch chi hien th 7 thanh LED vi
tn hieu au vao la ma BCD 8421.
Bai giai :
Phan tch yeu cau thiet ke :
Xem s o khoi hnh 4-313.
Cac au vao D, C, B, A la
ma BCD 8421, trong o 6 trang
thai 1010 1111 khong c s
Hnh 4-3-13 Bo giai ma 7 thanh

dung, anh dau cheo X e x l toi


thieu hoa. Tn hieu au ra a, b, , g la
62

e kch sang LED tng ng cua hien th 7 thanh. Can c mach kch sang hnh 4-3-10,
tn hieu au ra bo giai ma phai tch cc mc thap (LED sang khi tn hieu kch mc
thap). Ke bang chan l :
Bang 4-3-6 : BANG CHC NANG BO GIAI MA
So c

hien th

Bang 4-3-7 : BANG CHAN L BO GIAI MA


D

Bang chc nang 4-3-6 c liet ke t ket qua phan tch yeu cau thiet ke.
63

Cac t ma au vao cua ma BCD 8421 quyet nh so c hien th. Nhng do cau
truc khong gian cua cac LED a,b, , g (hnh 4-3-13) ma cac gia tr tn hieu au ra bo
giai ma c xac nh sao cho :
- mc thap L : LED sang
- mc cao H : LED tat
- cac LED sang hnh thanh so c hien th.
Bang 4-3-7 la bang chan l tng ng bang 4-3-6.
Toi thieu hoa
Dung phng phap hnh ve. Chung ta chon dung cong NORAND trong s o. Do
o, au tien ta toi thieu hoa ham ao bang dang ORAND oi vi cac gia tr 0 cua ham
au ra, sau o lay ao th c dang NORAND oi vi cac gia tr 1 cua ham au ra.
Xem cac bang Karnaugh hnh 4-3-14.
V du : xet LED thanh a.
Toi thieu hoa dang chuan tac tuyen oi vi cac o trong bang Karnaugh co gia tr
0 e xac nh ham ao :
a = D + B + CA + C A (dang ORAND)
Lay ao : a = a = D + B + CA + C A (dang NORAND)
(b) b = C + BA + B A
b = C + BA + B A
(c) c = C + B + A
c= C+B+ A
(d ) d = D + CB + B A + C A + CBA
d = D + CB + B A + C A + CBA
(e) e = C A + BA
e = C A + BA
(f ) f = D + C B + C A + B A
f = D+CB+CA + BA
(g ) g = D + C B + C B + BA
g = D + C B + C B + BA

64

BA

BA

DC

00

01

11

10

00

01

11

10

BA

DC

00

01

11

10

00

01

11

10

(a)

DC

00

01

11

10

00

01

11

10

(b)

BA

(c)

BA

DC

00

01

11

10

00

01

11

10

DC

BA
00

01

11

10

00

01

11

10

(d)

DC

00

01

11

10

00

01

11

10

(e)

(f)

BA
DC

00

01

11

10

00

01

11

10

Hnh 4314.
Bang Karnaugh va ham logic au ra cua cac LED hien th a, b, c, d, e, f, g.
(g)

Ve s o logic :
S o logic hnh 4-3-15 xuat phat t cac ham logic toi thieu hoa bang bang
Karnaugh hnh 4-3-14. Trong hnh 4-3-15, tn hieu ra tch cc mc thap. V du,
DCBA = 1000, so c hien th la 8 vi cac LED abcdefg = 0000000 sang.
DCBA = 0101, so c hien th la 5 vi cac LED acdfg sang va be tat.
Mot
dang k
hieu mach
cua cong
NORAND
(Ve co
NORAND,
xem phan
3-3-4-3)

Hnh 4-3-15 Bo giai ma kch hien th LED 7 thanh

65

4.4. BO SO SANH
Trong cac he thong so, ac biet la trong may tnh, thng thc hien viec so sanh
hai so, e biet so nao ln hn, hay chung bang nhau. Hai so can so sanh co the la cac so
nh phan, cung co the la cac k t a ma hoa nh phan. Bo so sanh co the cong tac theo
kieu noi tiep hay kieu song song. chng nay, ta se xem xet nguyen l cong tac va
qua trnh thiet ke bo so sanh song song qua V du bo so sanh so nh phan 4 bit A =
a3a2a1a0 va B = b3b2b1b0
4.4.1. Bo so sanh bang nhau
Do so sanh bang nhau la mach ien thc hien chc nang logic xet hai so co bang
nhau hau khong bang nhau.
1) Bo so sanh bang nhau 1 bit
Xet hai bit ai va bi, goi gi la ket qua so sanh bang nhau gia ai va bi vi gi = 1
bieu th ai = bi, gi = 0 bieu th ai bi. Vay ta co bang chan l 4-4-1.
Bang 4-4-1 : BANG CHAN L CUA BO SO SANH 1 BIT
ai

bi

gi

Thuyet minh

Bang nhau

Khac nhau

Bang nhau

Khac nhau

T bang 4-4-1, ta co
gi = a i bi + a i bi = a i bi + a i bi = a i bi

Co the dungnhieu s o thc hien ham logic tren, hnh 4-4-1a la cong ham tng
ng, hnh 4-4-

1b la cong NORAND

eu thc hien chc

nang so sanh bang

nhau.

Hnh 4-4-1 Bo so sanh 1 bit

66

2) Bo so sanh bang nhau 4 bit


Bo so sanh nh phan 4 bit A = a3a2a1a0 va B = b3b2b1b0, ta thay rang A = B neu a3
= b3, a2 = b2, a1 = b1, a0 = b0. Vay neu goi gi la cac bo so sanh 1 bit, chung ta xay dng
c bang chan l 442.
T bang 442, ta co
G = g3 . g2 . g1 . g0
Vi
g3 = a 3 b3
g2 = a 2 b2
g 1 = a 1 b1
g0 = a 0 b0

Bang 442 : BANG CHAN L BO SO SANH BANG NHAU 4 BIT


g3

g2

g1

g0

Vay ta co :
G = g3 . g2 . g1 . g0
67

G = a 3 b 3 a 2 b 2 a 1 b1 a 0 b 0
G = (a 3 b 3 ) + (a 2 b 2 ) + (a 1 b 1 ) + (a 0 b 0 )

Hnh 4-4-2 S o logic bo so


sanh bang nhau 4 bit

4.4.2. Bo so sanh
Bo so sanh la mach ien thc hien chc nang logic xac nh so nao ln hn trong
hai so c so sanh.
1) Bo so sanh 1 bit
Xet hai bit ai va bi, ket qua so sanh li = 1 bieu th ai > bi, mi = 1 bieu th ai < bi.
Ta co bang chan l 443.
Bang 4-4-1 : BANG CHAN L CUA BO SO SANH 1 BIT
ai

bi

li

gi

Thuyet minh

ai = bi

ai < bi

ai > bi

ai = bi

T bang443, ta co :
li = a i bi
mi = a i bi
Can c vao ham logic tren ay, ta xay dng s
o logic hnh 443.
2) Bo so sanh 4 bit

Hnh 4-4-3 Bo so sanh 1 bit

a) Phng phap so sanh hai so nh phan nhieu


bit
68

Qua trnh so sanh hai so nh phan nhieu bit phai bat au t bit co trong so cao
nhat, cj khi nao bit co trong so cao nhat bang nhau th mi tiep tuc so sanh en bit co
trong so thap hn lien ke. Y ngha trong so khien viec so sanh quyet nh bi so co
trong so ln. (V du quen thuoc : so sanh 901 va 899, so sanh so hang tram 9 > 8, ket
luan luon 901 > 899, khong e y en so hang chuc va hang n v cua chung).
b) Bo so sanh 4 bit
So sanh hai so nh phan A = a3a2a1a0 va B = b3b2b1b0
Ket qua so sanh

G = 1 neu A = B ; gi = 1 neu ai = bi

L = 1 neu A > B ; li = 1 neu ai > bi


M = 1 neu A < B ; mi = 1 neu ai < bi
Bang 444 : BANG CHAN L CUA L
g3

g2

g1

g0

l3

l2

l1

l0

L Thuyet
minh

A>B

Bang 445 : BANG CHAN L CUA M


g3

g2

g1

g0

m3

m2

m1

m0

M Thuyet
minh

A>B

Khi liet ke bang 444 va 445, can lu y cac quan he phu nh nhau cua cac
bien logic va mot so gia tr cua bien logic khong anh hng g en ham logic. V du :
Xet bang 444.

69

Hang th nhat, l3 = 1 chng to a3 > b3, g3 1 (l3 = 1 phu nh g3 = 1). V a3, b3 co


trong so ln nhat, nen A > B, L = 1 khong phu thuoc g vao g2, g1, g0, l2, l1, l0 nen tng
ng vi cac dau cheo X.
Hang th hai, g3 = 1 chng to a3 = b3, l3 1. l2 = 1 chng to a2 > b2, v chung co
trong so th hai, nen A > B, L = 1 khong phu thuoc g vao g2, g1, g0, l2, l1, l0, tng ng
vi cac dau cheo X.
Hang th ba g3 = g2 = 1 chng to a3 = b3 , a2 = b2 , l3 1, l2 1, l1 = 1
chng to a1 > b1, do o A > B, L = 1, khong phu thuoc vao g1, g0, l0 tng ng vi cac
dau cheo X.
Hang th t g3 = g2 = g1 = 1 (a3 = b3 , a2 = b2 , l3 1, l2 1, l1 1) l0 = 1
chng to a0 > b0, do o A > B, L = 1, g0 1. Tng ng cac gia tr 1 eu anh dau
cheo X.
Bang 4-4-5 cung c tm hieu va ke ra mot cach tng t.
T bang 4-4-4 ta co : L = l3 + g3.l2 + g3g2l1 + g3g2 g1l0.
T bang 4-4-5 ta co : M = m3 + g3m2 + g3g2m1 + g3g2 g1m0.
S o logic ham L, M thc hien bang cong AND va OR tren hnh 4-4-4.
g3, g2, g1 la tn hieu au ra.
Bo so sanh bang nhau 1 bit
g3 = a3 b3
g2 = a2 b2
g1 = a1 b 1

l3, l2, l1, l0 va m3, m2, m1, m0 la cac bo


so sanh 1 bit.

Hnh 4-4-4 Bo so sanh 4 bit.

l 3 = a 3 b 3 ; l 2 = a 2 b 2 ; l 1 = a1 b1 ; l 0 = a 0 b 0
m 3 = a 3 b 3 ; m 2 = a 2 b 2 ; m 1 = a1 b 1 ; m 0 = a 0 b 0 ;

4.4.4. ICMISI bo so sanh 4 bit


Hnh 4-4-5 la vi mach MSI bo so sanh 4 bit.
Nhan xet s o hnh 4-4-5, ta thay rang MSI nay co s o mach phu hp
vi cac s o bo so sanh a trnh bay tren, no co them 3 cong AND va 3 au vao ieu
khien a > b, a < b, a = b.
Cac bieu thc ham logic au ra L, M, G hoan toan khong khac g vi cac
bieu thc a dan tren. Bang chan ly cua bo so sanh 4 bit hnh 4-4-5 la bang 4-4-6.
Cho l = m = 0 ; g = 1, t s o logic hnh 4-4-5, theo tng cap t au ra, ta co the viet
cac ham au ra nh sau :
70

L = l3 + g3l2 + g3g2l1 + g3g2 g1l0+ g3g2 g1l


= l3 + g3l2 + g3g2l1 + g3g2 g1l0+ 0
= a 3 b 3 + a 3 b 3 a 2 b 2 + a 3 b 3 a 2 b 2 a1 b 1
+ a 3 b 3 a 2 b 2 a1 b 1 a 0 b 0

M = m3 + g3m2 + g3g2m1 + g3g2 g1m0+ g3g2 g1m


= m3 + g3m2 + g3g2m1 + g3g2 g1m0+ 0

Hnh 4-4-5 Bo so sanh 4


bit (MSI)
a) S o mach ien
b) K hieu

= a 3 b 3 + a 3 b 3 a 2 b 2 + a 3 b 3 a 2 b 2 a1
+ a 3 b 3 a 2 b 2 a1 b 1 a 0 b 0

= g3g2g1g0g
= g3g2g1g0
= a 3 b 3 a 2 b 2 a1 b 1 a 0 b 0

71

Bang 4-4-6 : BAN CHAN LY BO SO SANH 4 BIT


au vao so sanh

au vao ieu

au ra

khien
l

a> b

a<b

a=b

A>B

A<B

A=B

a3 = b3 a2 > b2

a3 = b3 a2 < b2

a3 = b3 a2 = b2

a1 < b1 X

a3 = b3 a2 = b2

a1 = b1 X

a3 = b3 a2 = b2

a1 = b1 a0 > b0 X

a3 = b3 a2 = b2

a1 = b1 a0 < b0 X

a3 = b3 a2 = b2

a1 = b1 a0 = b0 1

a3 = b3 a2 = b2

a1 = b1 a0 = b0 0

a3 = b3 a2 = b2

a1 = b1 a0 = b0 0

a3b3

a2b2

a1b1

a0b0

a3 > b3 X

a3 < b3 X

4.5. BO CONG
Thc hien cac phep tnh la nhiem vu c ban cua may tnh so. Trong may
tnh, cac phep cong tr nhan chia eu quy ve phep tnh cong theo mot cach nao o, vay
phep cong la phep tnh c ban nhat cua may tnh.
4.5.1. Bo cong na
Bo cong na la mach ien thc hien phep cong 2 so 1 bit. Bang 4-5-1 la
bang chan ly cua phep cong na, Si la tong ai va bi la cac so hang c cong, ci la so
nh sang bit trong so ln hn. Bang chan ly 4-5-1 ch thc hien phep tnh oi vi ban
than hai so ai, bi ma khong ke en so nh chuyen v t
bit co trong so be hn. Vay mi co ten la cong na. T
bang 4-5-1 ta co :
Si = a i b i + a i b i = a i b i
ci = aibi
Hnh 4-5-1 la s o logic va ky hieu bo
cong na.

Hnh 4-5-1 : Bo cong na

72

Bang 4-5-1 : BANG CHAN LY BO CONG NA


ai

bi

Si

Ci

4.5.2. Bo cong u :
Bo cong na khong ap ng yeu cau thc te cong hai so nhieu bit. V du
cong hai so A = A3a2a1a0 = 1011 va B= b3b2b1b0 = 1110
bit 3

bit 2

bit 1

bit 0

So nh chuyen len
1

Qua v du ta thay : viec cong cac bit 3, bit 2, bit 1 eu kem theo so nh,
ngha la co 3 so hang tham gia, gom 2 bit c cong va so nh chuyen len t phep cong
bit trong so be lien ke. Phep cong co nh c goi la cong u, mach ien thc hien
tng ng c goi la bo cong u (Full Adder).
Bang 4-5-2 la bang chan ly cua bo cong u, trong o a i, bi la hai bit c cong,
ci-1 la so nh chuyen len t bit i - 1 (be lien ke) si la tong va ci la so nh (phai chuyen
len phep cong u cua bit i + 1).
Tien hanh toi thieu hoa bang bang Karnaugh, xem hnh 4-5-2
Bang 4-5-2 : BANG CHAN LY CUA BO CONG U
ai

bi

ci-1

sl

si

73

Hnh 4-5-2: Bang Karnaugh cua


ham logic au ra si, ci

hnh 4-5-2a, ta co :
s i = a i b i c i 1 + a i b i c i 1 + a i b i c i 1 + a i b i c i 1
= a i ( b i c i 1 + b i c i 1 + a i ( b i c i 1 + b i c i 1 )
= a i (b i c i 1 ) + a i b i c i 1
= a i b i c i 1

T hnh 4-5-2b, ta co :
c i = a i b i c i 1 + a i b i c i 1 + a i b i
= (a i b i + a i b i )c i 1 + a i b i
= (a i b i )c i 1 + a i b i

Ham logic cua ci khong dang toi gian ma co a i b i giong nh trong


ham si, nh vay s o chung lai n gian. Xem hnh 4-5-3.

Hnh 4-5-3 Bo cong u.


a) S o u
b) K hieu

4.5.3. Bo cong co nh noi tiep


Sau khi a gii thieu bo cong u 1 bit, bay gi chung ta xem xet bo cong
so nh phan nhieu bit. Co rat nhieu mach ien khac nhau thc hien viec nay. Ta hay
xem xet cu the bo cong hai so nh phan 4 bit, trong o so lieu c cong cac bit a vao
song song, so nh chuyen noi tiep t bit thap nhat len.
Hnh 4-5-4 gii thieu bo cong 4 bit c cau truc bang 4 bo cong u 1 bit.
V phai i so nh t FA bit thap hn a len, nen phep FA cua bit cao thc hien sau
phep FA cua bit thap. So nh chuyen noi tiep t FA bit thap len, tng bc mot theo so
bit.
u iem cua bo cong cac bit song song co nh noi tiep la : mach ien n
gian. Nhc iem cua no la : toc o thap. e nang cao toc o phep cong, ta phai tm
cach rut ngan thi gian thc hien FA cua bit cao, trong o co cach so nh chuyen sm
ti FA cua bt cao.
74

Hnh 4-5-4 bo cong 4 bit.

4.6. BO CHON KENH


Bo chon kenh con goi la bo don
kenh (MUX) cung go la bo chon d lieu (DATA
SELECTOR). Bo chon kenh thc hien di
dang mot mang cac cong NORAND (hay
ORAND). ng dung cua no rat rong. Chc nang
logic c ban cua bo chon kenh la di s ieu
khien cua tn hieu chon (n au vao ieu khien)
thc hien s chon ra mot kenh (trong so 2n kenh
au vao) e thong tn hieu kenh c chon en

Hnh 4-6-1 : Bo chon kenh (MUX)

au ra (1 au ra).
Hnh 4-6-1 gii thieu bo chon kenh co 4 au vao e chon.
Trong hnh, B va A la n = 2 au vao ieu khien, D3, D2, D1, D0 la 2n = 4,
au vao d lieu c chon, Y la au ra, con G la au chon chip (cho phep bo chon kenh
lam viec).
T s o hnh 4-6-1 ta viet ham logic au ra :
Y = G B A D 0 + G BAD1 + GBA D 2 + GBAD 3
= G (B A D 0 + BAD1 + BA D 2 + BAD 3 )

(4-

6-1)
Khi G = 1 th Y = 0, tc la bo chon kenh b cam, no khong lam viec.
(4Khi G = 0 th Y = B AD 0 + B AD1 + BAD 2 + BAD 3
6-2)
Kenh nao c chon, phu thuoc tn hieu chon (au vao ieu khien).
Neu BA = 00, th Y = D0, neu BA = 01, th Y = D1, neu BA = 10 th Y =
D2, neu BA = 11 th Y = D3. Bang 4-6-1 la bang chc nang cua bo chon kenh.

75

Bang 4-6-1 : BANG CHC NANG BO CHON KENH


B

D0

D1

D2

D3

Co the viet rut gon bang 4-6-1 thanh dang n gian nh bang 4-6-2
BAng 4-6-2 : BANG CHC NANG RUT GON CUA BO CHON KENH
B

D0

D1

D2

D3

4.7. ROM (bo nh ch oc - Read Only Memory)


ROM con goi la bo nh co nh. V d lieu lu gi trong ROM khong de
g thay oi, d lieu noi chung khong thay oi, ch oc ra. Phan t nh trong ROM rat
n gian, do o IC co o tch hp cao. Co nhieu chung loai ROM. Can c vao phan t
nh trong ROM, th co 3 loai : ROM iot, ROM tranzito lng cc va ROM tranzito
trng (MOS). Can c cach viet d lieu vao ROM, cung co 3 loai : ROM co nh (ROM
mat na - Maskable ROM), PROM va EPROM. ROM co nh khi che tao th nha may
nap san d lieu thong qua cong oan mat na, noi dung o khong the thay oi trong qua
trnh s dung. PROM (ROM co the nap chng trnh - programable ROM) th ngi s
dung co the nap chng trnh vao (bang mot thiet b ac biet), nhng d lieu ch c
viet vao PROM mot lan ma thoi, sau o khong the thay oi. Noi dung lu gi trong
EPROM (erasable ROM) th co the thay oi (viet mi) bang mot thiet b ac biet.
Nhng do qua trnh viet mi phien phc, nen thong thng ch tien hanh oc.
4.7.1. Bo nh co nh ch oc (ROM)
76

ROM co nh co 3 phan mach : bo giai ma a ch, ma tran phan t nh,


va mach ien au ra. Hnh 4-7-1 gii thieu
mach ien n gian nhat la ROM iot.

Hnh 4-7-1 ROM iot.

Dung phng phap phan tch logic, ta viet c :


Ham logic tn hieu tren cac day t :
W3 = A 1 A 0

W2 = A 1 A o

W1 = A 1 A o

W0 = A 1 A o

Ham logic tn hieu au ra :


D 3 = W3 W1 = A 1 A 0 .A 1 A 0 = A 1 A 0 + A 1 A 0 = A 0
D 2 = W3 W2 W0 = A 1 A 0 .A 1 A 0 A 1 A 0 = A 1 A 0 + A 1 A 0 = A 1 A 0
= A1 + A 0
D1 = W3 W1 = A 0
D 0 = W1 W0 = A 1 A 0 A 1 A 0 = A 1 A 0 = A 1 A 0 = A 1

Bang 4-7-1 : BANG CHAN LY CUA ROM IOT


A1

A0

D3

D2

D1

D0

T bang chan ly, ta thay s o hnh 4-7-1 thc chat la mot bo chuyen ma
t ma A1A0 sang ma D3D2D1D0. Qua trnh dien ra nh sau : bo giai ma a ch tien hanh
giai ma A1A0, ma tran 4 cong AND iot (au ra cua AND iot la day bit, au vao cua
AND iot la day t qua iot noi day bit tng ng) va bo ao (mach ien au ra) thc
hien ma hoa oi vi tn hieu au ra bo giai ma. Cuoi cung ta co ma D3D2D1D0.

77

Trong mach ien hnh 4-7-1, cac t ma cua


tnh hieu au vao va au ra co quan he n tr. V vay,
ngi ta thng xem t ma au vao A1A0 la a ch cua
t ma D3D2D1D0 c lu gi trong ROM, con ban than
D3D2D1D0 c xem la noi dung d lieu c lu gi
a ch tng ng A1A0. Trong ma tran phan t nh,
ng ngang e chon t goi tat la day t, ng oc e
chon bit goi tat la day bit. Cho giao nhau gia day t day
bit c goi la phan t nh. Tai phan t nh, neu co
iot thfi d lieu lu gi la 1, neu khong co iot th d
lieu lu gi la 0. Noi dung d lieu lu gi trong ma tran

Hnh 4-7-2 :
Ma tran nh
cua ROM NMOS

nh khong the thay oi sau khi hoan thanh che tao ra


ROM, tc la ch oc ma khong viet c ...
Hnh 4-7-2 la ma tran phan t nh dung tranzito trng MOS (co noi
dung nh nh mach hnh 4-7-1). Tai phan t nh (ni giao nhau gia day t va day bit)
neu co tranzito MOS th noi dung lu gi la 1, neu khong co th la 0. Ch lu y tn hieu
day t tch cc mc cao. (Cung co the dung tranzito lng cc e cau truc ma tran
nh cua ROM).
Khi che tao ma tran nh cua ROM, can c noi dung can lu gi ma thiet
ke mat na. ieu nay ch co li khi so lng san xuat ln (v the tac mat na va cac cong
oan lien quan ti thi gian, gia thanh cao). Trong trng hp so lng san xuat khong
ln, dung PROM kinh te hn.
4.7.2. Bo nh ch oc co the ghi rnh t (PROM)
Khi xuat xng, PROM co cac phan t nh eu
la 1, ngi s dung can c noi dung can lu tr ma tuy y chon
phan t nh nao khong thay oi (1), phan t nh nao phai thay
oi (0) e thc hien thao tac viet vao bo nh. Nhng ch mot
lan viet ma thoi.
Hnh 4-7-3 gii thieu mot phan t nh PROM,

Hnh 4-7-3 :
Phan t nh PROM

gom co mot tranzito lng cc va mot cau ch (hp kim Ni, Cr ...) Khi xuat xng, cau
ch cua cac phan t nh eu thong. Ngi s dung muon ghi bit 1 vao phan t nh nao
th gi nguyen cau ch, muon ghi bit 0 vao phan t nh nao th lam cau ch t bang
dong ien u ln theo quy nh. Cau ch cua phan t nh t roi th khong co cach g
noi lai nh cu c, tc la khong thay oi noi dung c na.
78

Ngoai hnh thc cau ch, ngi ta con che tao PROM dung iot Schottky
thay the phng an cau ch tren. Khi xuat xng, tat ca cac iot Schottky eu ngat (
trang thai phan cc ngc), tng ng bit 0. e tao ra bit 1, ngi s dung phai at ien
ap ngc u ln e iot Schottky anh thung tao thanh chap cc thong mach vnh vien.
4.7.3. Bo nh ch oc co the viet lai (EPROM)
Thc tien luon co nhu cau sa cha, oi mi
mot so d lieu nao o trong ROM, do vay ngi ta khong
thoa man vi ROM co nh va PROM. EPROM giai quyet
va ap ng nhu cau tren, nen co ng dung rong rai. Hien
nay EPROM s dung phan t nh tren c s tranzito
FAMOS (Floating - gate Avalanche - injection Metal Oxide
Semiconductor - Ban dan oxyt kim loai phun - cc cong thac
lu tha noi). Hnh 4-7-4 trnh bay ket cau tranzito FAMOS.

Hnh 4-7-4.
Ket cau tranzito FAMOS

No c ban la tranzito MOS cc cong Si kenh P. iem khac biet cua no la cc cong hoan
toan b SiO2 cach li, nen trang thai bong benh va co ten cc cong tha noi. Cc nay
von khong mang ien, nen khong co kenh dan gia cc nguon S va cc mang D,
tranzito FAMOS trang thai ngat. Nhng neu at ien ap tng oi ln (-30V chang
han) gia D va S, lam cho chuyen tiep PN gia cc mang D va e b anh thung thac
lu, ien t trong vung ngheo kiet nh ien trng manh gia toc ln bay t vung P+ ra
ngoai. Do toc o cao, co mot phan t xuyen qua lp oxyt tng oi mong e ti cc
cong tha noi, chung c ton tr ien tch cc cong khong co loi thoat i, nen bao
ton lau dai tai cc cong. ( 1000C, mot nam suy giam cha ti 1%). ieu chnh bien o
va thi gian cua ien ap gia DS, th co the ieu khien lng ien t phun vao. Khi cc
cong a co u nhieu ien t (ien tch am) th co the tao ra kenh dan gia D va S lam
cho tranzito FAMOS tr thanh thong. Neu dung tia cc tm hoac tia X chieu vao
tranzito FAMOS lam trung hoa ien tch cc cong,
kenh dan bien mat, tranzito FAMOS tr lai trang thai
ngat. e tien tien hanh viec kh bo kenh dan, khi bao
goi, ngi ta e mot ca so thach anh cho viec chieu
xa khi can.
Hnh 4-7-5 gii thieu EPROM cau truc t
tranzito trng, moi phan t nh gom mot tranzito
MOS noi tiep vi mot tranzito FAMOS. Day t ieu
79

Hnh 4-7-5 : Ma tran nh EPROM

khien cc cong. Khi xuat xng, tat ca FAMOS eu trang thai h mach. Ngi s
dung can c vao d lieu can lu gi, a xung ien ap am vao day bit a chon, lai ieu
khien day t cho MOS theo a ch thong dan. FAMOS day bit a chon se anh thung
thac lu, phun ien t vao cc cong cua no.
Khi oc ra, day t chon hang phan t nh, FAMOS nao a co ien t
phun vao cc cong cua no se dan ien, day bit tng ng se co mc cao ; FAMOS nao
khong co ien t phun vao cc cong th h mach, day bit tng ng se co mc thap.
Can lu y rang tranzito FAMOS co the co nhng hnh thc cau truc khac
vi cau truc c gii thieu tren ay.
4.8. MANG LOGIC LAP TRNH (PLA)
Hnh 4-8-1 gii thieu PLA cau truc t mot
mang cong AND va mot mang cong OR. Mang cong
AND thc hien phep nhan logic cac bien so trong cac tn
hien au vao A0 An. Tn hieu au ra P0 Pm la tch
(Hoi) cua cac bien so au vao. Mang cong OR thc hein
phep cong logic cac tch P0 Pm. Tn heu au ra cua
mang OR (cung la cua PLA) la tong (tuyen) cua cac

Hnh 4-8-1 : S o khoi cau truc cua PLA

tch o. Tom lai, au ra PLA ta co ham logic di


dang chuan tac tuyen. Ngi s dung PLA tuy y thiet ke tong cua nhng tch nao, tch cua
nhng bien nao. Vay cau truc hnh 4-8-1 c goi la mang logic lap trnh PLA.
Moi ngi eu biet rang mot ham
logic bat ky e co the bieu th di dang chuan tac
tuyen (ORAND). Vay dung PLA thc hien ham logic
dang chuan tac tuyen la vo cung thuan tien. V chung
ta a bien logic en au vao PLA, thiet ke cac tch
bang mang AND, sau o thiet ke cac tong cua cac
tch bang mang OR th au ra PLA la ham logic
chuan tac tuyen can co.
Qua v du tng oi n gian di
ay, chung ta se thay cu the nguyen ly cong tac cua
PLA.
Hnh 4-8-2 trnh bay mang co 5 cong

Hnh 4-8-2 : Mang cong NAND


PMOS

NAND PMOS. W0 + W4 la bien so au vao Y0 + Y4 la ham so au ra. ac iem cong tac cua
80

tranzito trng PMOS la : Thong dan khi ien ap mc thap cc cong, h ngat khi ien ap
mc cao cc cong, h ngat khi ien ap mc cao cc cong. Vay ta co bang chc nang ke
bang 4-8-1.
Ap dung logic dng (mc cao H bieu th 1, mc thap L bieu th
0), ta co the viet ra cac ham Yi t bang 4-8-1 L:
Y0 = W0 W2

Y1 = W1 W3 W4

(4-8-1)
Y2 = W3

Y3 = W0 W1
Y = W W
3
4
4

Hnh 4-8-3 PLA 2 cap mang cong


NAND.

Bang 4-8-1 : BANG CHC NANG


W2 Y0

W1 W3 W4 Y1

W3 Y2

PLA hnh 4-8-3 gom 2 mang cong NAND PMOS. e n gian hnh ve,
net cham am bieu th tranzito PMOS tai cho giao nhau. T hnh 4-8-3 ta co :
Z 0 = Y1 Y3

Z1 = Y2

(4-8-2)
Z 2 = Y0 Y4

Z 3 = Y0 Y3 Y4
Z = Y
1
4
Thay bieu thc (4-8-1) vao (4-8-2) ap dung nh ly Demoorgan ta co :

81

Z 0 = W1 W3 W4 + W0 W1
Z = W
3
1
Z 2 = W0 W2 + W3 W4
Z = W W + W W + W W
0
2
0
1
3
4
3
Z 4 = W1 W3 W4

(4-8-3)

Tuy rang hnh 4-8-3 cau truc bang hai mang cong NAND, nhng ket qua
cua cach au noi the hien ham au ra (4-8-3) chng to rang s o hnh 4-8-3 tng
ng hnh 4-8-1. Dung s o cau truc hnh 4-8-3, a tn hieu au vao en au vao
mang tren (Wi) , can c tch cac bien au vao ma thiet ke v tr tranzito MOS, roi can
c vao tong cac tch ma thiet ke v tr tranzito MOS trong mang di ; hien nhien oi
vi toan bo s o hnh 4-8-3 (hai mang) th au ra ta co ham logic dang chuan tac
tuyen theo yeu cau thiet ke.
Trong PLA c IC hoa, khong ch co mang OR - AND tren ay, ma con
co cac bo ao cho tn hieu au vao, va cac cong 3 trang thai em au ra. Vay tren 1
chp , ta co the cau truc bat c mang to hop nao. Neu them vao chp o mot so Flip
FLop (se gii thieu sau) th ta co the cau truc c cac mach so noi chung (bao gom
mach day). Cung nh ROM c san xuat ra thanh cac loai ROM co nh, PROM,
EPROM, PLA cung c san xuat ra thanh cac loai PLA co nh, PLA co the nap
chng trnh va PLA co the viet mi.
Chung ta co the e y thay rang ket cau mach ien mang cong trong PLA
giong nhau vi ma tran nh trong ROM. Chung khac nhau phng thc cong tac. au
vao PLA la cac bien logic, co the nhieu bien ong thi tac ong. au vao ma tran nh
trong ROM la tn hieu giai ma a ch, mot lan ch tac ong vao mot day t. Gia s can
thc hien cung mot ham logic phc tap nao o, th phan t nh cua PLA t hn nhieu so
vi so phan t nh cua ROM tng ng. V vay ng dung va san xuat IC PLA ngay
cang rong rai.
4.9. NGUY HIEM CHAY UA TRONG CAC MACH TO HP
Nguy hiem chay ua la hien tng trong mach to hp xuat ra tn hieu gia,
von la nhng xung nhieu qua o khi tn hieu au vao chuyen oi trang thai. Neu phu tai
cua mach xet nhay cam oi vi xung nhieu nh Flip Flop chang han, th can tm cach
tr bo nguy hiem chay ua.
4.9.1. Nguyen nhan sinh ra hien tng nguy hiem chay ua

82

Trong mach so, mot cong bat ky ma co hai tn hieu au vao ong thi
chuyen oi trang thai theo hng ngc nhau (v du t 01 sang 10) th co the sinh ra
xung nhieu au ra. Ta minh hoa ieu nay bang cong AND (TTL) hnh 4-9-1.
V chc nang cua cong AND, Z = A. B. Neu AB = 01 hay AB = 10 th
ang le Z phai luon luon la 0.
Hnh 4-9-1 : Nguy hiem chay ua cua
cong AND
a)Cong AND (TTL);
b)ac tnh truuyen at ien ap
cua cong AND;
c) Xung nhieu sinh ra do nguy
hiem chay ua

Trong qua trnh chuyen oi t 01 sang 10 co the sinh ra xung nhieu v :


1. Tn hieu A, B khong the ot bien, s chuyen oi trang thai ieu trai
qua thi gian qua o.
2. S chuyen oi trang thai
cua tn hieu A va B xay ra co sm muon so
vi nhau (quang ng truyen tn hieu
khac nhau, tre truyen at cua mach ien
khong hoan toan bang nhau).
Chang han tn hieu A tang
len mc khoa cong VOFF trc khi tn hieu
B giam xuong en mc m cong VON, khi
o au ra Z se sinh ra xung nhieu dng.
Con trong trng hp tn hieu B giam
xuong en VON trc khi tn hieu A tang
en VOFF th lai khong sinh ra xung nhieu.

Hnh 4-9-2 : Bo giai ma nh phan 2 bit.


a) S o logic
b) Xung nhieu do nguy hiem chay ua sinh ra

Vay mach ien co nguy hiem chay ua


khong phai nhat nh phai co xung nhieu
au ra. Tuy nhien, ta khong the biet trc chnh xac s sai lech gay ra sm muon noi
tren. Vay y ngha hien tng nguy hiem chay ua la kha nang sinh ra xung nhieu.
Hnh 4-9-2 la mot v du ve xung nhieu sinh ra do nguy hiem chay ua.
Gia s tn hieu au vao chuyen oi trang thai theo chieu mui ten tren bang 4-9-2.

83

Theo o th thi gian hnh 4-9-2b, tng ng sai lech thi gian qua o tn
hieu A va B co the sinh ra xung nhieu au ra cong AND M4, tng ng sai lech thi
gian tre truyen at cua M5 va M6 co the sinh ra xung nhieu au ra cong AND M1. o la
nhng xung nhieu sinh ra khi BA chuyen t 01 sang 10 (anh dau trong bang 4-9-2).
Bang 4-9-2 : Bang chan ly mach ien - (hnh 4-9-2)

4.9.2. Phng phap tr bo nguy hiem chay ua


Co nhieu phng phap e nhan biet mot mach to hp co nguy hiem chay
ua. Phng phap trc quan hn ca la ke bang chan ly cho tng cap mach ien xet. Tm
xem cong nao chu tac ong cua tn hieu au vao ong thi 0 sang 1 va 1 sang 0. Phan
oan kha nang sinh ra xung nhieu au ra cua toan mach xet, tc la co nguy hiem
chay ua hay khong. Neu phu tai cua mach xet nhay cam vi xung nhieu th can tm
cach tr bo nguy hiem chay ua. Di ay gii thieu may phng phap thong dung.
1. a vao xung khoa :
Tren hnh 4-9-3 xung am p1 la xung khoa a vao trong thi gian qua o
e khoa cong M1, M4. (Nh a trnh bay tren M1 va M4 co nguy hiem chay ua). Xung
p1 phai ong bo vi chuyen oi trang thai tn hieu vao va khong nho hn thi gian qua
o t.
.

Hnh 4-9-3 : Cac phng phap tr bo nguy hiem


chay ua

84

2. a vao xung m :
Tren hnh 4-9-3, xung dng p2 la xung dung e m thong mach, a vao
sau thi gian qua o, luc mach at en trang thai on nh mi, e m thong M1 va M4.
Tn hieu au ra cua M1 va M4 bay gi co dang xung be rong bang xung m p2. V du
khi tn hieu au ra BA = 11, Z3 khong tc thi chuyen len mc cao, ch khi a xuat hien
p2, Z3 mi hnh thanh xung dng.
3. Mac them tu loc :
V xung nhieu do nguy hiem chay ua tao ra la rat hep (hep hn thi gian
qua o t) nen co the mac them mot tu ien loc (ien dung khong ln) au ra e tr
bo. o la Cf tren hnh 4-9-3. oi vi mach TTL, Cf co ien dung vai tram pF la u e
suy giam bien o xung nhieu en mc khong ang ke.
4. Sa oi thiet ke logic :
Khi nguy hiem chay ua do mot bien so chuyen oi trang thai gay ra, th
co the dung sa oi thiet ke logic e tr bo. Xet
v du sau :
Gia s ham logic a cho la :
Z = AB + AC

S o logic cua ham nay la ng


net lien tren hnh 4-9-4.
Khi B = C = 1 th :

Z = AB + AC = A.1 + A.1

Hnh 4-9-4 : Sa oi thiet ke logic e

Z=A+A

tr bo nguy hiem chay ua.

Ham logic nay chng to xuat hien nguy hiem


chay ua khi tn hieu au vao A chuyen oi trang
thai. Can c cong thc 17 chng 3 (3-1-36) ta co :
Z = AB + AC = AB + AC + BC

Tng ng ta them con M5 (ng net t)


tren s o hnh 4-9-4, khi B = C = 1 th au ra M5 la
mc thap, khoa M4, khong the sinh ra xung nhieu
au ra M4 na.
Hnh 4-9-5 la bang Karnaugh cua ham
logic Z = AB + AC . Ta co the nhan biet nguy
85

Hnh 4-9-5 : Bang Karnaugh cua ham


logic Z.

hiem chay ua khi xem xet bang Karnaugh : Hai so hang AC va AB lien ke. (o m3 =
ABC lien ke o m7 = ABC). Ta cung da vao bang Karnaugh ma tm cach tr bo nguy

hiem chay ua = them vao ham logic mot so hang tng ng hai o lien ke m3 va m7, so
hang BC (net dt tren hnh 4-9-5), vay Z = AB + AC + BC.
Xet them v du di ay :
V du 4-9-1 : xet xem mach to hp thc hien ham so : Z = A B C + BD + ACD co
nguy hiem chay ua khi mot bien so chuyen oi trang thai. Neu co th tm cach tr bo.

Hnh 4-9-6 : bang


Karaugh cua ham logic.

Hnh 4-9-7 : S o logic.

Bai giai :
Ve ban Karaugh cua ham logic Z = A B C + BD + ACD nh hnh 4-9-6
Hai so hang A B C va BD lien ke, hai so hang ACD va BD cung lien ke. Vay co
nguy hiem chay ua. (Khi A = C = D = 1 th Z = B + B, khi A = B = C th Z = D + D )
Cach t bo nguy hiem chay ua la them vao hai so hang tng ng cac o lien ke
(net t tren hnh 4-9-6) A CD va ABC. Hnh 4-9-7 la s o logic cua ham :
Z = A B C + BD + ACD + A CD + ABC

s o tuy phc tap them, nhng a tr bo nguy hiem chay ua.


Chung ta hay so sanh 4 phng phap tr bo nguy hiem chay ua a trnh bay
tren ay. Hai phng phap au tng oi n gian, khong lam tang so linh kien. Nhng
chung b han che cho phai tm c xung khoa hay xung m. Nhng xung nay co yeu
cau chnh xac cao ve be rong va thi gian. Mac them tu loc co u iem n gian, de
lam, nhng lam xau dang song au ra, ieu o la khong the chap nhan trong mot so
tnh huong nao o. Phng phap sa oi thiet ke logic kha la ly tng khi cac IC co san
cac cong con cha dung en trong mach.

86

CHNG 5
MACH FLIP - FLOP
5.1. MOT SO CAU TRUC MACH THNG GAP CUA FLIP - FLOP
Tn hieu so nh phan la tn hieu c ban trong mach so FF la phan t c
ban lu tr (nh) tn hieu nh phan. V mot bt tn hieu nh phan co the nhan mot trong
hai gia tr 0, 1 nen FF toi thieu can co chc nang sau :
1. Co hai trang thai on nh, trang thai 0 va trang thai 1.
2. Co the tiep thu, lu tr va a ra tn hieu vao.
ng nhien, thc tien con e ra cac yeu cau khac. Tiet nay trnh bay
van e: Mach ien nh the nao am bao cac chc nang noi tren.
5.1.1 . Flip Flop RS c ban
1. Cau truc mach va ky hieu
Hnh 5-1-1 trnh bay s o logic va ky hieu logic cua Flip Flop RS c ban.
Mach ien gom hai cong NAND noi ghep cheo. R , S la cac tn hieu au vao, dau
gach ngang tren ky t bieu th tn hieu hoat
ong mc thap (mot khuyen tron trong ky hieu
logic bieu th ieu o). Noi cach khac, au vao
mc thap bieu th co tn hieu, au vao mc cao
bieu th khong co tn hieu. Q va Q bieu th trang
thai cua FF, ong thi bieu th au ra.
2. Nguyen ly lam viec
a) Hai trang thai on nh
Khi khong co tn hieu, tc la

Hnh 5-1-1 Flip Flop RS c ban.


a) S o logic b) K hieu logic

R = S = 1 , mach co hai trang thai on nh - Trang thai 0 va trang thai 1. ay, chung ta
goi Q = 0 va Q = 1 la trang thai 0, goi Q = 1 va Q = 0 la trang thai 1. trang thai 0, v

Q = 0 hoi tiep en au vao cong B lam B ngat, duy tr Q = 1 . Ma Q = 1 lai hoi tiep en
au vao cong A, cung vi S = 1 lam cho cong A thong, duy tr Q = 0 . Do o, mach
hoan toan t ong duy tr trang thai 0. Tng t, trang thai 1, Q = 1 va R = 1 lam cho
cong B thong, duy tr Q = 0 . Ma Q = 0 lai lam cho cong A ngat, duy tr Q = 1. Vay
trang thai 1 cung c t gi.
b) Qua trnh tiep thu tn hieu

87

Gia s FF trang thai 0, chung ta a mot xung am vao au S . Mach


ien se chuyen bien nhanh sang trang thai 1. V xung am au vao S , sau thi gian tre
truyen at 1 cap cong tpd th cong A t thong sang ngat, au ra Q t 0 sang 1. Lai sau
thi gian tre truyen at 1 cap cong tpd na, th cong B t ngat sang thong, au ra Q t 1
sang 0. Vay sau thi gian 2tpd FF a hoan thanh
chuyen bien trang thai t 0 sang 1. Luc nay du cho
mat tn hieu au vao, v Q = 0 a hoi tiep dan en
au vao cong A, FF co the t ong duy tr trang thai
1, ma khong tr lai trang thai 0. V the, xung am au
vao c goi la xung kch.
Hnh 5-1-2.
Dang song cua Flip Flop RS c ban

Gia s FF trang thai1, a xung am vao au


R . Qua trnh tng t se xay ra, sau thi gian 2tpd,

FF chuyen t trang thai 1 sang trang thai 0. (Xem


dang song hnh 5-1-2).
V tn hieu au vao S co the va ch co the thiet lap FF trang thai 1,
tns hieu au vao R co the va ch co the xoa FF trang thai 0, nen thng goi S la au
vao at (set) va R la au vao xoa (Reset).
Neu xem xet qua trnh chuyen bien trang thai t m hn, chung ta se thay
rang : v hai mach NAND noi ghep cheo nen khong nhng FF co the t gi khi khong
co tn hieu, ma con xuat hien qua trnh phan hoi dng trong mac FF moi khi co xung
kch tao ieu kien e FF nhanh chong hoan thanh chuyen trang thai. V du, trong qua
trnh thiet lap 1, he S giam en mc m cong, mc au Q se tang len, hoi tiep en au
vao cong B, lam cho cong B chuyen t ngat sang thong, Q giam mc, hoi tiep en au
vao cong A, lai cang lam cho cong A ngat sau hn, Q tang mc hn na, ket qua cang
lam cho Q giam mc them ... C vay, song don gio dap nh bao ap, nh thac l, lam
cho cong A ngat rat nhanh, cong B thong rat nhanh, FF chuyen trang thai t 0 sang 1
trong thi gian cc ngan. Thong qua au Reset R , cung co qua trnh phan hoi dng
tng t. Chnh v the, du cho sn trc xung kch (xung am) khong doc lam, th au
ra cua FF ta van nhan c cac xung co sn rat doc.
c) Khong cho phep ong thi a tn hieu vao ca R va S
Khi dung loai FF nay lam phan t nh, khong c phep ong thi a
tn hieu kch vao ca hai au vao R va S , tc la trang thai R = S = 0 b cam. Do ac tnh
mach cong NAND, khi R = S = 0 th Q, Q ong thi bang 1, phan t nh ma khong
phai la trang thai 0, cung khong phai la trang thai 1 nh the th au con la phan t nh
na ! mat khac, khi R va S ong thi t 0 ve 1 (bo tn hieu) th trang thai cua FF la bat
88

nh, co the la 0, cung co the la 1. V rang nhng nhan to quyet nh trang thai FF luc
nay la khong co cach nao biet trc chnh xac c, chang han s khac nhau rat nho
ac tnh ong cua hai cong NAND hay tnh huong nhieu thi iem xet. ng nhien,
sau hai au vao R va S la khong ong thi, trang thai FF co the xac nh sau khi bo tn
hieu.
d) Bang chc nang va phng trnh ac trng
Ta dung ky hieu Qn bieu th trang thai FF trc khi tiep thu tn hieu, goi la
trang thai hien tai, dung ky hieu Qn+1 bieu th trang thai FF sau khi tiep thu tn hieu, goi la
trang thai tiep theo. Quan he logic gia Qn+1 va Qn, R, S bieu th bang bang chc nang
(bang chan ly) mo ta s chuyen oi trang thai xay ra nh bang 5-1-1 di ay.
Bang 5-1-1 : BANG CHC NANG CUA FLIP FLOP RS C BAN
Qn

Qn+1

Hnh 5-1-3 : Bang Karnaugh cua Qn+1

Trong bang hai trang thai QnRS = 011, 111 la b


cam, tng ng anh dau cheo (x) cot Qn+1, trong khi
toi thieu hoa co the s dung.
Chung ta co the xem Qn, R, S la cac bien
logic Qn+1 la ham logic cua cac bien tren. T bang 5-11 ta ve bang Karnaugh cua Qn+1 nh hnh 5-1-3.
Can c vao bang Karnaugh ta c phng trnh
ac trng sau cua FF :

89

Hnh 5-1-4 : FLip flop RS c ban dung


cong NOR.
a) S o logic b) K hieu logic

Q n +1 = S + RQ n

RS = 0 (rang buoc t trang thai cam )

(5-1-1)

Bang chc nang va phng trnh ac trng


la phng phap bieu dien so hoc quan he logic gia trang thai hien tai Qn, cac
tn hieu au vao r, S vi trang thai tiep theo cua Flip Flop RS c ban. Chung mieu ta
ay u chc nang logic cua no.
3. Flip Flop RS c ban dung cong NOR
Xem hnh 5-1-4, so sanh vi hnh 5-1-1 ta thay co hai iem khac biet : v
tr R, S ao va mc tch cc cua tn hieu cung ao (khong co dau gach ngang). Cac au
vao R, S mc cao bieu th co tn hieu, mc thap bieu th khong co tn hieu. Can c
vao tnh chat cong NOR, chung ta co the tm hieu nguyen ly cong tac va viet ra bang
chc nang, phng trnh ac trng cua mach nay.
Khi R = S = 0 th Q, Q ong thi bang 0, o la trang thai cam.
4. ac iem c ban
u iem : mach n gian, co the nh 1 bit la c s e cau truc cac FF
hoan hao hn.
Nhc iem : tn hieu trc tiep ieu khien trang thai au ra, ng dung b
han che, tn hieu vao rang buoc lan nhau (khong trang thai cam).
5.1.2. Flip Flop RS ong bo
1. Cau truc mach va ky hieu
e khac phuc nhc iem cua loai Flip
Flop RS c ban la trc tiep ieu khien, ngi ta them
vao hai cong ieu khien va mot tn hieu ieu khien,
nen tn hieu au vao c truyen qua cong ieu khien,
xem hnh 5-1-5. Cac cong A, B lam thanh Flip Flop RS
c ban, cac cong C, D la cong ieu khien, CP la tn
hieu ieu khien, thng la xung ong ho hoac xung m
chon mach. Trong ky hieu logic, au CP co dau A,
tn hieu nay tch cc vi sn dng cua xung.
2. Nguyen ly lam viec

Hnh 5-1-5 Flip flop RS ong bo


a) S o logic b) K hieu logic

Khi CP = 0, cac cong C, D b ngat, FF b cam, duy tr trang thai cu. Khi
CP = 1, cac cong C, D thong th FF san sang (tiep thu tn hieu), no tiep thu tn hieu au
vao R, S. De dang thay rang tnh huong cong tac cua mach luc nay giong nh Flip Flop
90

RS c ban. Neu R = 0 ; S = 1 au ra cong C mc thap, FF lap trang thai 1. Ngc


lai, neu R = 1, S = 0 au ra cong D mc thap, FF b xoa ve trang thai 0. Neu R = S =
1 th cac cong C, D eu a ra mc thap, dan en Q va Q eu la mc cao, o la trang
thai cam. Co the thay rang bang chc nang va phng trnh ac trng bieu th quan he
logic gia Qn+1 vi Qn, R, S khong khac g cua Flip Flop RS c ban, chang qua chung
ch ung trong ieu kien CP = 1. Tc la cac quan he logic bang 5-1-1 oi vi Flip
Flop RS ong bo ch ung khi nao xuat hien xung ong ho (CP = 1).
3. Mach chot D
Hnh 5-1-6 la s o logic mach chot D, no c cau tao tren c s mach
Flip Flop RS ong bo nham giai quyet van e rang buoc lan nhau cua cac tn hieu au
vao R, S. au ra cong C noi en cac au vao cong A, E. Khi CP = 0, cong C, E ngat
nen Flip Flop duy tr trang thai cu. Khi CP = 1 neu D = 0 th au ra C mc cao, au ra
E mc thap, Flip Flop trang thai 0, neu D = 1 th au ra C mc thap, au ra E
mc cao, Flip Flop trang thai 1. Vay tc la D mc nao th Q ung mc ay. Phng
trnh ac trng cua mach chot Flip Flop D la :
Qn+1 = D vi ieu kien CP = 1

(5-1-2)

.
4. Dung cong NORAND e cau truc Flip Flop RS ong bo
va mach chot D. (Cong NORAND xem muc 3-3-4-3)
Vi ieu kien tien quyet CP = 1, mach tren hnh 51-7a co bang chc nang trung hp vi bang 5-1-1 va phng trnh
ac trng trung hp vi phng trnh 5-1-1, mach tren hnh 5-17b co phng trnh ac trng trung hp vi phng trnh 5-1-2.
Hnh 5-1-6 : Mach chot D

Hnh 5-1-7 : Flip Flop cau truc t NORAND


a) Flip Flop RS ong bo b) Mach chot D c) Cong NORAND d) K hieu rut gon cua

91

5. ac iem c ban cua Flip Flop RS ong bo


u iem : ieu khien chon m mach.
Khi co xung ong ho CP = 1 th Flip Flop tiep thu tn
hieu vao, con neu CP = 0 th Flip Flop b cam.
Nhc iem : Trong thi gian CP = 1
tn hieu vao van trc tiep ieu khien trang thai au ra
cua FF, co moi rang buoc R va S e tranh trang thai
cam, tuy nhien cau truc noi mach cua mach chot D
giai quyet ieu nay.
5.1.3. Flip Flop RS master slave
Mach nay giai quyet triet e van e
trc tiep ieu khien, o la nhc iem cua cac loai
FF tren.
1. Cau truc mach va ky hieu
Tren hnh 5-1-8 co hai Flip Flop
RS ong bo noi ghep day chuyen vi nhau, mot
la FF master, mot la FF slave, xung ong ho
cung cap cho chung la ao nhau (qua mach ao

Hnh 5-1-8 : Flip Flop RS master slave.


a) S o logic
b) K hieu logic

I).
2. Nguyen ly lam viec
a) Khi CP = 0, Cong G, H ngat nen FF master ngat. CP = 1 , cong C, D
thong nen FF slave san sang, no tiep thu tn hieu au ra master, do o Q = Qm, Q = Q m
b) Sau ot bien sn dng CP
CP = 1 master thong qua cac cong G, H tiep nhan tn hieu au vao. Vay :
Q nm+1 = S + RQ nm
vi ieu kien CP = 1

RS = 0
CP = 0 Slave b ngat, au ra Q, Q duy tr trang thai cu.

c) Khi sn am xung ong ho CP


CP ot bien xuong 0, master b ngat. CP ot bien len 1, slave tiep nhan tn hieu
a c master ghi nh t thi gian CP = 1. Ngha la slave chuyen oi trang thai . Vay :
Q n +1 = S + RQ n
(5-1-3)

=
RS
0

vi ieu kien a xuat hien sn am xung ong ho CP.

92

Flip Flop RS master slave tuy rang e master tiep nhan tn hieu au vao trong
khoang thi gian CP = 1, nhng au ra luc o van khong chuyen oi trang thai, ch khi
a xuat hien sn am xung ong ho CP th au ra mi chuyen trang thai. Ngi ta goi
s kien nay la kch bang sn am. FF co trang thai au ra khong chu anh hng trc
tiep cua cac tn hieu au vao R, S bat ky luc nao. Vay van e trc tiep ieu khien a
c giai quyet. Tren hnh 5-1-8b au vao CP co dau o bieu th rang tnh tch cc cua
tn hieu CP la sn am cua no.
3. ac iem c ban
u iem : Cau truc ieu khien master slave a giai quyet van e trc tiep ieu
khien, trong khi CP = 1 tiep thu tn hieu, sn am cua CP kch chuyen trang thai au ra.
Nhc iem : Van con rang buoc gia R va S khi CP = 1.
5.1.4. Flip Flop JK master slave
1. Cau truc mach va ky hieu
Loai Flip Flop RS master slave noi tren van con rang buoc R va S,
nguyen nhan chnh la khi R = S = 1 au ra cac cong G, H eu mc thap, dan en tnh
huong khong mong muon la Qm = 1 va Q m = 1 . Can chu y mot ieu sau :
Xet mach Flip Flop RS master slave khi CP = 1, Q va Q khong oi trang
thai va la ao

Hnh 5-1-9 : Flip Flop


JK master slave.
a) S o logic
b) K hieu logic

93

cua nhau. Ch can em mc cac au ra Q va Q a en au vao cua G, H th co


the khac phuc tnh trang ca Q va Q m eu bang 1, giai quyet van e rang buoc gia tn
hieu au vao.
e phan biet vi Flip Flop RS master slave, mach cai tien khong dung ten R, S
na, ma lay ten mi la J, K cho cac au vao, va ten cua mach cai tien la Flip Flop JK
master slave, goi tat la Flip Flop JK (hnh 5-1-9).
2. Nguyen ly lam viec
Theo s trnh bay tren ay ve s cai tien cua Flip Flop JK, ta thay nguyen
ly cong tac cua no giong nh cua Flip Flop RS master slave, ch khac bi s tng
ng sau cua cac tn hieu au vao :
S = JQ n
R = KQ

(5 1 4 )

(5 1 5)

Ap dung cong thc (5-1-3) ta co :


Q n +1 = S + RQ n = JQ n + KQ n Q n = JQ n + KQ n

(5 1 6)

Vi ieu kien a xuat hien sn am CP.


Cong thc (5-1-6) la phng trnh ac trng cua Flip Flop JK no phan anh
quan he logic gia Qn+1 vi Qn, J, K. Nh
Q n va Qn phan hoi ve cong ieu khien
G, H ma J va K khong con rang buoc lan
nhau.
3. Tac dung cac au vao i bo
R d , Sd (d bo : Asymchronous)
a) Cac au vao ong bo
Bat ky luc nao th cac au vao J,
K ch tac ong khi co s ieu khien ong
bo cua xung ong ho CP ; v vay J, K la
au vao ong bo . (Cac au vao R, S cua
Flip Flop RS master slave ong bo cung
la cac au vao ong bo).
b) Cac au vao d bo
e phan trnh bay tren n gian,
cha ve cac au vao d bo R d , Sd tren

Hnh 5-1-10 : Flip Flop JK master slave.

hnh 5-1-9a. Co the biet v tr cac au

b) K hieu logic

a) S o logic

94

vao d bo nay tren hnh 5-1-10. Tac dung cua cac au vao R d , Sd khong chu ieu
khien ong bo cua xung ong ho CP, v vay R d , Sd la cac au vao d bo. Nh tren hnh
5-1-10a ch ro, R d noi en au vao B, F, G. Do o xung am au R d khong nhng xoa
ca master va slave ma con ngat cong G, trong thi gian CP = 1 khong cho phep J = 1
thiet lap master trang thai 1, ieu o bao am trang thai 0. Tng t Sd noi en au
vao A, E, H. Xung am au vao S d bao am trang thai 1. Tren ky hieu logic (hnh 5-110b), khuyen tron au vao Sd, Rd bieu th tnh tch cc cua chung la mc thap.
4. Van e mot lan chuyen
Trong thi gian CP = 1 th master ch chuyen oi trang thai mot lan, hien tng
nay goi la mot lan chuyen. Nh ta a biet, cac trang thai ao nhau cua Q va Q phan
hoi ve H, G tng ng lam cho mot trong hai cong (H hoac G) b ngat, neu mot au vao
co tn hieu th co the v ch chuyen oi trang thai mot lan. V du khi Q = 0, Q = 1, cong
G b khoa, J khong tac dung, tn hieu ch co the t au vao K, qua cong H, xoa master
ve 0. Va mot khi a trang thai 0 roi, du tn hieu K bien oi the nao, master van duy tr
trang thai 0. Ngc lai khi Q = 1, Q = 0 th H b khoa, ch co tn hieu J mi tac dung,
thong qua cong G, at master len 1, mot khi a trang thai 1 roi, master se duy tr.
Van e mot lan chuyen khong nhng han che tac dung cua Flip Flop JK master
slave ma con lam giam nang lc chong nhieu cua no. Chang han, khi CP = 1 ma J, K
oi trang thai nhieu lan th cung ch co mot lan chuyen ma thoi. Mat khac, thi iem
mot lan chuyen trang thai o co the ri vao sn dng, gia xung, hay sn am cua
xung ong ho CP. Neu nh khong biet chnh xac quy luat tn hieu J, K th khong co the
xac nh trang thai tiep theo cua Flip Flop. Vay khi s dung Flip Flop JK master slave,
thng eu yeu cau trang thai J, K duy tr khong oi trong thi gian CP = 1. a the th
qua trnh lam viec cua Flip Flop noi gon lai la : sn dng tiep thu, sn am chuyen.
Neu nhieu xep chong vi J, K sao cho gay ra mot lan chuyen sai trong khi CP = 1 th tn
hieu nhieu o tiep tuc tac ong en he thong. Vay van e mot lan chuyen la nguyen
nhan lam giam nang lc chong nhieu cua mach nay.
5. Flip Flop JK master slave trong mot vo IC
Hnh 5-1-11a trnh bay s o logic tng ng cua Flip Flop JK master slave
trong mot vo IC. Master bao gom hai NORAND, slave kha n gian, CP mc cao cam,
mc thap cho phep. Nguyen ly cong tac khong khac mach hnh 5-1-10 noi tren.
6. ac iem c ban cua Flip Flop JK master slave
u iem : J va K khong b rang buoc lan nhau, cac IC cua chung c san xuat
nhieu, s dung rong rai, tnh nang u viet.

95

Nhc iem : Van e mot lan chuyen, thng yeu cau J, K duy tr khong oi
trong thi gian CP = 1.

Hnh 5-1-11 Flip Flop JK


master slave trong vo IC
a) S o logic tng ng
b) K hieu logic

.
5.1.5. Flip Flop JK kch bang sn xung (Edge triggered FF)
1. Cau truc mach
Mach tren hnh 5-1-12 la Flip Flop JK kch bang sn
xung, no giai quyet van e mot lan chuyen cua Flip Flop JK
master slave. Khi CP = 0 , CP = 1, hay khi sn dng cua
CP cac tn hieu J, K eu khong tac dung. Ch trong thi gian
sn am cua CP th Flip Flop mi chuyen trang thai theo
phng
ay :

trnh
ac
n
Q = JQ + KQ n

trng

di

n +1

2. Nguyen ly lam viec


a) Khi CP = 0, cac cong G, H b khoa J, K khong tac
Hnh 5-1-12 : Flip Flop JK
kch bang sn xung.

Z1 = Q Z 2 = Q
n

dung, Flip Flop duy tr trang thai cu.


b) Khi CP = 1 cac cong C, D, G, H thong nhng :

Z 3 = Z 5 Q n = JQ n . Q n = J.Q n
Z 4 = Z 6 Q n = KQ n Q n = KQ n
Q n +1 = Z1 + Z 3 = Q n + J.Q n = Q n
Q n +1 = Z 2 + Z 4 = Q n + K.Q n = Q n

96

Vay FF duy tr nguyen trang, JK eu khong tac dung.


c) Khoang thi gian sn dng cua CP, do tac dung tre cua cac cong NAND G
va H ma cong C, D thong trc :
Z1 = Q n

Z2 = Q n

Tiep sau mi co :

Z 3 = J.Q n

Z 4 = K.Q n

V vay :
Q n +1 = Z1 + Z 3 = Q n + JQ n = Q n
Q

n +1

= Z 2 + Z 4 = Q n + KQ n = Q

J va K cung khong tac dung.


d) Tnh huong mach trong khoang sn am cua CD khac han. Do tac dung tre
cua cac cong NAND G va H ma C, D ngat trc :
Z1 = Z2 = 0
n

ma au ra cac cong NAND duy tr Z5 = JQ , Z 6 = KQ n trong khoang thi gian


tpd na. De dang thay rang trong tpd o, cac cong NOR A va B, cac cong AND E va F
cau truc nh mot Flip Flop RS c ban, vi :
S = Z 5 = JQ

R = Z 6 = KQ n

Can c vao phng trnh ac trng cua Flip Flop RS c ban, ta co :


n

Q n +1 = S + RQ n = JQ + KQ n Q n = JQ + KQ n
n

Do Qn, Q phan biet hoi tiep au vao H va G nen J va K khong b rang buoc lan
nhau.
Vay loai mach ien nay khong nhng co tnh nang tot nh Flip Flop JK master
slave va co ac iem kch bang sn am xung ong ho CP ma con khong co van e
mot lan chuyen.
3. ac iem c ban
u iem : Kch bang sn am
xung ong ho CP ; ay la loai FF tnh
nang u viet, chong nhieu tot va s
dung linh hoat.
Nhc iem : Mach ien dung
tac dung tre cua cac cong NAND giai
quyet van e mot lan chuyen, do o
yeu cau cong nghe che tao phai tinh
xao e bao am mach ien cong tac tin
cay.

Hnh 5-1-13 Flip Flop JK kch bang sn xung.


a) S o logic b) K hieu logic

97

Hnh 5-1-13 gii thieu them cac au vao d bo R d , Sd . Cac au vao nay tao
thuan li trong s dung. Xung am au vao Sd xoa. Trong s o va ky hieu logic cua no,
dau ngang va khuyen tron bieu th rang tn hieu tch cc mc thap.
5.1.6. Flip Flop D (mach chot D cai tien e giai quyet van e ieu khien trc
tiep).
1. Cau truc mach ien
Xem hnh 5-1-14 them vao mach chot D hai cong
E va F.
2. Nguyen ly lam viec
a) Khi CP = 0
Cac cong C, D b khoa, Z1 = Z2 = 1, Flip Flop c
ban bao gom cac cong A, B duy tr trang thai cu.
- Neu D = 1 th :
Z 4 = D.Z 2 = 1.1 = 0
Z 3 = Z1 .Z 4 = 1.0 = 1

CP trong vai tro tn hieu au vao oi vi cong C


thong, cong D ngat.
- Neu D = 0 th : Z4 = 1

Z3 = 0

Hnh 5-1-14. Flip Flop D

CP trong vai tro tn hieu au vao oi vi cong C ngat, cong D thong.


.
b) Thi gian sn dng cua CP.
- Neu D = 1 th D b ngat, CP ch co the thong qua cong C m, vay
Z1 = Z 3 .CP = 1.1 = 0 .
Z1 = 0 dan en ba tac ong sau : mot la kch Flip Flop thiet lap 1, Q = 1, Q = 0 ;
hai la ngat cong D, ngan tr Z2 chuyen sang mc thap, ngha la ngan tr s tao ra tn
hieu kch chuyen Flip Flop ve trang thai 0 ; ba la Z1 a en au vao cong E bao am
Hnh 5-1-14 Flip Flop D

Z3 = 1, do o duy tr Z1 = 0 suot thi gian CP = 1, tc la duy tr tn


hieu at Flip Flop trang thai 1.

ng noi t au ra cong C en au vao cong E goi la ng duy tr trang thai 1


cua FF. ng noi t au ra cong C en au vao cong D goi la ng ngan tr trang
thai 0 cua FF. Mot khi Z1 = 0 a en au vao cac cong D, E va sinh ra cac tac ong
noi tren roi th du tn hieu D co thay oi cung khong the anh hng en trang thai 1 cua
FF.

98

- Neu D = 0 th cong C b ngat. CP ch co the thong qua cong D m, vay Z2 =


Z1 Z 4 CP = 1.1.1 = 0
Z2 = 0 dan en hai tac ong sau : mot la xoa FF ve 0, Q = 0, Q = 1 ; hai la lam
cho cong F b ngat, bao am Z4 = 1, do o duy tr Z2 = 0, tc la duy tr trang thai 0 cua
FF. Z4 = 1 con duy tr Z3 mc thap, ngan tr s tao ra tn hieu Z1 = 0 kch chuyen FF
ve trang thai 1.
Co the thay rang ng noi t au ra cong D en au vao cong F va duy tr FF
trang thai 0, va ngan tr FF chuyen ve trang thai 1. Ch can Z2 = 0 a en au vao
cong F th du bien hoa the nao, tn hieu D cung khong lam thay oi trang thai cua FF
c na.
Tom lai :
Qn+1 = D vi ieu kien a xuat hien sn dng cua CP

(5-1-7)

Hn na, khi a co tac dung duy tr nguyen trang va ngan tr chuyen oi sang
trang thai khac trong suot qua trnh CP = 1 th tn hieu D khong con tac ong en mach
na. V vay, ay la mach ieu khien sn trc va kch bang sn dng CP.
3. Tac dung cua cac au vao d bo
R, R D , S, Sd
e s dung them thuan tien, Flip
Flop D con co them cac tn hieu tch cc
mc thap cac au vao Set ( S, Sd ) va
Reset ( R, R d )
Hnh 5-1-15 bieu th s o logic
tng ng va ky hieu logic Flip Flop D
trong vo IC.
R, S ch dung trong thi gian CP =
0, neu khong co the phan tac dung duy tr
ngan tr noi tren. Chang han khi CP = 1 va
FF ang duy tr trang thai 1. Neu co xung
am au vao R th co the xuat hien trang
thai cam : Q va Q ong thi mc cao. Hn
na, xung am R ket thuc trc CP, do tac
dung duy tr trang thai 1, FF van trang thai
1, con neu CP ket thuc trc R th FF co the
xoa ve 0. S dung S e at FF vao trang
99

Hnh 5-1-15 Flip Flop D trong vo IC


a) S o logic tng ng
b) K hieu logic

thai 1 cung gap van e tng t, ch khac la no phan tac dung vi viec duy tr trang thai
0.
R d , Sd khong b trang thai CP han che. V du, xung am au R d lam FF ve 0,

neu CP = 1 va FF ang duy tr trang thai 1 th R d =0 khong ch xoa FF ve 0 ma con


thong qua ng noi en cong C, F bat buoc mach ang duy tr 1 ngan tr 0 phai tr
thanh duy tr 0 ngan tr 1. Cung vi ly do tng t, xung am au Sd cung co the lam
cho FF chuyen sang trang thai 1 mot cach tin cay.
4. ac iem c ban
u iem : ieu khien sn xung, kch vi sn dng CP, trong thi gian CP = 1
mach t gi nguyen trang.
Nhc iem : Trong mot so trng hp s dung khong tien bang Flip Flop JK.
5.1.7. Flip Flop CMOS
Cac loai Flip Flop CMOS trong vo IS co ac iem tieu hao nang lng rat t,
nang lc chong nhieu rat manh, phu hp vi nhieu ien the nguon khac nhau. Nh s
dung cac cong chuyen mach (xem muc 2-3-3) nen ket cau mach ien n gian.

Hnh 5-1-16 Flip Flop D master slave CMOS.


a) S o logic b) K hieu logic

100

1. Flip Flop D ho CMOS


a) Cau truc mach va ky hieu
Xem hnh 5-1-16 FF master bao gom cac cong NOR 1,2 va cong chuyen mach
TG2, FF slave bao gom cac cong NOR 3, 4 va cong chuyen mach TG4. TG1 la cong
ieu khien au vao. TG3 la cong ieu khien gia master va slave. C va C la cac tn
hieu ong ho ao nhau. RD, SD la cac au vao d bo Reset va Set tch cc mc cao. D
la tn hieu vao. Q va Q la cac au ra.
b) Nguyen ly lam viec
- Khi CP = 0 , C = 1 , C = 0, TG1 va TG4 thong, TG2 va TG3 ngat. Tn hien vao D
thong qua TG1 en NOR 1, Z1 = D , Z2 = D. Nh TG4 thong, slave t gi.
- Khi CP = 1, C = 0 , C = 1, TG1 va TG4 ngat, TG2 va TG3 thong. ng tn hieu
vao b ngat. Master t gi nh ng hoi tiep qua TG2 Slave chuyen oi trang thai theo
mc tn hieu Z1, tc la a tn hieu au vao D a nh ra au ra, vay FF D nay c kch
bang sn dng CP. Phng trnh ac trng la :
Qn+1 = D vi ieu kien a xuat hien sn dng CP (5-1-8).
2. FF JK CMOS

Hnh 5-1-17 FF JK master slave CMOS

Hnh 5-1-17 . s o nay la cai tien cua mach FFD tren ay.
Can c s o logic, ta co :
D = (J + Q n )KQ n = JKQ n + KQ n Q n
n

D = JK + JQ n + KQ n = JQ + KQ n

101

Thay vao (5-1-8), ta c :


n

Q n +1 = JQ + KQ n vi ieu kien a xuat hien sn dng CP (5-1-9)

ay la phng trnh ac trng cua FF JK master slave CMOS.


Tac dung tn hieu au vao d bo RD, SD cua hai mach hnh 5-1-16 va 5-1-17 la
giong nhau.
5.2. PHAN LOAI FLIP FLOP THEO CHC NANG, S CHUYEN OI
LAN NHAU.
Cac loai FF trnh bay trong mcu 5-1 tren ay : RS master slave, JK master slave,
JK sn xung, D eu co tn hieu ong ho ieu khien ; vay chung c goi la FF nh
thi theo xung ong ho CP. Can c vao s khac biet tnh nang logic di tac dung ieu
khien cua CP, ta phan FF thanh 5 loai : RS, D, T, T, JK. Cac loai o co the chuyen oi
lan nhau theo phng phap xac nh.
5.2.1. Phan loai Flip Flop theo chc nang
1. Flip Flop RS
a) nh ngha
Flip Flop RS la mach ien co chc nang thiet lap trang thai
1 (Set) , trang thai 0 (Reset) va duy tr (nh) cac trang thai o can
c vao cac tn hieu au vao R, S va tn hieu ong ho CP. Nhng
ieu a trnh bay trong tiet tren ve RS la phu hp vi nh ngha
nay. Ky hieu logic cua mach tren hnh 5-2-1, phng trnh ac
trng bieu th chc nang logic cua no la :
Q n +1 = S + RQ n

RS = 0
Vi ieu kien a xuat hien sn am CP

Hnh 5-2-1 Ky hieu


logic cua Flip Flop RS.

(5-2-1)
R=0,S=1

CP (sn am)

th Qn+1 = 1

R=1,S=0

CP

th Qn+1 = 0

R=0,S=0

CP

th Qn+1 = Qn (duy tr)

R=1,S=1

trang thai cap

b) Phng phap bieu th chc nang logic


Bang chc nang la bang tn hieu au vao kch.
Bang chc nang cua Flip Flop RS 5-2-1 dung hnh thc bang liet ke cac trang
thai logic e bieu th chc nang logic cua no.

102

Bang tn hieu au vao kch cua Flip Flop RS 5-2-2 co phan ben trai ke ra cac
yeu cau chuyen oi trang thai cua FF, va co phan ben phai ke ra cac ieu kien tn hieu
au vao kch can bao am e at en cac yeu cau tng ng. Neu cac ieu kien c
bao am th FF se chuyen oi trang thai theo yeu cau mot khi xung ong ho cho phep.
V du : Yeu cau Qn Qn+1 kieu duy tr 0 0 th ieu kien can la S = 0 (khong phu
thuoc vao R, R = x). Neu yeu cau chuyen 0 1 th ieu kien lai la R = 0 , S = 1.
Bang 5-2-1
Qn

Qn+1

Bang 5-2-2
n

n+1

Nh tren a noi, cac quan he logic cua bang 5-2-1 va 5-2-2 eu co chung
mot ieu kien : a xuat hien sn am CP, neu ieu kien nay khong thoa man, FF duy
tr nguyen trang.
Phng trnh ac trng va phng trnh kch.
Phng trnh ac trng dung ham logic mieu ta quan he gia Qn+1 vi Qn
va cac tn hieu au vao, do o no rat tien dung cong cu toan logic (cac cong thc va
nh ly cua ai so logic) (5-2-1) la phng trnh ac trng cua FF RS.
Phng trnh kch dung ham logic cua tn hieu au vao kch bieu th, phan
sau se trnh bay ro hn.
c) o hnh trang thai :
103

Hnh 5-2-2 la o hnh trang thai


cua FFRS, no bieu th trc quan quy luat chuyen
oi trang thai cua FF di tac dung nh thi cua
CP.
Hnh 5-2-2 o hnh trang thai
cua Flip Flop RS.

Hai vong tron bieu th hai trang


thai logic cua FF. Mui ten bieu th hng chuyen

trang thai. Ben canh mui ten, tren gach cheo la gia tr tn hieu au vao kch - tc la
ieu kien chuyen oi trang thai.
Hnh 5-2-2 cho biet rang :
Khi Qn = 0
Khi Qn = 1

vi R = X , S = 0

CP th Qn+1 = Qn = 0

vi R = 0, S = 1

CP th Qn+1 = 1

vi R = 0 , S = X

CP th Qn+1 = Qn = 1

vi R = 1, S = 0

CP th Qn+1 = 0

d) o th thi gian dang song


o th thi gian dang song bieu th trc quan quan he tng ng nhau ve
mat thi gian cua cac trang thai FF, cac tn hieu au vao R, S va xung ong ho CP (hnh
5-2-3).
Tren hnh dang song CP, R, S la a biet. e ve ra dang song Q, ta can
chu y :
- Neu khong cho trc th co the tuy y
gia nh trang thai ban au cua Q.
- Can c vao bang chc nang, phng
trnh ac trng hoac o hnh trang thai e xac nh
trang thai Q tiep theo.
- Sau khi xuat hien sn am CP th Q
chuyen oi trang thai. Moi luc khac Q duy tr trang thai
cu.

Hnh 5-2-3 o th thi gian


dang song FFRS

Tren ay, 4 phng phap bieu th chc


nang logic vi Flip Flop (a, b, c, d) la lien quan mat thiet vi nhau, co the chuyen hoa
lan nhau.
2. Flip Flop D
a) nh ngha
Flip Flop D la mach ien co chc nang thiet lap trang thai
0 theo tn hieu au vao D = 0 va thiet lap trang thai 1 theo tn
hieu au vao D = 1 trong ieu kien nh thi cua CP.
104
Hnh 5-2-4 K hieu logic FFD

Flip Flop D c gii thieu tiet tren thoa man nh ngha nay. Phng trnh
ac trng cua FFD hnh 5-2-4 la :
Qn+1 = D

(5-2-2)

vi ieu kien a xuat hien sn dng CP


D=0

CP th Qn+1 = 0

D=1

CP th Qn+1 = 1

b) Bang chc nang, bang tn hieu au vao kch, o hnh trang thai va o th thi
gian dang song (bang 5-2-3, 5-2-4 hnh 5-2-5, 5-26).
Trang thai au Q = 0, kch bang sn dng
cua CP. Dang song CP va D la a biet. e ve dang
song Q, chu y mc D khi

CP.

Hnh 5-2-5 o hnh trang thai cua


FF D

Bang 5-2-3 : BANG CHC NANG CUA FF D


Qn

Qn+1

Bang 5-2-4 : BANG AU VAO KNH CUA FF D


n

Qn+1

Qn+1

3. Flip Flop T
a) nh ngha :
Flip Flop T la mach ien co chc
nang duy tr va chuyen oi trang thai tuy thuoc
tn hieu au vao T trong ieu kien nh thi cua

Hnh 5-2-6 Dang song cua FF D

CP. Flip Flop JK gii thieu tiet tren, neu J = K =


T th tao thanh FF. T ky hieu nh hnh 5-2-7. Phng trnh ac trng cua FF T la :

105

Q n +1 = J Q n + KQ n = T Q n + T Q n

n
= T Q
Vi ieu kien a xuat hien sn am CP

(5-2-3)
T = 0 CP th Qn+1 = Qn duy tr
nguyen trang

Hnh 5-2-7 K hieu logic FF D

T = 1 CP th Qn+1 = Q n chuyen
oi trang thai.
b) Bang chc nang (bang 5-2-5) bang au vao kch (5-2-6) , o hnh trang thai
(hnh 5-2-8), o th thi gian dang song (hnh 5-29) cua Flip Flop T.
Bang 5-2-5
n

Bang 5-2-6
n+1

n+1

Q Q

Hnh 5-2-8

Hnh 5-2-9

Trang thai au cua FF T la 0 va kch bang sn am cua CP.


4. Flip Flop T
Flip Flop T la mach ien ch co chc nang chuyen oi trang thai trong
ieu kien nh thi cua CP. FF T la FF T ma T = 1 (T luon luon gi mc cao).
Phng trnh ac trng cua Flip Flop T la :
Hnh 5-2-10 : o th thi gian

Q n +1 = T Q n = 1 Q n = Q n
(5-2-4)dang song cua Flip Flop T

Vi ieu kien a xuat hien sn am CP

5. Flip Flop JK
106

a) nh ngha :
Flip Flop JK la mach ien co chc nang thiet lap trang thai 0, trang thai
1, chuyen oi trang thai va duy tr trang thai can c vao cac tn hieu au vao J, K va
ong ho CP.
Trong ky thuat so thng yeu cau FF co 4 chc nang noi tren cua FF JK,
ngha la FF JK rat van nang, rat linh hoat. FFJK kch sn master slave a gii thieu
5-2-11.
tiet 5-1 thoa man nh ngha nay. Phng trnh ac trng cua FFJK co Hnh
ky hie
u logic

K hieu logic cua FF JK

tren hnh 5-2-11 la :


J = 0, K = 1 CP

th Qn+1 = 0

J = 1, K = 0 CP

th Qn+1 = 1

J = 1, K = 1 CP

th Qn+1 = Q chuyen oi

J = 0, K = 0 CP

th Qn+1 = Qn gi nguyen trang.

b) Bang chc nang (5-2-7) , bang au vao kch (5-2-8), o hnh trang thai (hnh 52-12), o th thi gian dang song (hnh 5-2-13) cua Flip Flop JK.

Bang 5-2-7
n

Bang 5-2-8
n+1

Q Q
0

n+1

Hnh 5-2-13.

Hnh 5-2-12

107

Tren hnh, trang thai au cua FF la 0, kch bang sn am cua CP. Trong ky hieu
logic cua 5 loai FF tren, au CP co khuyen tron chng to no hoat ong vi sn am,
khong co khuyen tron chng to no hoat ong vi sn dng. Khi ve o th thi gian
dang song, ta phai ac biet chu y quy c nay, ch khi a xuat hien sn xung CP th FF
mi chuyen oi trang thai theo phng trnh ac trng cua no, thi iem khac FF gi
nguyen trang thai.
5.2.2. S chuyen oi lan nhau cua cac loai Flip Flop nh thi theo CP
1. Phng phap va y ngha cua s chuyen oi
a) Y ngha :
- a so FF tren th trng la loai JK, D. Ky thuat so yeu cau tat ca cac loai FF.
Neu biet cach chuyen oi th co the phat huy tac dung cua loai FF co san.
- Phng phap chuyen oi co tnh pho bien, do o giup ch nhieu viec thiet ke
mach ien.
- Giup i sau tm hieu chc nang logic cua cac loai FF.
b) Phng phap :
- Phng phap chuyen oi la nhng
cong viec can lam e tm logic chuyen
oi, e tm phng trnh ham logic tn
hieu kch oi vi FF xuat phat (hnh 5-214).
- Dung cong thc : dung cac
nghiem phng trnh ac trng e tm
Hnh 5-2-14
T duy ve chuyen oi t FF ch

logic chuyen oi. Cach nay tien cho trnh


bay viet, co the dung ai so logic x ly, nhng

can ky xao nhat nh ; trong pham vi 5 loai FF cu the, chung ta co the nam vng
phng phap nay.
- Dung s o : bang chc nang, bang au vao kch, bang Karnaugh. Phng
phap nay co phien phc chut t, nhng trc quan, t sai.
2. Flip Flop JK chuyen oi thanh Flip Flop D, T, RS
Phng trnh ac trng cua FF JK (Flip Flop xuat phat)
n

Q n +1 = JQ + KQ n

(5-2-6)

a) JK D
Phng trnh ac trng cua FF D (Flip Flop ch) :
Qn+1 = D

(5-2-7)
108

Dung cong thc : chuyen dang (5-2-7) sang dang (5-2-6)


n

Q n +1 = D = D(Q + Q n ) = DQ + DQ n

So sanh vi (5-2-6) , ta co :
J=K
(5-2-8)

K= D

(5-2-8) la logic chuyen oi can tm, cung la phng trnh au vao kch cua FF
JK. Da vao o ta ve ra mach ien hnh 5-2-15.
Dung s o :
Can c vao bang chc nang cua FF D va bang
au vao kch cua JK e liet ke bang s dung JK D
nh sau :
Bang 5-2-9 : BANG S DUNG JK D
Qn

Qn+1

Hnh 5-2-15
Mach Flip Flop t JK tr
thanh D

Bang chc nang cua FF D bieu th yeu cau chuyen oi. Vi Qn, D a xac
nh va co tac dung nh thi cua CP th Qn+1 cung xac nh theo bang chc nang cua
FF D. ay, Qn va Qn+1 la trang thai hien tai va trang thai tiep theo cua Flip Flop D
(ch) va cung la cua FF JK (xuat phat). Vay quan he tng ng gia Qn va Qn+1 cung
phan anh yeu cau kch cua FF JK, roi can c vao bang au vao kch o ma xac nh gia
tr tng ng cua J, K. Gia t cua Qn va D quyet nh gia tr cua Qn+1, do o cung quyet
nh gia tr cua J, K. Vay J, K va Qn+1 nh nhau, eu la ham so cua Qn va D. Quan he
ham so nay di dang bang c goi
la bang s dung.
T bang s dung 5-2-9,
ta ve bang karnaugh va tm c
phng trnh au vao kch, nh hnh
5-2-16. Ket qua cua hai phng phap
Hnh 5-2-16
Bang Karnaugh cua J,K

(dung cong thc va dung s o) trung


hp.
109

b) JK T
Phng trnh ac trng cua FF T
n

Q n +1 = TQ + TQ n

So sanh trc tiep vi (5-2-6) ta co phng trnh kch cua FF JK


J=T
K=T

(5-2-9)

Mach ien xem hnh 5-2-7 phan tren. Cho T = 1 ta co FF T


c) JK RS
Phng trnh ac trng cua Flip Flop RS
Q n +1 = S + RQ n

RS = 0
Bien oi :
Hnh 5-2-18
Mach Flip Flop T D tr
thanh J,K

n +1

= RS + RQ = S(Q + Q ) + RQ
n

= SQ + SQ n + RQ n = SQ + RQ n + SQ n (R + R)

Hnh 5-2-17
Mach Flip Flop t J,K tr
thanh RS

= SQ + RQ n + RSQ n + RSQ n
n

= SQ + RQ n + RSQ n = SQ + RQ n

. So sanh vi phng trnh ac trng cua Flip Flop JK, ta co logic chuyen oi :
J = S
(5-2-10)

K = R
S o logic : xem hnh 5-2-17
3. Flip Flop D chuyen oi thanh
Flip Flop JK, RS, T, T
Phng trnh ac trng
cua FF D
Qn+1 = D
D JK
n

JK : Qn+1 = JQ + KQ n
n

at D = JQ + KQ n (5-2-

Hnh 5-2-18
Mach Flip Flop T D tr
thanh J,K

11)
110

Mach ien hnh 5-2-18


Hnh 5-2-19 la mach ien chuyen oi theo logic chuyen oi
sau ay :

Hnh 5-2-19
Mach Flip Flop T D tr
thanh RS,T,T

D = S + RQ n

(5 2 12)

D = TQ + TQ n = T Q n
D=Q

(5 2 13)

(5 2 14)

4. Flip Flop T chuyen oi thanh Flip Flop JK, D, RS


Phng trnh ac trng
cua Flip Flop T
Q n +1 = T Q n
T JK
n

JK : Q n +1 = JQ + KQ n

Dung cac cong thc cua


ham XOR (xem muc 3-1-2-7) ta bien oi

Hnh 5-2-20
Mach Flip Flop T T tr
thanh JK

T = ( JQ + KQ n ) Q n
n

= (JQ + KQ n )Q + JQ + KQ n Q n
n

: = JQ + JQ .KQ n .Q n
= JQ + JQ .KQ n
n

= JQ + KQ n

(5 2 15)

Xem hnh 5-5-20

111

Hnh 5-2-21
Bang Karnaugh cua T

Bang 5-2-10 : BANG S DUNG T JK


Qn

Qn+1

Co the i en ket qua tren theo phng phap dung s o nh sau :


Xay dng bang s dung T JK (bang 5-2-10). Tm logic chuyen oi
bang bang Karnaugh (hnh 5-2-21).
Tng t, ta tm logic chuyen oi T D, T RS nh sau :
T = D Qn
(5 2 16)
n

T = SQ + RQ n

(5 2 17)

Xem mach ien chuyen oi hnh 5-2-22

a) T

a) T

RS

Hnh 5-2-22
Mach ien chuyen oi Flip
Flop T D tr thanh D,RS.

5 Flip Flop RS
chuyen oi thanh Flip Flop JK, D, T, T
Phng trnh ac trng cua Flip
Flop RS :
Q n +1 = S + RQ n

RS = 0

Hnh 5-2-23
Mach Flip Flop T RS tr
thanh JK.

RS JK
n

JK : Q n +1 = JQ + KQ n
112

S = JQ n
So sanh , ta co :
R = K

Bang 5-2-11 : BANG S DUNG RS JK


Qn

Qn+1

V ieu kien rang buoc RS = 0 nen ta phai kiem tra. Khi J = K = 1 ; Qn = 0 th


R = K = 1

n
S = JQ = 1
Khong thoa man RS = 0 ta bien oi lai :
n

Q n +1 = JQ + KQ n
n

= JQ + KQ n Q n

So sanh lai, ta co :
S = JQ n

R = KQ n

(5-2-18)
Hnh 5-2-23 tren
ay

la

mach

ien

chuyen oi RS JK

Hnh 5-2-24
Bang Karnaugh : a) R; b) S

(thoa man ieu kien rang buoc RS = 0).


Ta co the nhan c cung mot ket qua nh tren bang phng phap dung s o
di ay :
Xay dng bang s dung RS JK (bang 5-2-11). Tm logic chuyen oi bang
bang Karnaugh (hnh 5-2-24). Chu y ieu kien rang buoc RS = 0 khi xay dng bang 52-11.
Tng t, ta tm logic chuyen oi :
113

Hnh 5-2-25
Mach ien chuyen oi Flip Flop T RS
tr thanh a) D; T;c) T

R = D
RS D
S = D
R = TQ n
RS T
n
S = TQ
R = Q n
RS T'
n
S = Q

(5 2 19)
(5 2 20)
(5 2 21)

5.3. AC TNH CONG TAC XUNG VA CH TIEU CHU YEU CUA FLIP
FLOP
5.3.1. ac tnh cong tac xung cua Flip Flop
Muon s dung chnh xac FF, khong nhng can hieu chc nang logic cua FF, ma
con can nam vng ac tnh cong tac xung cua FF, tc la nhng yeu cau ma FF a ra
cho xung ong ho, tn hieu au vao va s phoi hp gia chung.
1. ac tnh cong tac xung cua Flip Flop D
114

Xet mach FF D tren hnh 5-1-14 (muc 5-1-6) trc khi xuat hien xung ong ho
th mach ien trang thai chuan b. Luc nay, mc tn hieu au vao D quyet nh mc
au ra cua cac cong E, F. Khi xuat hien sn trc xung ong ho, trang thai au ra cua
cac cong E, F. Khi xuat hien sn trc xung ong ho, trang thai au ra cua cac cong
E,. F thong qua cac cong C, D ieu khien FF chuyen trang thai. Vay mc au ra E, F
phai at en trang thai on nh trc luc xuat hien sn trc xung ong ho. Nhng t
luc bat au co tn hieu au vao D en luc au ra cac cong E, F a on nh phai qua
mot khoang thi gian, goi la thi gian xac lap Tset cua FF. Vay tn hieu au vao phai
en sm khoang tset so vi xung ong ho CP.
T hnh 5-1-14 ta thay rang ke t tn hieu en au vao D, th au ra F on nh
phai sau thi gian tre truyen at 1 cap cong tpd, au ra E on nh phai sau thi gian tre
truyen at 2 cap cong 2tpd, vay thi gian xac lap cua Flip Flop D la tset = 2tpd. Tiep theo,
t khi xuat hien sn trc CP cho en khi FF hoan thanh chuyen oi, tc la en khi
trang thai mi a on nh, la khoang thi gian tre truyen at cua FF (tpd). Gia s trang
thai ban au cua FF la 1, mc au ra cong E la thap, mc au ra cong F la cao. The la
de dang thay rang, xung au ra cong D cham sau CP thi gian tre truyen at 1 cap cong
lam cho cong B t thong sang ngat vi thi gian tre truyen at ban than cong B. Cong
A cung chuyen t ngat sang thong vi thi gian tre truyen at ban than cong A. Vay
au ra Q , chuyen trang thai t mc thap len cao co thi gian tre tplh tng oi ngan hn
so vi thi gian au ra Q chuyen trang thai t mc cao xuong thap tplh = 2tpd, tphl =3tpd).
Ngoai ra, e bao am FF chuyen oi tin cay, tn hieu au vao can co thi gian
tac dung u dai. Khi D = 0, ke t khi bat au sn dng cua CP, phai sau tpd th cong D
mi a ra mc thap ; mc logic nay phan hoi en au vao cong F mi sinh ra tac dung
duy tr nguyen trang ngan tr chuyen oi. Trc luc at en s on nh nay, tn hieu
au vao khong c phep thay oi, neu ngc lai, trang thai mi cua tn hieu au vao
co the pha hoai s chuyen oi bnh thng von co. Vay sau khi xuat hien sn trc
CP, tn hieu au vao can phai duy tr them mot
thi gian, goi la thi gian duy tr th. Trong
trng hp D = 0 th th = tpd.
Can c vao thi gian tre au ra FF
chuyen trang thai tphl va thi gian xac lap tset ta
co the biet o rong can thiet cua xung ong ho.
o rong (theo mc cao) tWH cua CP phai ln hn
tphl e phan mach RS c ban trong FF D chuyen
115

Hnh 5-3-1
Dang song cua FFD.
(theo mach hnh 5-1-14)

oi tin cay. o rong (theo mc thap) tWL cua CP phai ln hn tset e bao am tn hieu
au vao u thi gian on nh au ra cong E, F trc khi xuat hien sn dng cua CP.
Vay chu ky xung CP phai ln hn tphl + tset tc la tan so cc ai cua CP b han che nho
1
. V du, ttp = 20ns, tphl + tset = 5tpd = 100ns, fmax = 10MHz.
hn
t phl + t set
Xem hnh 5-3-1 bieu th quan he thi gian va trnh bay tren ay.
2. ac tnh cong tac xung cua FF master slave
Xet mach FF hnh 5-1-11 (muc 5-1-4).
Khi xuat hien sn dng CP th tn hieu au vao J, K tac ong en
master. V J, K, CP ong thi noi en cac cong
E, H nen tn hieu au vao ch can xuat hien
khong cham hn s xuat hien sn dng CP,
vay tset= 0.
Sau khi xuat hien sn dng CP.
S chuyen oi cua master ch
hoan thanh sau thi gian tre truyen at 2 cap
cong NORAND. Neu thi gian tre truyen at
cua cong NORAND bang 1,4 lan cua cong
NAND th o rong (theo mc cao) tWH cua xung
ong ho CP can thiet : tWH 2,8tpd.

Hnh 5-3-2
Dang song cua FFJK masterslave
(theo mach hnh 5-1-11)

Sau khi xuat hien sn am CP,


Slave chuyen oi au vao master b khoa, nen tn hieu au vao J, K co the khong can
duy tr, tc la th = 0.
Ke t khi bat au sn am CP, cho en khi on nh trang thai Q, Q la
thi gian tre truyen at. V mach ien cac cong C, D rat n gian, ta co the cho rang
thi gian tre truyen at cua chung bang na cua cong NAND. Vay tphl = 1,5tpd, tphl =
2,5tpd. Ro rang yeu cau o rong (theo mc thap) tWL cua CP can thiet la tWL tphl.
Do o, yeu cau oi vi xung ong ho :
Tmin = 2,8tpd + 2,5tpd = 5,3tpd
1
fmax =
5,3t pd
Hnh 5-3-2 bieu th quan he thi gian.
5.3.2. Cac ch tieu chu yeu cua vi mach (IC) Flip Flop
1. Tham so tnh

116

Ket cau mach ien au vao, au ra cua Flip Flop di dang IC rat giong
vi cac cong NAND ho TTL. Nhng ac tnh au vao au ra cung vay. Nen cach nh
ngha va phng phap o lng cac tham so chu yeu cua ac tnh au vao, au ra cung
c ban giong nh cua cong NAND TTL. Cac ch tieu chu yeu la :
Dong ien nguon IE
Trong mach ien cong dong ien nguon khi mc au ra thap va khi mc
au ra cao khac nhau rat xa. Trong mach ien Flip Flop bao gom rat nhieu cong,
thng khong ong thi thong ca hoac ong thi ngat ca, nen du trang thai mach ien
FF thay oi th dong ien nguon cung khong thay oi ang ke. Vay thong thng ch
a ra mot gia tr dong ien nguon va quy nh rang tat ca cac au vao eu phai treo
khi o lng dong ien nguon.
Dong ien ngan mach au vao IIS
Lan lt noi at cac au vao, ta o c dong ien ngan mach au vao
tng ng. Co the nhan thay cac hnh 5-1-11 va 5-1-15 rang moi mot trong cac au
vao R, S, Rd, Sd, CP, D, J, K v.v... c noi vi mot so khac nhau tranzito nhieu emit.
Do o dong ien ngan mach au vao cua chung cung khong bang nhau. So tranzito
cang nhieu th tr so dong ien tng ng cang ln.
Dong ien do au vao IIH
La dong ien chay vao au vao xet khi au vao o noi en mc cao.
Dong ien IIH cung phu thuoc vao so tranzito nhieu emit c noi en au vao xet.
Mc tn hieu au ra cao VOH va thap VOL
Khi FF trang thai 1, au ra Q mc cao, Q mc thap. Khi FF trang
thai 0, au ra Q mc thap , Q mc cao. Vay ch can o lng rieng mc au ra Q,
Q khi FF trang thai 1 va 0 la ta c VOH va VOL.

2. Tham so ong
Co 2 tham so ong thng
dung nh sau :
Thi gian tre truyen at
trung bnh tpd
tpd c nh ngha la thi
gian t khi sn xung ong ho tac ong
(v du, sn am cua CP oi vi FF JK
master slave, sn dng cua CP oi
vi FF D) en khi trang thai mi tao ra
au ra cua FF a on nh (hnh 5-3-3).

Hnh 5-3-3 :
Thi gian tre truyen at cua IC Flip Flop
a) Kch vi sn am
b) Kch vi sn dng

117

Thong thng thi gian tre truyen at cua au ra t mc cao xuong mc


thap tphl ln hn t mc thap len mc cao tphl. Trong cac so tay IC, ngi ta ch cho biet
gia tr trung bnh tpd.
t plh + t phl
t pd =
2
Khi FF noi thanh T th tan so ong ho cao nhat cho phep fmax. Khi o
lng fmax, ta can tien hanh vi phu tai nh mc a cho, v ket qua se phu thuoc vao
tnh trang phu tai. Bang 5-3-1 va 5-3-2 gii thieu cac ch tieu chu yeu cua IC FF Z63 va
IC FF D62.
Bang 5-3-1 : CH TIEU CHU YEU CUA FF JK MASTER SLAVE IC Z63B
T= 250, EC = 5V
Tham so
Dong ien nguon
Dong ien ngan J, K
mach au vao
Dong ien do au
vao

R, S, CP

Ky hieu

n v

IE

mA

IIS

mA

ieu kien o
au vao h mach

1,5

VI = 0

IIH

15

au ra khong tai

J, K
R, S

Ch tieu

1,5

VI = 5V

20

Cac au vao

60

khac noi at

CP

Mc cao au ra

VOH

Mc thap au ra

VOL

Tan so ong ho cao nhat

fmax

MHz

118

= 160

IL = 12mA
IL=12mA
CL = 15pF

80
34
0,35
10

CHNG 6
MACH DAY
6.1. AI CNG VE MACH DAY
Can c ac iem khac nhau ve chc nang logic va cau truc mach ien,
mach so c phan loai thanh mach to hp (combinational Circuits) a gii thieu
chng 4 va mach day (Sequential circuits) se c trnh bay trong chng nay.
6.1.1. ac iem va phng phap mieu ta chc nang
1. ac iem cua mach day
Trong mach so, mot mach ien c goi la mach day neu trang thai au
ra on nh thi iem xet bat ky khong ch phu thuoc vao
trang thai au vao thi iem o ma con phu thuoc ca vao
trang thai ban than mach ien thi iem trc (trang thai
trong).
Mach day co ac iem nhat nh phai bao
gom Flip Flop e nh trang thai von co. T tng nay the
hien thanh cau truc mach nh hnh 6-1-1 gi y.

Hnh 6-1-1
S o khoi mach day

2. Phng phap mieu ta chc nang logic cua mach day


Theo nh ngha mach day tren ay, cac Flip Flop a c nghien cu
chng 5 cung la mach day, v trang thai au ra tiep theo Qn+1 khong ch phu thuoc vao
tn hieu au vao ma con phu thuoc ca vao trang thai (trong) Qn von co. Phng phap
mieu ta chc nang logic cua Flip Flop cung thch hp vi mach day noi chung.
a) Phng trnh logic
Xet hnh 6-1-1, X (x1, x2 , ... xi la tn hieu au vao thi iem xet tn, Z
(z1, z2, ..., zj) la tn hieu au ra tn, W (w1,w2, ..., wK) la tn hieu au vao mach nh tn
(tc la tn hieu kch ong bo cua FF), Y (y1, y2, ..., ye) la tn hieu au ra mach nh tn
(tc trang thai hien tai cua FF). Quan he gia cac tn hieu tren ay co the bieu th bang
cac ham logic :
Z(tn) = F[(X (tn), Y(tn)]

(6-1-1)

Y(tn+1) = F[(X (tn), Y(tn)]

(6-1-2)

W(tn) = H[(X (tn), Y(tn)]

(6-1-3)

tn va tn+1 la hai thi iem gan nhau. V y1, y2, ..., ye la trang thai cua FF
cau truc mach nh, nen chung c goi la tn hieu trang thai, hay bien trang thai, tng
ng ham Y c goi la vect trang thai, (6-1-2) la phng trnh trang thai vi Y(tn+1) la
119

trang thai tiep theo, Y(tn) la trang thai hien tai. Tng t (6-1-1) la phng trnh au ra,
(6-1-3) la phng trnh kch. Noi rieng trng hp Flip Flop, X(tn) = W(tn), Z(tn)=Y(tn).
V vay, ch rieng phng trnh trang thai (6-1-2) cung u mieu ta chc nang logic cua
FF. e phan biet vi mach day noi chung, chng 5, (6-1-2) cua FF mang mot ten
chuyen biet la phng trnh ac trng nh ta a goi.
b) Bang trang thai :
Bang liet ke moi quan he gia Z(tn), Y(tn+1) va X(tn), Y(tn) goi la bang
trang thai cua mach day. (Rieng oi vi FF, bang trang thai co ten rieng la bang chc
nang).
c) o hnh trang thai :
o hnh trang thai la hnh ve phan anh quy luat chuyen oi trang thai va
tnh hnh cac gia tr au vao, au ra tng ng cua mach day.
d) o th thi gian :
o th thi gian con goi la dang song cong tac. No bieu th trc quan moi
quan he tng ng cac gia tr tn hieu au vao, tn hieu au ra, trang thai mach ien ve
thi gian.
Nh sau nay chung ta se ro, 4 phng phap trnh bay tren ay ve ban chat
eu phan anh chc nang logic mach day theo cac kha canh khac nhau, chung lien quan
va chuyen oi lan nhau. Co the tuy y chon dung tuy theo tnh hnh cu the. Cung can lu
y them rang bang Karnaugh co the mieu ta chc nang logic mach day mot cach tien li.
6.1.2. Phng phap c ban phan tch chc nang logic mach day
Nhiem vu phan tch la tm ra bang trang thai, o hnh trang thai, o th
thi gian cua mach day a cho, roi xac nh ac iem cong tac va chc nang logic cua
no. Hnh 6-1-2 la s o gi y ve qua trnh phan tch nay.

Hnh 6-1-2 S o gi y qua trnh phan tch mach day

Di ay a ra quy trnh phan tch 4 bc :


120

1. Viet Phng trnh :


Can c vao mach ien a cho, viet phng trnh nh thi, phng trnh au ra,
phng trch kch, cung tc la cac cong thc logic cua tn hieu nh thi (ong ho) tn
hieu au ra va tn hieu au vao.
2. Tm phng trnh trang thai :
Thay phng trnh kch vao phng trnh ac trng cua Flip Flop tng ng, ta
se tm c phng trnh trang thai cua mach ien, cung tc la phng trnh trang thai
tiep theo cua cac Flip Flop. V rang trang thai mach day bat ky eu nh cac Flip Flop
cau truc tao ra mach day o ma co c kha nang nh.
3. Tnh toan :
a tat ca cac to hop co the cua trang thai hien tai va tn hieu au vao phng
trnh trang thai va phng trnh au ra, roi tien hanh tnh toan, tm ra trang thai tiep
theo va tn hieu au ra tng ng. ay co 4 ieu chu y :
- ieu kien nh thi tch cc cua phng trnh trang thai.
- Trang thai hien tai cua mach ien, tc la to hp cac trang thai hien tai cua FF
cau truc nen mach xet.
- Khong bo sot mot to hp co the nao cua trang thai hien tai va tn hieu au vao.
- Can c vao gia tr ban au a cho (hoac t cho) cua trang thai hien tai va tn
hieu au vao ma tnh toan lan lt cac trang thai tiep theo nhau.
4. Ve o hnh trang thai (hoac bang trang thai, hoac o th thi gian). Xem xet
ket qua tnh toan, roi ve o hnh trang thai. ay can chu y 3 ieu :
- Chuyen oi trang thai t hien tai en tiep theo, ch khong phai la t hien tai
en hien tai, hoac t tiep theo en tiep theo.
- Tn hieu au ra la ham so cua trang thai hien tai, ch khong phai la ham so cua
trang thai tiep theo.
- Ve o th thi gian can lu y rang FF ch chuyen oi trang thai tng ng vi
sn kch cua xung ong ho xuat hien.
Quy trnh 4 bc tren ay la chung, khong bat buoc phai tuan theo may moc, ma
nen van dung linh hoat tuy tnh huong cu the.
6.2. BO EM
6.2.1. ac iem va phan loai bo em
1. ac iem c ban
em la kha nang nh c so xung au vao ; mach ien thc hien thao tac em
c goi la bo em.

121

em la mot thao tac c ban cc ky quan trong. Vay bo em c s dung vo


cung rong rai, t cac thiet b o ch th so en cac may tnh ien t so loai ln, bat ky he
thong so hien ai nao eu hien dien bo em.
2. Phan loai
Can c vao s khac biet cua tnh huong chuyen oi trang thai cua cac Flip Flop
trong bo em, ngi ta phan thanh hai loai ln : bo em ong bo va bo em d bo.
Trong bo em ong bo, cac Flip Flop eu chu tac ong ieu khien cua mot xung ong
ho duy nhat, o la xung em au vao. Vay s chuyen ong trang thai cua chung la
ong bo. Bo em d bo th khac, co Flip Flop chu tac ong ieu khien trc tiep cua
xung em au vao, nhng cung co FF chu tac ong ieu khien cua xung au ra cua FF
khac (Co vai tro nh thi cua xung ong ho). Vay s chuyen oi trang thai cua cac FF
khong cung luc, tc la d bo.
Can c vao s khac biet ve he so em cua bo em, ngi ta phan thanh cac loai
: Bo em nh phan bo em thap phan, bo em N phan. Neu goi n la so ch so v tr
trong ma so nh phan, (cung tc la so Flip Flop co trong bo em), goi N la so trang thai
tch cc (cung tc la so trang thai ma hao a c dung khi lap ma) th oi vi bo em
nh phan N = 2n, oi vi bo em thap phan N = 10. Bo em nh phan va bo em thap
phan la trng hp rieng cua bo em N phan. Ta thng goi N la dung lng bo em
hoac o dai em bo em, hoac he so em .
6.2.2. Bo em ong bo
1. Bo em nh phan ong bo
Bo em nh phan ong bo noi chung
cau truc bang Flip Flop T.
a) Bo em thuan nh phan ong bo
Cau truc mach :

(Hnh 6-2-1) Bo em nh phan ong bo 4 ch so

Hnh 6-2-1 di ay la bo em
thuan nh phan ong bo 4 ch so.
Bo em cau truc bang 4FFJK noi thanh loai T va 4 cong NAND, CP la xung
em au vao ; ch co cac au ra Q, Q cua FF.
.
Nguyen ly lam viec :
Viet phng trnh :
- Phng trnh nh thi CP1 = CP2 = CP3 = CP4 = CP
122

(6-2-1)

Xung ong bo cua 4 FF eu la xung em au vao. Trong mach day ong bo, cac
xung ong ho cua cac FF eu giong nhau, moi khi mach ien chuyen oi trang thai, cac
ieu kien nh thi noi chung eu am bao, vay nen phng trnh inh thi thng
khong can viet ra.
- Phng trnh au ra co the khong can viet, v khong co tn hieu au ra nao
khac ngoai Q, Q .
- Phng trnh kch :
T1 = 1

n
T2 = Q 1

n
n
T3 = Q 1 Q 2
T = Q n Q n Q n
1
2
3
4

(6-2-2)

Tm phng trnh trang thai :


n

Phng trnh ac trng cua Flip Flop T Q n +1 = TQ + TQ n


Thay phng trnh kch vao phng trnh ac trng, ta co :
Q n +1 = T Q n + T Q n = 1Q n + 1Q n = Q n
1
1 1
1 1
1
1
1
n
n
Q n +1 = T Q + T Q n = Q n Q n + Q n Q = Q n Q n
2
n
1
2
2
2
2
1
1
1
2

n
n +1
n
n
n
n
n
n
n
Q 3 = T3 Q 3 + T3 Q 3 = Q 1 Q 2 Q 3 + Q 1 Q 2 Q 3

n
Q n4 +1 = T4 Q 4 + T4 Q n4 = Q 1n Q 2n Q 3n Q n4 + Q 1n Q 2n Q 3n Q n4

(6-2-2)

Tnh toan :
Gia nh cac trang thai Q n4 Q 3n Q 2n Q 1n tuan t, thay vao phng trnh trang thai (62-3) ta c bang ket qua 6-2-1, o la cac trang thai tiep theo Q n4 +1Q 3n +1Q 2n +1Q 1n +1 .
Q

n
4

n
3

Bang 6-2-1 : KET QUA TNH TOAN


Q 2n
Q 1n
Q n4 +1
Q 3n +1
Q 2n +1
Q 1n +1

123

Ve o hnh trang thai.


T bang 6-2-1 ta ve ngay c o
hnh trang thai, o la hnh 6-2-2.
Nhan xet hnh 6-2-2 ta thay mach
ien 6-2-1 lam viec cua bo em nh phan ong
Hnh 6-2-2 : o hnh trang thai cua
bo em

bo 4 ch so.
.
ac iem :

ac iem cua bo em a neu ro trong ten Bo em nh phan ong bo 4


ch so Di ay trnh bay them ve s chuyen v cua bo em.
Chuyen v song song :
Mach ien 6-2-1 thc hien chuyen v song song. Khai niem chuyen v a
dung trong bo cong u, tong cua 1 vi 1 la 0, vi chuyen v (nh) len so co trong so ln
hn la 1. T goc o phep cong so 1 vao so co trong so be nhat cua so nh phan, ong
thi tuan t chuyen v len so co trong so ln hn, kch FF tng ng chuyen oi trang
thai. Phng thc chuyen v song song cua mach hnh 6-2-1 the hien cho tn hieu
chuyen v (nh) t au ra Q cua mot FF a en au vao cua FF trong so ln bat ky eu
ch co tre truyen at hai cap cong 2tpd. Xet them yeu cau CP duy tr mc cao tWH, yeu
cau thi gian chuyen cua FF tphl, th chu ky em ngan nhat co the cua bo em (tc la
khoang thi gian cc tieu gia hai sn am xung em lien ke) :
TMIN = 2tp +tphl + tWH

(6-2-4)

Vay tan so cao nhat cua bo em la :


1
fMAX =
TMIN

(6-2-5)

Nhc iem cua phng thc chuyen v song song la phu tai cac FF
khong eu nhau, cac FF trong so cang be th phu tai cang nang, con cac FF trong so
cang ln cang lam au vao chuyen v.
Chuyen v noi tiep
Xet mach ien hnh 6-2-3
124

Phng thc chuyen v noi


tiep khong co khuyet iem noi tren cua
phng thc chuyen v song song, tuy vay,
thi gian chuyen v t so trong so be nhat
en so trong so ln nhat lai keo dai hn.
Gia s co n trong so, thi

Hnh 6-2-3 Bo em thuan ong bo


chuyen v noi tiep

gian chuyen v o la thi gian tn hieu chuyen v i qua 2(n-2) cong e t FF trong so
be nhat en FF trong so ln nhat. Do o, tan so cc ai cua bo em chuyen v noi tiep
tng oi thap :
fmax =

1
2(n 2)t pd + t phl + t WH

(6-2-6)

b) Bo em nghch nh phan ong bo


S o logic Hnh 6-2-4
Tng t nh tren, dung phng phap phan tch can ban e tm o hnh
trang thai, hnh 6-2-5.

Hnh 6-2-5 o hnh trang thai cua bo


em nghch

Hnh 6-2-4 Bo em nghch nh


phan chuyen v song song ong bo
4 ch so.

So sanh hnh 6-2-4 vi hnh 6-2-1, ta thay s khac biet cua bo em nghch so vi
bo em thuan la au ra Q (ao) cua FF cung cap tn hieu chuyen v. Vay t bo em
thuan san co, neu ta thao day noi au Q, roi au noi vao au Q , ta se co bo em
nghch.
c) Bo em thuan nghch nh phan ong bo
Kieu mach co au vao ieu khien em thuan, em nghch.

125

Hnh 6-2-6

a) Chuyen v song song

Hnh 6-2-6

b) Chuyen v noi tiep

Hnh 6-2-6 bo em nghch nh phan ong bo co au vao ieu khien xet s o


hnh 6-2-6. Ta thay bo em gom bo em thuan va bo em nghch gop lai vi nhau, co
them mot so cong ieu khien. Tn hieu ieu khien em thuan hoac em nghch thong
qua cac cong ieu khien e thc hien s ieu khien bien bo em thanh em thuan hay
em nghch. S dung phng phap phan tch logic, ta tm o hnh trang thai nh hnh 62-7.
Bo em tren ay, ngoai au vao em CP ra con co au vao ieu khien
em thuan hoac em nghch. Vay no c goi la em thuan nghch nh phan ong bo
kieu co au vao ieu khien thuan hoac nghch.
Trong o hnh trang thai, con so tren dau gach xien bieu th tr so tn hieu
M ieu khien thuan / nghch, tng ng M = 1/10.

126

Hnh 6-2-7 o hnh


trang thai cua bo
em thuan nghch

Kieu mach co 2 au vao xung ong ho

Hnh 6-2-8 Bo em thuan nghch 2 au vao xung ong


ho

127

Hnh 6-2-9 Dang song bo em thuan nghch 2 au vao xung ong ho.

Xet s o 6-2-8. Mach co hai au vao xung em (ong ho) . Khi a xung ong
ho vao au em thuan, luc o au em nghch mc thap, ch co cac cong ieu khien
na tren thong, cac cong ieu khien na di ngat, mach thc hien em thuan. Khi a
xung ong ho vao au em nghch, luc o au em thuan mc thap, ch co cac cong
ieu khien na di thong, cac cong ieu khien na tren ngat, mach thc hien em
nghch.
Khi em thuan, xung chuyen v (au ra thuan) sinh ra vao luc t ma Q4Q3Q2Q1 =
1111 (=1510) chuyen thanh Q4Q3Q2Q1 =0000. Khi em nghch xung chuyen v (au ra
nghch) sinh ra vao luc t ma Q4Q3Q2Q1 =0000 chuyen thanh Q4Q3Q2Q1 = 1111 (=1510).
Xem dang song bo em tren hnh 6-2-9.
Neu can tang dung lng em th co the mac day chuyen (noi tiep nhau) cac
mach em hnh 6-2-8 ; trong mach mac day chuyen, au ra em thuan cua bo em pha
trc noi vao au vao em thuan cua bo em sau lien ke, au ra em nghch cua bo
em pha trc noi vao au em nghch cua bo em sau lien ke.
Tren c s mach ien hnh 6-2-8, ngi ta them cac au vao xoa, lap, vao so
lieu ; ngi ta cung dan tn hieu au ra cua Q cua 4 Flip Flop ra ngoai, do o tao thanh
IC bo em 4 bit, chang han T1193.
2. Bo em thap phan

Hnh 6-2-10 : Bo em thuan thap phan ong bo

128

Bo em thap phan la bo em theo ma nh - thap phan. V tng ng vi nhieu


kieu ma hoa cua ma nh - thap phan , nen cung co nhieu kieu bo em thap phan khac
nhau. ay ch gii thieu bo em thap phan dung ma nh - thap phan 8421.
a) Bo em thuan thap phan ong bo (Hnh 6-2-10)
Cau truc mach ien
Mach ien cau truc bang 4 Flip Flop JK va cong chuyen v (nh) au ra
C, xung em au vao la CP.
Nguyen ly cong tac
Viet phng trnh
Phng trnh nh thi

CP1 = CP2 = CP3 = CP4 = CP


C = Q n4 Q 1n

(6-2-7)

Phng trnh kch


J1 = K 1 = 1

n
n
K 2 = Q 12
J 2 = Q 4 Q 1

n
n
J 3 = K 3 = Q 2 Q 1

n
n
n
n
J 4 = Q 3 Q 2 Q 1 K 4 = Q 1

(6-2-8)

(au vao J cua F4 tren hnh 6-2-10 ve 3 ng co the hieu rang o co cong
AND 3 au vao tng ng).
Tm phng trnh trang thai
Q n +1 = J Q n + K 1Q n = Q n
1
1
1 1
1
n
+
n
n
1
Q = J Q + K 2 Q = Q n Q n Q n + Q n Q n
2
1
4 1
2
2
2
2
2

n
n
Q 3n +1 = J 3 Q 3 + K 3 Q 3n = Q 2n Q 1n Q 3 + Q 2n Q 1n Q 3n

n
n
n
Q n4 +1 = J 4 Q 4 K 4 Q n4 = Q 3n Q 2n Q 1n Q 4 + Q 1 Q n4

(6-2-9)

Tnh toan
Bat au t gia tr Q n4 Q 3n Q 2n Q 1n = 0000 , thay vao phng trnh trang thai (6-2-9) va
phng trnh au ra (6-2-7), ta c ket qua bang 6-2-2
Bang 6-2-2 : KET QUA TNH TOAN

n
4

n
3

n
2

Q 1n

Q n4 +1

Q 3n +1

Q 2n +1

Q 1n +1

129

o hnh trang thai


Can c vao bang 6-2-2, ta co quan
he chuyen oi trang thai Qn sang Qn+1 va gia tr
au ra C, do o ve c o hnh trang thai hnh
6-2-11.
Can lu y rang, nhng trang thai
lien tiep nhau cua mach ien, mot trang thai
thi iem xet la Qn+1 oi vi trang thai trc lien

Hnh 6-2-11. o hnh trang thai


cua bo em thuan thap phan

ke ( pha trai bang 6-2-2), ong thi la Qn oi vi trang thai sau lien ke ( pha phai
bang 6-2-2), vay nen trong hai hang lien nhau cua bang 6-2-2, mot trang thai pha
phai hang tren se ong thi pha trai hang di. Ket qua, khi ve o hnh trang thai ta
phai khong bo sot bat ky trang thai kha d nao e co hnh 6-2-11.
Nhan xet hnh 6-2-11 : Mach
ien hnh 6-2-10 ung la bo em thap phan
dung ma 8421. Xem o th dang song hnh 62-12.
Gia thiet bo em hnh 6-2-10 la
bo em hang n v cua he thap phan. Hnh
6-2-12 chng to rang khi mach ien chuyen
oi en trang thai 1001 ( = 910), tn hieu
Hnh 6-2-12.

chuyen v (nh) tr thanh mc cao, nhng that

Dang song bo em thuan phan thap phan

ra khong tac ong ngay, ma i en sn am


130

xung ong ho CP th 10 xuat hien, th tn hieu C mi kch Flip Flop hang chuc cua he
thap phan chuyen oi trang thai, ong thi bo em hang n v tr ve 0, tc mach ien
tr ve trang thai 0000. Tat nhien Flip Flop hang chuc cung c kch bang sn am.
Trang thai c s dung, trang thai cam, t khi ong.
Nh a tng noi trc ay, nhng trang thai t ma c dung khi ma hoa
(bien ma) goi la trang thai c s dung, nhng trang thai t ma khong c dung khi
ma hoa goi la trang thai cam. Trong hnh 6-2-11, cac trang thai t ma 1010 1111 la
trang thai cam, v chung khong c dung khi ma hoa 8421.
Khi v mot nguyen nhan nao o, chang han do nhieu gay ra, mach ien
ri vao trang thai cam, di tac ong cua xung ong ho CP ma mach ien co the tr lai
trang thai c s dung, ta noi mach a co the t khi ong.
Di tac dung cua xung em au vao, bo em van cong tac tuan hoan.
tnh huong bnh thng, s tuan hoan eu an theo chu ky ve trang thai ban au moi
chu ky goi la s tuan hoan c s dung. Ngc lai, s tuan hoan trong trang thai cam
goi la s tuan hoan cam. Chung ta se ro them tnh huong nay khi trao oi tiet 6-3 sau
ay.
Hnh 6-2-11 chng to rang bo em thuan thap phan theo ma 8421 noi
tren la co the t khi ong.
b) Bo em nghch thap phan ong bo
Mach ien hnh 6-2-13
kha n gian, chung ta de dang phan
tch theo phng phap tng t a
dung tren.
Co hai cach e cau truc
bo em thap phan ong bo nhieu so
t bo em 1 so. Cach th nhat la noi

Hnh 6-2-13 Bo em nghch thap phan ong


bo

day chuyen cac bo em 1 so, au ra cua bo em trong so be noi vi au vao bo em


trong so ln tiep theo. S lam viec cua bo em 1 so la ong bo, nhng s lam viec gia
chung la d bo.
Cach th hai la noi au ra cua bo em trong so be vi tat ca cac au vao
ong bo cua 4FF bo em trong so ln tiep theo, cung noi en au vao cong chuyen v
au ra cua bo em nay, con cac xung ong ho cua cac bo em eu la xung em au
vao CP. Trong cach th hai, khong nhng tng bo em 1 so la ong bo, ma s lam viec
cua toan bo mach noi ghep e em nhieu so cung la ong bo.
131

c) Bo em thuan nghch thap phan ong bo :

Hnh 6-2-14 Bo em thuan nghch thap phan ong bo kieu ieu khien

Xet hnh 6-2-14 . 4FFJK mac thanh FFT.


Khi tn hieu thuan/nghch M = 1, bo em la thuan, M = 0 bo em la
nghch.
Phng trnh nh thi : CP1 = CP2 = CP3 = CP4 = CP
Phng trnh au ra
: C = MQ n4 Q 1n
n

B = M Q 4 Q 3 Q 2 Q1
Phng trnh kch

(6-2-10)
(6-2-11)
(6-2-12)

:
T1 = 1

T = MQ n Q n + M Q n Q n Q n Q n
4
1
2
3
4
1
2
= MQ n Q n + MQ n (Q n + Q n + Q n )
4
1
2
3
4
1

n
n
n
n
n

n
n
T3 = MQ 1 Q 2 + M Q 1 Q 2 Q 2 Q 3 Q 4

n
n
n
n
n
n
= MQ 1 Q 2 + M Q 1 Q 2 (Q 3 + Q 4 )

T = MQ n Q n + M Q n Q n Q n + M Q n Q n Q n
1
2
3
1
2
3
1
4
4
n
n
n
n
n
= M(Q 1 Q 4 + Q 1 Q 2 Q 3 )M Q 1 Q 2 Q 3

(6-

2-13)
Vi M = 1, t (6-12-11), (6-12-12), (6-12-13) ta co :
C = Q n4 Q 1n
B=0
T1 = 1

T2 = Q 1n Q 4

T3 = Q 1n Q 2n

132

T4 = Q 1n Q n4 + Q 1n Q 2n Q 3n

(6 2 14)
(6 2 15)

Thay gia tr (6-2-15) vao phng trnh ac trng cua FFT la :


n

Q n +1 = TQ + TQ n
n

Ta co : Q 1n +1 = Q 1

Q 2n +1 = Q 1n Q 4 Q 2 + Q 1n Q 4 Q 2n

Q 3n +1 = Q 1n Q 2n Q 3 + Q 1n Q 2n Q 3n Q n4 +1 = (Q 1n Q n4 + Q 1n Q 2n Q 3n )Q 4 + Q 1n Q n4 + Q 1n Q 2n Q 3n Q n4

(6-2-16)
Gia nh trang thai hien tai, tuan t thay vao (6-12-14) va (6-12-16) e tnh toan,
ket qua ta co o hnh trang thai hnh 6-2-15.
Co the thay rang khi M = 1, mach
ien thc hien em thuan thap phan theo
ma 8421 va co the t khi ong.
Khi M = 0, t (6-2-11) (6-2-12)
(6-2-13) ta co :
Hnh 6-2-15 : o hnh trang thai khi M =
1
C=0

B = Q 4 Q 3 Q 2 Q1

(6 2 17)

T = 1; T = Q (Q n + Q n + Q n
1
1
2
2
3
4

n
n
n
n
n
T3 = Q 1 Q 2 (Q 3n + Q n4 ) ; T4 = Q 1 Q 2 Q 3

(6 2 18)

Thay gia tr (6-2-18) vao phng trnh ac trng cua FFT, c phng trnh
trang thai nh sau :
Q n +1 = Q n
1
1
Q n +1 = Q n (Q n + Q n + Q n )Q n + Q n (Q n + Q n + Q n )Q n
1
2
1
2
3
4
2
3
4
2
2
n
n

= Q 1 Q 2 (Q 3n + Q n4 ) + Q 1n + Q 2n

n
n
n
n
n
Q 3n +1 = Q 1 Q 2 (Q 3n + Q n4 )Q 3 + Q 1 Q 2 ((Q 3n + Q n4 )Q 3n

n
n
n

= Q 1 Q 2 Q 3 Q n4 + (Q 1n + Q 2n )Q 3n

(6-2-19)

Q n4 +1 = Q 1 Q 2 Q 3 Q 4 + Q 1 Q 2 Q 3 Q n4

Gia nh trang thai hien tai, tuan t thay vao (6-2-17) va (6-2-19) e tnh
toan, ket qua ta co o hnh trang thai hnh 6-2-16.
Co the thay rang, khi M = 0, mach ien thc hien em nghch thap phan
theo ma 8421 va co the t khi ong.

133

(Hnh 6-2-16) o hnh trang khi M = 0

Phng phap ghep


noi nhieu bo em thuan
nghch thap phan ong bo
c trnh bay hnh 6-217.
Hnh 6-2-17 Cach ghep noi nhieu bo em thuan nghch thap
phan ong bo 1 so thanh nhieu so.

Khi bo em
trong so be C = 1 th bo
em trong so ln M = 1,

T1 = 1, no se cong tac che o em thuan.


Khi bo em trong so be B = 1 th bo em trong so ln M = 1, T1 = 1, no
se em nghch.
Khi bo em trong so be C = B = 0 th bo em trong so ln M = M = T1
= 0, va no ngng em.
Xem lai hnh 6-2-14, s o s dung Flip Flop T, xung CP lien tuc a en
ong thi tat ca au vao ong ho cua cac FF. Trang thai au vao T quyet nh s
chuyen oi trang thai cua FF. T = 1 th FF phai chuyen oi, T = 0 th FF phai khong
chuyen oi.
Hnh 6-2-18 di ay gii thieu mach ien bo em thuan nghch s dung
Flip Flop T.

134

Hnh 6-2-18a S o logic bo em thuan nghch thap phan ong bo kieu 2 au vao ong ho.

Flip Flop T se chuyen oi trang thai moi khi xung ong ho en. Xung CP la
phai i qua cac cong ieu khien mi en c au vao ong ho cua FF. Vay FF muon
lat th cong phai m, FF can gi nguyen trang thai th cong phai ong. Xung em thuan,
xung em nghch c a en bang hai au vao rieng biet. Khi a vao xung em
thuan th bo em thc hien em thuan. Khi a vao au em nghch th bo em em
nghch. Khong cho phep hai au vao ong thi co xung em. Khi ghep noi bo em, ch
can noi au ra em thuan cua bo em 1 so trong so be vi au vao em thuan cua bo
em 1 so trong so ln hn ke tiep, tng t cho em nghch, ngha la noi day chuyen.

Hnh 6-2-18b Dang song bo em


hnh 6-2-18a trng hp em thuan

S dung phng phap phan tch logic, tham khao phan 6-2-2-1c, tng t,
ta co the tm o hnh trang thai cua mach ien hnh 6-2-18a. Con dang song th xem
hnh 6-2-18b.
3. Bo en N phan ong bo
S dung FF1 ta co the tuy y xay dng bo em ong bo vi he so em N
bat ky (N phan) va dung lng bat ky. Xem hnh 6-2-19.

135

Hnh 6-2-19 : Bo em ong bo N phan

6.2.3. Bo em d bo
1. Bo em nh phan d bo
em Flip Flop T mac day chuyen vi nhau th c bo em nh phan d
bo, ket cau kha n gian.
a) Bo em thuan nh phan d bo
Cau truc mach ien. Xem hnh 6-2-20
Nguyen ly lam viec :
Viet phng trnh :
Phng trnh nh thi :
CP2 =Q1, CP3 = Q2

CP1 = CP,

(6-2-20)

Flip Flop T se lat (chuyen oi) moi

Hnh 6-2-20 : Bo em thuan nh phan


d bo

khi xung ong ho xuat hien.


Phng trnh trang thai :
Q n +1 = Q n vi ieu kien xuat hien sn am CP
1
1
n
n +1
Q 2 = Q 2 vi ieu kien xuat hien sn am Q 1
n +1
n
Q 3 = Q 3 vi ieu kien xuat hien sn am Q 2

(6-2-21)

Trong (6-2-21) ghi ro ieu kien nh thi.


Tnh toan
Gia thiet trang thai ban au la Q 3n Q 2n Q 1n = 000 , tuan t thay vao (6-2-21)
e tnh, ta c ket qua nh bang 6-2-3.
Bang 6-2-3 : KET QUA TNH TOAN
136

Q 3n

Q 2n

Q 1n

Q 3n +1

Q 2n +1

Q 1n +1

ieu kien sn am

CP1

CP1 CP2

CP1

CP1 CP2 CP3

CP1

CP1 CP2

CP1

CP1 CP2 CP3

Lu y khi tnh en cac ieu kien nh thi : Ch vi ieu kien xuat hien
sn xung kch th FF mi lat ung nh phng trnh quy nh, neu khong th FF duy tr
nguyen trang (khong lat). V du, khi Q 3n Q 2n Q 1n = 000 va vi ieu kien sn am xung en
au vao xuat hien, do CP = CP nen F1 u ieu kien nh thi e thc hien lat theo
phng trnh Q 1n +1 = Q 1n cu the Q 1n = 0 nen Q 1n +1 = 1 ma CP2 = Q1 tuy rang F1 lat t 0
sang 1, nhng FF kch bang sn am, ngha la cha thoa man ieu kien nh thi, nen
F2 duy tr nguyen trang , Q 2n +1 = Q 2n = 0 . F3 cung khong lat.
Lai xet trang thai Q 3n Q 2n Q 1n = 011 vi ieu kien xuat hien sn am CP, F1
lat trc, Q1 xuat hien sn am lam F2 lat tiep, Q2 xuat hien sn am lam F3 lat sau
cung, trang thai bo em chuyen t 011 thanh 100. Trong bang 6-2-3 co ghi chu ieu
kien nh thi c thoa man khi bo em chuyen trang thai.

Hnh 6-2-21 o hnh


trang thai bo em

Hnh 6-2-22 Dang song bo em.

137

Hnh 6-2-22 la dang song, ta thay ro ac iem kch bang sn am cua cac
FF bo em.
Nhan xet cac hnh 6-2-21 va 6-2-22 : Mach ien hnh 6-2-20 la s o bo
em thuan nh phan 3 so d bo.
Bo em thuan d bo kch bang sn dng (hnh 6-2-23)
Nguyen ly cong tac cua s o mach
hnh 6-2-23 cung giong s o mach hnh 6-2-10.
Nhng Flip Flop T c s dung trong s o oi
hoi phai kch bang sn dng. Vay au vao cua
FF trong so ln phai noi vi au ra ao, Q cua FF
trong so be hn ke tiep.
CP2 = Q 1

CP3 =

Hnh 6-2-23 Bo em thuan nh


phan d bo kch bang sn dng.

Q2

Ch can chu y ac iem ieu kien nh thi kch bang sn dng th ta


de dang hieu c mach em hnh 6-2-23.
Hnh 6-2-24 la s o mach ien em thuan nh phan d bo 3 so.
ay Flip Flop T c cau truc t Flip Flop JK va Flip Flop D.

Hnh 6-2-24 Dung Flip Flop


JK, Flip Flop D.
a) dung Flip Flop JK
b) dung Flip Flop D

b) Bo em nghch nh phan d bo
S o bo em nay tren hnh 6-2-25 s dung Flip Flop T.

Hnh 6-2-25 Kch bang sn am, sn dng


a) kch bang sn am b) Kch bang sn dng

138

.
Khi khong ngng a xung em CP vao bo em nghch, tnh huong
chuyen oi trang thai cua mach ien nh bang 6-2-4, o hnh trang thai hnh 6-2-26 va
dang song hnh 6-2-27.
Q

n
3

n
2

Bang 6-2-4 : BANG CHC NANG


Q 3n +1
Q 2n +1
Q 1n +1
ieu kien sn am

n
1

CP1 CP2 CP3

CP1

CP1 CP2

CP1

CP1 CP2 CP3

CP1

CP1 CP2

CP1

Hnh 6-2-26.

o hnh trang thai

Hnh 6-2-27
a) Kch bang sn am
b) Kch bang sn dng

Hnh 6-2-26 chng to ro rang rang so tr cua bo em la giam khong ngng theo
che o em nghch nh phan.
c) Quy luat noi ghep cac bo em nh phan d bo
S noi ghep gia cac bo em nh phan d bo kha n gian. au ra Flip
Flop trong so be noi vao au xung ong ho cua Flip Flop trong so ln. Quy luat noi
ghep xem bang 6-2-5.

139

Bang 6-2-5 : QUY LUAT NOI GHEP CAC BO EM NH PHAN D BO


Cac kch cua Flip Flop T

Quy luat noi ghep


em thuan

Sn dng
CPi = Q i 1

Sn am
CPi = Q i 1

em nghch

CPi = Q i 1

CPi = Q i 1

Trong bang tren CPi la xung ong ho cua Flip Flop Fi, Qi-1 va Q i 1 la tn
hieu cua Flip Flop Fi-1 co trong so be hn lien ke vi Fi. Neu dung loai Flip Flop kch
bang sn dng th xung ong ho CPi cua Fi noi vao Q i 1 cua Fi-1 , neu dung loai Flip
Flop kch bang sn am th CPi noi vao Qi-1 e cau truc bo em thuan. Con e cau truc
thanh bo em nghch th ngc lai.
d) ac iem bo em d bo nh phan
u iem : Cach noi ghep bo em va cau truc em eu n gian.
Nhc iem : Tan so cong tac thap, xung nhieu qua o t trang thai ma
hoa nay sang trang thai ma hoa khac ln.

2. Bo em thap phan d bo
a) Bo em thuan thap phan d bo
Cau truc mach ien
S o hnh 6-2-28 bao gom 4
Flip Flop JK va hai cong . CP la xung em

Hnh 6-2-28 Bo em thuan thap phan d bo

au vao, C la tn hieu chuyen v (nh) a


en bo em trong so ln hn.
Nguyen ly lam viec
Viet phng trnh
Phng trnh nh thi : CP1 = CP

CP2 = CP4 = Q1

CP3 = Q2

(6-

2-22)
Phng trnh au ra
Phng trnh kch

: C = Q n4 Q 1n

(6-2-23)

:
n

J1 = K1 = 1

J2 = Q 4

J3 = K3 = 1

J4 = Q 3n Q 2n K4 = 1

140

K2 = 1
(6-2-24)

(Tam quy c rang cac au vao e trong la noi vao mc logic 1, au vao nao co
nhieu ng noi coi nh o co mach NAND).
Tm phng trnh trang thai
Thay gia tr (6-2-24) vao phng trnh ac trng cua Flip Flop JK, ta co :
Q n +1 = J Q n + K Q n = Q n
vi ieu kien xuat hien sn am CP
1
1
1 1
1
n
n
n
Q n +1 = J Q + K 2 Q n = Q Q
vi ieu kien xuat hien sn am Q 1
2
4
2
2
2
2

n
n
Q 3n +1 = J 3 Q 3 + K 3 Q 3n = Q 3
vi ieu kien xuat hien sn am Q 2

n
n
Q n4 +1 = J 4 Q 4 + K 4 Q n4 = Q 3n Q 2n Q 4 vi ieu kien xuat hien sn am Q 1

(6-

2-25)
Tien hanh tnh toan
Gia nh trang thai, tuan t thay vao (6-2-25) va (6-2-23) e tnh ra ket
qua nh bang 6-2-6 . Khi tnh toan can lu y ieu kien nh thi . Ch khi sn am xung
kch tng ng xuat hien th trang thai Flip Flop mi chuyen en trang thai ke tiep theo
phng trnh.
Bang 6-2-6 : BANG TRANG THAI CUA BO EM THUAN THAP PHAN D
Q

n
4

n
3

n
2

n
1

n +1
4

BO
Q 3n +1

Q 2n +1

Q 1n +1

0 0

1 0

CP1

0 0

0 0

CP1 CP2

0 0

1 0

CP1

0 1

0 0

CP1 CP2 CP3 CP4

0 1

1 0

CP1

0 1

0 0

CP1 CP2

0 1

1 0

CP1

1 0

0 0

CP1 CP2 CP3 CP4

1 0

1 0

CP1

0 0

0 1

CP1 CP2

1 0

1 0

CP1

0 1

0 1

CP1 CP2 CP3 CP4

1 1

1 0

CP1

0 1

0 1

CP1 CP2

1 1

1 0

CP1

0 0

0 1

CP1 CP2 CP3 CP4

141

CP4

CP4

CP4

CP4

Ve o hnh trang thai


Can c vao ket qua tnh
toan bang 6-2-6 co the ve o hnh
trang thai nh hnh 6-2-29. o hnh nay
chng to rang mach ien co s o
hnh 6-2-28 la bo em thuan thap phan
d bo theo cach ma hoa 8421, hn na
mach ien co the t khi ong. Xem

Hnh 6-2-29.

o hnh trang thai bo em thuan thap phan d bo

dang song hnh 6-2-30.

Hnh 6-2-30.
Dang song bo em thuan thap phan d bo

b) Bo em nghch thap phan d bo (hnh 6-2-31)


Phng phap phantch nh tren.

Hnh 6-2-31 Bo em nghch thap phan d bo

Ve cach ghep noi cac bo em thap phan d bo 1 so thanh bo em nhieu ch so :


ghep noi day chuyen, au ra cua bo em trong so be c noi vao au vao CP cua bo
em trong so ln hn ke tiep.
c) Bo em N phan d bo (hnh 6-2-32)

142

Hnh 6-2-32 Bo em N phan d bo

d) Xoa va cai at ban au cac bo em d bo


Xoa bo em la a tat ca Flip Flop cua bo em ve trang thai 0 trc khi
bat au cong tac. oi vi Flip Flop D co mach on nh theo nguyen ly duy tr - ngan tr
th can chon au vao Rd la mach tin cay xoa Flip Flop ve 0 (au vao R b trang thai
xung ong ho CP anh hng). V trong bo em d bo, au vao CP cua cac Flip Flop co
the la 1, cung co the la 0.
Cai at ban au la lam cho bo em trc khi bat au cong tac phai san
sang trang thai quy nh bang cach ieu khien au vao d bo. Cung vi mot nguyen
nhan a noi tren, oi vi Flip Flop D duy tr - ngan tr th can chon au vao Sd ; au
vao Sd nay khong b anh hng cua CP (nh au vao S). oi vi bo em nghch d bo,
au tien at tat ca Flip Flop ve trang thai 1, sau o theo trang thai quy nh ma xoa
nhng Flip Flop c chon ve 0, e at c muc ch la cai at ban au cho bo em.
S d nh vay v trong bo em nghch d bo, FF trong so be lat t 0 sang 1 se a ra tn
hieu chuyen v (nh) cho FF trong so ln hn, lam no lat. Do o thong qua au vao d
bo, au tien at tat ca FF trang thai 0, sau o mi chon FF e lap 1. Neu dung cac tn
hieu ao nhau ong thi a vao au Rd, Sd e cai at ban au th khong con lo b anh
hng g na.
6.2.4. Bo em IC c trung (MSI)
Cac vi mach MSI bo em co nhieu chung loai, chc nang manh, dung
tien li.
1. V du phan tch :
Hnh 6-2-33 la bo em thuan thap phan ong bo MSI.
Mach ien bao gom 4 Flip Flop D va nhieu cong, 4 au vao d lieu A, B,
C, D mot au lap S, mot au xoa R, hai au vao P, T bon au ra QA, QB, QC, QD va au
chuyen v (nh)
a) Phng trnh au ra va phng trnh trang thai
143

Phng trnh au ra va phng trnh trang thai


n

Phng trnh au ra C = Q D + Q A + T = Q nD Q nA T (6-2-26)

Hnh 6-2-33. Bo em MSI

Phng trnh kch :


n

D A = R + SA + R + S + R PT Q A
n

D A = RSA + R S + R PT R A = RSA + RSPT + Q A


n

D B = R + SB + R + S + R PTQ A Q B PTQ A + Q D
n

D B = R SB + RS PTQ nA Q B PTQ nA Q nD
n

(6-

D C = R + SC + R + S + R PTQ A + Q B Q C
n

D C = RSC + RS PTQ nA + Q nB Q C
n

D D = R + S D + R + S + R PTQ A + Q D PTQ A + Q B + Q C Q D
n

D D = RSD + RS PTQ nA Q nD PTQ nA Q nB Q Cn Q D

2-27)
Phng trnh trang thai
Thay gia tr (6-2-27) vao phng trnh ac trng cua Flip Flop D, ta co :

144

n
n +1
Q A = R SA + RSPT Q A
Q n +1 = RSB + RSPTQ n Q n PTQ n Q n

B
A
B
A
D
n +1
n
n
n
Q C = R SC + RSPTQ A Q B Q C

Q nD+1 = RSD + RSPTQ nA Q nD PTQ nA Q nB Q Cn Q C

(6-

2-28)
b) 4 che o cong tac :
Xoa :
R = 0 , vi s xuat hien sn dng xung ong ho CP, bo em b xoa.
Thay gia tr R = 0 vao (6-2-28) , ta co :
Q nA+1 = 0
Q nB+1 = 0
Q Cn +1 = 0

Q nD+1 = 0

Cai at ban au.


R = 1, S = 0, vi s xuat hien sn dng CP, bo em thiet lap so, tc la a d
lieu au vao DCBA vao bo em.
Q

n +1
A

Thay gia tr R = 1, S = 0 vao (6-2-28), ta co :


=A
Q nB+1 = B
Q Cn +1 = C
Q nD+1 = D

Duy tr nguyen trang (nh)


R = S = 1, PT = 0 vi s xuat hien sn dng CP, bo em duy tr trang thai von
co, tc la cam lat.
Thay gia tr R = S = 1, PT = 0 vao (6-2-28) , ta co :
Q nA+1 = Q nA
Q nB+1 = Q nB
Q Cn +1 = Q Cn
Q nD+1 = Q nD
em :
R = S = 1, P = T = 1, bo em thc hien chc nang em.
Thay gia tr R = S = P = T = 1 vao (6-2-26), (6-2-28), ta co :
Q n +1 = Q n
A
A
n
1
+
Q = Q n Q n + Q n Q n Q n
A
D
B A
B
B
(6 2 29)

n
Q Cn +1 = Q C Q nB Q nA + Q Cn Q nB Q nA

Q nD+1 = Q D Q Cn Q nB Q nA + Q nD Q nA
C = Q nD Q n4
(6 2 30)
Neu at QA = Q1, QB = Q2, QC = Q3, QD = Q4 th cac cong thc (6-2-29), (6-2-30)
hoan toan trung hp vi cac cong thc (6-2-9) va (6-2-7) cua bo em.
Thuan thap phan ong bo. Do o co the dung chung bang chc nang, e hnh
trang thai (bang (6-2-2) va hnh (6-2-11)

145

Qua trnh bay tren ay, can c phng trnh au ra va phng trnh trang thai (62-26) va (6-2-28) co the liet ke thanh bang chc nang 6-27.
Bang 6-2-7: BANG CHC NANG BO EM HNH 6-2-33
P

CP

Chc nang

em

Cai at ban au

Duy tr nguyen trang

Duy tr C = 0

Xoa

H bieu th mc cao, L bieu th mc thap, x bieu th tuy y, bieu th sn dng


xung ong ho.
Hnh 6-2-34 la dang song cua bo iem ; hnh 6-2-34 phan anh toan dien tnh
huong cong tac cua mach ien hnh 6-2-33

Hnh 6-2-34. Dang song bo em

146

2) S dung bo em IC cau truc bo em N phan


Tren c s cac bo em thuan thap phan (ma hoa 8421) va nh phan dang IC,
bang phng phap phan hoi e xoa, chung ta co the cau truc bo em N phan.
a)

Cac bc c ban

Gia s So bieu th 0, S1 bieu th 1, ..., SN -1 bieu th N-1, SN bieu th N. Phng


phap phan hoi e xoa co cac bc sau:
-

Viet ra ma nh phan cua SN

Tm logic phan hoi e xoa bieu thc cua Rd

Ve s o logic

b)

Cong thc logic phan hoi e xoa

Logic phan hoi e xoa la bieu thuc ham so cua au xoa d bo Rd cua bo em
IC.

Rd = P = Q 1

(6-2-31)

1 n

Trong cong thc : P la ma cua trang thai SN,

Q 1 la tch cac gia tr Q cua cac

1 n

FF trang thai 1 tng ng SN. Trong ma trang thai cua SN con bao go Q o , o la tch
1 n

cac gia tr Q cua cac FF trang thai O tng ng SN. Nhng trong bo em N phan s
dung logic phan hoi e xoa, v cac ma nh phan Sn + 1 , SNn + 2, ...,

S2

1 khong oc

dung nen c li dung e n gian hoa ham logic (toi thieu hoa), ket qua Q o b
1 n

bo i ca:
1
o
P = Q Q
1 n

1 n

Q1

1 n

(6-2-31)

Bay gi dung bang Kanugh 3 bien lam v du e thuyet minh s ung an cua (62-32).
N = 4 : SN = S4 = 100 ; SN = P4 = Q3

m5 + m7

dung e toi thieu

hoa
N = 5 : SN = S5 = 110 ; PN = P5 = Q3

Q1n

m6 + m7

dung e toi thieu

N = 6 : SN = S6 = 110 ; PN = P6 = Q3

Q2n

m7

dung e toi thieu

hoa
n

hoa
N = 7 : SN = S7 = 111 ; PN = P7 = Q3 Q2 Q1
n

khong n gian hoa

c
V du tren ay rat n gian nhng minh hoa (6-2-32) la chnh xac
147

Neu dung bang Karnaugh 4 bien, 5 bien cung thay (6-2-32) la ung
c) V du:
V du 6-2-1 : Hay ay dng bo em N = 12 bang bo em thuan nh phan ong bo
4 so.
1- Viet ma nh phan cua SN
N = 12

SN = S12 = 1100

2- Tm logic phan hoi e xoa

Rd = Q1 = Q4 Q3
1 n

3- Ve s o logic
Phng phap nay ton tai hai van e:
- Trang thai qua o SN cc ngan

Hnh 6-2-35. Bo em N =12

- o tin cay phan hoi xoa tng oi kem


Van e trang thai qua o SN
Theo t tng tren ay, bo em N bat au em t So en luc at so em SN 1
neu them mot xung em th phai lap tc b xoa ve O. Thc te mach ien phan hoi e
xoa khong lap tc ve O. au tien mach chuyen oi en trang thai SN, lam cho Rd = P =
0. v Rd = 0 nen bo em reset, trang thai SN tiep theo b mat lam triet tieu tn hieu Rd =
0. SN ton tai trong thi gian chng xap x tre truyen at 3 cap cong. Tuy trang thai qua
o cua SN la cc ngan, nhng khong the thieu; khong co trang thau qua o o th khong
co cach nao tao ra tn hieu xoa ve O.
Van e o tin cay phan hoi xoa tng oi
kem
V ac tnh cong tac xung va tnh huong phu
tai cua cac Flip Flop bo em la khong giong nhau,
lai luon luon chu tac ong cua nhieu du nhieu du
t, nen co the xay ra tnh trang co FF van con gi
nguyen trang thai 1, trong khi o co FF a lat ve O.
Do Rd = P , ta biet rang ch can mot FF lat ve O th
SN mat, roi tn hieu Rd = 0 cung mat. Mot khi Rd = 0

Hnh 6-2-36. Mach cai tien cua bo em

mat th FF nao khong kp lat se khong co cach nao lat ve khong na. Hnh 6-2-36 la
mach ien giai quyet van e nay. Trong mach cai tien, Elip Flop RS keo dai Rd = 0 mot
chut, do o bao am tn hieu ret co thi gian tac dung du dai e bo em xoa ve 0 chac
chan.

148

Bnh thng Flip Flop RS can ban (do cac cong A, B cau truc nen) trang thai 0
di tac dung cua CP, Rd = Q = 1.
Khi bo em em en gia tr SN 1 = S11 = 1011, neu xuat hien them 1 xung em
CP, vao khoang sn am cua no bo em lat t Sn

en SN = S12 = 1100, lam cho

P = Q4 Q3 = 0 , Flip Flop RS can ban lap 1, Q = 1, Q = Rd = 0, bo em reset ve o,


tc la lat ve So = 0000. Ch khi nao bo em bat au em lai t So sn dng xung CP
qua cong C c ao pha roi mi lat Flip Flop RS c ban ve 0, Q = 0, Q = 1 = Rd (tn
hieu xoa ve 0 b triet tieu). Vay thi gian Rd = 0 keo dai
them nhieu; neu t so be rong xung CP vi chu k cua no la
50% th thi gian tng ng Rd = 0 la mot na chu k xung
CP.
Neu bo em nh phan cau truc bang mach kch
bang sn dng xung em CP th khong can cong NOT
na, mach ien nh hnh 6-2-37.
Neu khong co yeu cau ac biet cao ve o tin cay,

Hnh 6-2-37.
Bo em nh phan kch sn dng

noi chung ngi ta van hay dung mach ien n gian hnh 6-2-35.
S dung bo em IC, cau ttruc bo em N phan rat n gian tien li. Cach lam nay
cung kha kinh te. Dung 2 IC bo em nh phan 4 so co the cau truc bo em N = 1 \ 256.
Dung 2 IC bo em thap phan co the cau truc bo em N = 1 \ 100. Hnh 6-2-38 bieu th
bo em N = 84
cau truc t 2IC bo em thap phan.

Hnh 6-2-38. Bo em N = 84.


a) S o nguyen ly
b) Mach cai tien

149

Hnh 6-2-39 la bo em N = 12 cau truc t bo


em nh phan d bo 4 so (IC). Phan trong khung net
t la s o logic cua IC. Noi QA va B vi nhau th
c bo em thuan nh phan d bo 4 so. Roi can c
vao quy nh phan hoi xoa ve 0, ta noi QD vi Ro(1) , Qc
vi Ro(2) th bay gi co bo em thuan d bo N = 12.
Xung em CP a en au vao A.

Hnh 6-2-39. Bo em thuan d bo N = 12

6.3. BO NH
Bo nh la mot linh kien quan trong cua mach so. Bo nh thng c dung e
lu gi tam thi d lieu, lenh... Mot Flip Flop co the nh 1 bit (nh phan), muon nh ma
nh phan n bit phai dung n Flip Flop.
6.3.1. Bo nh c ban
Mach ien nay ch co chc nang tiep nhan tn hieu nh phan ma hoa va xoa tn
hieu a nh trc. Can c vao s khac biet trong cach tiep nhan tn hieu nh phan ma
hoa, bo nh c ban chia lam hai loai : hai nhp va mot nhp.
1)

Cach tiep nhan hai nhp

Hnh 6-3-1.Cach tiep nhan hai nhp

a)

Cau truc mach ien

Hnh 6-3-1 la bo nh 4 bit do cac Flip Flop RS c ban cau truc nen. Mach ien
co 4 au vao d lieu D4 D3 D2 D1 mot au vao xoa, mot au vao ieu khien tiep nhan
d lieu va 4 au ra Q4 Q3 Q2 Q1.
b)

Qua trnh tiep nhan tn hieu nh phan ma hoa

au tien phai xoa ve 0 : Dung xuyen am (goi la xung xoa hay xung Reset) a
cac FF eu lat ve 0.
150

Sau o la nap so lieu : Dung xung dng (goi la xung tiep nhan hay xung nap
solieu) e m thong cac cong 1 \ 4, a t ma D4 D3 D2 D1 nap vao bo nh va lu giu
lai.
T phng trnh ac trng cua Flip Flop RS c ban, sau khi xoa ve 0:

Q4n Q3 Q2n Q1n = 00000


Khi xung nap xuat hien :

Q4n + 1 Q3n + 1Q2n + 1Q1n + 1 = D4 D3 D2 D1


V ca qua trnh cong tac gom 2 bc, nen c goi la cach tiep nhan hai nhp
2)

Cach tiep nhan mot nhp (mach ien 6-3-2)

Hnh 6-3-2. Cach tiep nhan mot nhp.

Trong hnh 6-3-2, F4 F3 F2 F1 la 4 Flip Flop RS c ban, D4 D3 D2 D1 la au vao so


lieu, Q4 Q3 Q2 Q1 la au ra, ngoai ra con co au vao ieu khien tiep nhan. Neu xem xet
toan bo cac FF va cac cong cua no mot the th mach ien 6-3-2 thc te la do 4 mach
chot D cau truc nen, vi au vao ieu khien tiep nhan la au vao xung ong ho cua
mach chot, tc la CP.
Phng

trnh

ac

trng cua mach chot D la

Q n +1 = D

vi

ieu kien xuat hien CP.


Vay trong mach ien
hnh 6-3-2, khi xuat hien
xung tiep nhan, Flip Flop
chuyen oi trang thai

Hnh 6-3-3. Bo nh tiep nhan mot nhp dung Flip


Flop D.

151

Q4n + 1 Q3n + 1Q2n + 1Q1n + 1 = D4 D3 D2 D1


tc la bo nh tiep nhan va lu giu tn hieu nh phan ma hoa. Mach ien nay
hoan thanh chc nang bo nh ch trong mot bc, nen c goi la mah tiep nhan mot
nhp. Ro rang chung ta co the dung cac loai Flip Flop D, RS, JK e cau truc bo nh c
ban. Hnh 6-3-3 gii thieu bo nh 4 bt dung Flip Flop D
3) IC bo nh c ban
Vi mach bo nh c ban bao gom cac Flip Flop va cac ong ieu khien lien quan
c che tao trong mot chip. Can c vao s khac biet ve cau truc, cac vi mach bo nh
phan thanh bo nh n (ch co 1 bo nh trong 1 vo) va bo nh ghep (mot so bo nh
c ong goi chung trong 1 vo).
Hnh 6-3-4 la bo nh 4 bt dung Flip
Flop D, ngoai ra con hay gap cac bo nh kep 2 x
5, 2 x 6 v.v... Cac bo nh do mach chot cau truc
nen thng co mach chot cau truc nen thng co
mach chot hai chieu 8 bt, mach chot 4 bt co
au vao xoa va mach chot kep 2 x 4. IC bo nh
ghep ien hnh co bo nh 4 x 4,8 x 2 v.v...

Hnh 6-3-4.IC bo nh 4 Flip


Flop D

.6.3.2. Bo ghi dch


Bo ghi dch ngoai chc nang lu gi ra, con co chc nang dch tng bt theo nhp
xung ong ho. D lieu lu gi trong bo ghi dch di tac dung cua xung dch (shist) co
the tuan t dch trai hay dch phai.
1)
Hnh

Bo ghi dch mot hng


6-3-5 la bo ghi dch mot

hng cau truc bang Flip Flop D. au ra Q


cua moi FF tuan t noi en au vao D cua
FF tiep sau; ch co au vao D cua FF th
nhat tiep nhan d lieu.
C moi khi xuat hien sn dng
xung ong ho th d lieu ma hoa c dch
vao F1, ong thi trang thai cua moi FF
cung dch en FF tiep theo. Gia s t ma
au vao la 1011, di tac dung cau xung
dch, th nh huong dch cua t ma trong
bo ghi dch nh bang 6.3.1. Co the thay
152

Hnh 6-3-5. Bo ghi dch not hng.

rang, sau khi co 4 xung CP, t ma 4 bt 1011 a dch va het vao bo ghi dch. Luc nay,
co the lay ra 4 bot song song cua t ma 1011 t 4 au ra Q cua cac FF.
Bang 6-3-1. TNH HUONG DCH T MA RTONG BO GHI DCH
CP

T ma trong bo ghi dch

Th t

F1

F2

F3

F4

Flip Flop cuoi cung (au ra Q) co the lam au ra noi tiep cua t ma. Muon the
ch can qua thi gian 4 xung ong ho na th 4 bot noi tiep cua t ma c dch ra
ay. o la phng phap a ra cac bit noi tiep. Vay mach ien hnh 6-3-5 c goi la
bo ghi dch mot hng vao noi tiep, ra noi tiep / song song.

Hnh 6-3-6. Bo ghi dch mot hng vao noi tiep/ song song.

au vao bo ghi dch cung co the dung cach vao song song Hnh 6-3-6 la bo ghi
dch vao noi tiep / song song, ra noi tiep.

153

Khi vao song song th dung cach tiep nhan ra noi tiep hai nhp. Nhp th nhat :
xung xoa a en au Rd xoa tat ca cac FF, nhp th hai : xung tiep nhan a en m
cac cong e tiep nhan d lieu au Sd.
Trong mot chp IC hien nay, bo ghi dch co t ma dai nhieu bt.
2)

Bo ghi dch hai hng

Hnh 6-3-7. Bo ghi dch hai hng.

Xet mach hnh 6-3-7. D lieu co the tuan t dch t F1 en F4 (dch phai),
cung co the dch t F4 en F1 (dch trai), vay chung ta goi ay la bo ghi dch hai
hng.
Khi tn hieu dch phai bang 1, cong AND ben trai cua machau NORAND
m thong au Q cua FF ben trai thong qua NORAND (a ao mc logic) vao au
vao D cua FF ben phai (tng ng au Q cua FF trai noi vao D cua FF ben phai
lien ke). Vay khi xung dch phai xuat hien, d lieu c dch t trai sang phai.
Ngc lai, khi tn hieu dch trai bang 1, th d lieu dch t phai sang trai. au vao
la tn hieu ao, qua mach NORAND ao pha, tn hieu c lu gi trong bo nh.
Neu tn hieu au vao khong ao, th tn hieu c lu gi la ao.
3)

Vi mach bo ghi dch MSI

Hnh 6-3-8. Bo ghi dch MSI

154

Hnh 6-3-8. Bo ghi dch MSI


Hnh 6-3-8 la vi mach bo ghi dch MSI 4 bt hai hng tnh nang mach.
Mach ien dung phan t nh la Flip Flop RS master slave mac thanh FFD, co hai
au DR (dch phai) va au vao d lieu noi tiep D1 (dch trai), mot au vao xoa,
mot au vao ong ho CP, 4 au ra QA QB QC QD.
4 mach NORAND lam thanh bo chon la 4 ng thong. Cac tn hieu So ,
S1 ieu khien s chon la.
Phan t nh la Flip Flop D, co phng trnh ac trng:
Q n +1 = D
Khi So,S1 = 00, t s o logic, ta co:
n

Q An+1 = Q A = Q An , Q Bn + 1 = Q B = Q Bn , QCn + 1 = Q C = QCn ; Q Dn + 1 = Q D = Q Dn


(6-3-1)
Xung ong ho xuat hien th bo nh gi nguyen trang thai.
Khi SoS1 = 01, ta co :
n

Q An+1 = D L = D L , QCn + 1 = Q D = Q Dn ; Q Dn +1 = Q Cn = QCn , Q An + 1 = Q B = Q Bn


(6-3-2)
Vi tac dung cua xung ong hho, bo nh cong tac che o dch trai tn
hieu vao noi tiep.`
Khi SoS1 = 11 , ta co

Q Dn+1= D = D , QCn +1 = C = C ; Q Bn +1 = B = B , Q An +1 = A = A
(6-3-3)
Khi xuat hien xung ong ho, bo nh tiep nhan tn hieu au vao song song.
Khi SoS1 = 10, ta co:

Q An+1= D R = D R , Q Bn +1 = Q nA = Q An , QCn +1 = Q nB = QBn , QDn +1 = Q Cn = QCn (


6-3-4)
Vi tac dung cua xung ong ho, bo nh cong tac che o dch phai tn hieu vao
noi tiep.
Neu o au vao Rd co xung dng, th bo nh b xoa ve 0. bang 6-3-2 tom tat
chc nang bo ghi dch a gii thieu nh tren.

155

Bang 6-3-2 BANG CHC NANG


Chc nang

Rd

So

S1

CP

Xoa ve 0

gi nguyen trang

dch trai

vao d lieu song


song dch phai

6.3.3. V du ve ng dung bo nh
Bo nh, ac biet bo ghi dch, co ng dung ra rong rai : bien oi t ma nh phan
t cac bt noi tiep thanh cac bt song song va ngc lai, dung e cau truc bo em kieu
ghi dch rat tien li v.v... ay trnh bay lam v du ve bo em kieu ghi dch.
Neu em tn hieu au ra cua bo ghi dch phan hoi theo mot cach xac nh en
au vao noi tiep Dn (au vao ong bo cua Flip Flop D Fn) th co the cau truc thanh bo
em cho nhieu ma ac thu khac nhau. Hnh 6-3-9 gii thieu mot cau truc nh vay.
Dung mach ghi logic phan hoi khac nhau th co c cac bo em khac nhau. Di ay
trnh bay may mach ien thng dung.

Hnh 6-3-9. Bo emkieu ghi dch

1)

Bo em vong

a) Cau truc mach ien


Lay Dn = Q1 , tc la noi au ra Q1 cua
P1 vao au vao Dn cua Fn. Vay khi o cac

Hnh 6-3-10.Bo em vong

Flip Flop tao thanh mach vong, nen co ten la


bo em vong ; thc chat la bo ghi dch t tuan hoa. Hnh 6-3-10 la bo en vong n = 4.
b) Nguyen l cong tac
156

Bang phng phap phan tch logic, ta co the tm c o hnh tranh thai cua bo
em vong, nh hnh 6-3-11

Hnh 6-3-11.Bo hnh trang thai cua bo em vong

Nhan xet hnh 6-3-11: khi tac dung xung em au vao CP, mach tuan hoan dch
1, cung co the tuan hoan dch 0. neu chon dung tuan hoa dch 1 th cac trang thai cua bo
em la 1000, 0100, 0010, 0001. Khi cong tac, au tien nen dung xung khi ong a bo
em vao trang thai s dung, v du 1000, sau o hay tac ong CP vao.
c) Van e t khi ong
o hnh trang thai cho chung ta biet rang bo
em loai nay khong the t khi ong gia nh chu
tac ong cua nhieu hay s co nguon ien ma mach
ri vao trang thai khong c dung th bo em se mai
mai nam trong vong tuan hoan khong c dung ; ch

Hnh 6-3-12. Bo em vong


4 bt t khi ong

co khi ong lai th mach mi co the tr ve trang thai


c dung.
Hnh 6-3-12 la bo em vong 4 bt co the t khi ong t s o logic ta co phng
trnh kch

D4 = Q 4n Q 3n Q 2n

D3 = Q4n

D2 = Q3n

D1 = Q2n

(6 3 5)

Thay cac gia tr tren vao phng trnh ac trng cua Flip Flop D, ta co :

Q4n +1 = Q 4n Q 3n Q 2n

Q3n = Q4

Q2n +1 = Q3n

Q1n +1 = Q2n

(6 3 6)

Gia nh trang thai au la 0000, tuan t thay vao (6-3-6) tien hanh tnh, ta c
bang 6-3-3

Bang 6-3-3. BANG CHC NANG

157

Q4n

Q3n

Q2n

Q1n

Q4n+1 Q3n+1

Q2n+1

Q1n+1

Hnh 6-1-13 la o hnh trang thai cua mach hnh 6-3-12


Hnh 6-3-13. o hnh
trang thai cua bo em vong
co the t khi ong.

d) ac iem
u iem cua bo em vong : tat ca cac Flip Flop bnh thng ch chon mot Flip
Flop trang thai 1 (nhng Flip Flop con lai trang thai 0). Vay co the lay au ra cua
bo em vong t au Q cua cac Flip Flop ma khong can bo giai ma. Khi lien tuc co xung
CP au vao, cac au ra Q, Q cua cac Flip Flop se cho ra xung vuong luan lu. V the
mach ien nay con goi la bo phan phoi xung vong.

158

Nhc iem : hieu suat s dung trang thai la thap, nh N so can N Flip Flop (can
dung nhieu Flip Flop).
2) Bo em vong xoan
ac iem cau trcu cua bo em vong xoan la

Dn = Q 1n

(6 3 7 )

Xem s o logic va o hnh trang thai cua mach em vong xoan 4 bt hnh 6-314 co 8 trang thai c dung. Co 8 trang thai khong c dung. Mach khong the t khi
ong. Trc khi em, can thiet lap trang thai 0000 cho bo em vong xoan.
Hnh 6-3-14
Hnh 6-3-15 bo em vong xoan
co the t khi ong

Hnh 6-3-15. Bo em vong xoan 4 bt co the


t khi ong.

ac iem cua bo em vong xoan la ch co mot Flip Flop duy nhat chuyen oi
trang thai trong moi lan em; vay khong ton tai nguy hiem chau ua khi giai ma, hn
na cac cong giai ma eu ch can hai au vao. Nhc iem cua bo dem vong xoan
van la cha tan dung het cac trang thai bo em. Trong bo em n bt (n 3) th co 2n
2n trang thai khong c dung.
2)

Bo em kieu ghi dch o dai cc ai

Y noi bo em kieu ghi dch co o dai em N = 2n 1. mach logic phan hoi cua
bo em nay dung cong XOR. Bang 6-3-4 la logic phan hoi khi n = 3 12
Bang 6-3-4. LOGIC PHAN HOI CUA BO EM KIEU GHI DCH O DAI
CC AI
Bo ghi dch n bt

Logic phan hoi

D3 = Q1 Q2 , Q1 Q3
159

D4 = Q1 Q2 , Q1 Q4

D5 = Q1 Q3 , Q1 Q4

D6 = Q1 Q2 , Q1 Q6

D7 = Q1 Q2 , Q1 Q7

D8 = Q1 Q3 , Q4 Q5 , Q1 Q5 Q6 Q7

D9 = Q1 Q5 , Q1 Q6

10

D10 = Q1 Q4 , Q1 Q8

11

D11 = Q1 Q3 , Q1 Q10

12

D12 = Q1 Q2 , Q5 Q7 , Q1 Q7 Q9 Q12

Hnh 6-3-16 la s o logic va o hnh trang thai cua bo em kieu ghi dch o dai
cc ai 3 bt. Bat ke nhieu t 0, logic cong XOR la O. Vay trong bo em nay, trang thai
toan 0 khong c dung, cau thanh
vong khong c dung.
Hnh 6-3-16. Bo em kieu
ghi dch o dai cc ai 3 bt.
a)Khong the t khi ong;
b)Co the t khi ong.

Trong bo em kieu ghi dch o dai cc ai th cac trang thai cua bo em tng
oi c tan dung, logic phan hoi rat n gian. Vay no kinh te hn bo em nh phan
ong bo. Nhat la khi o dai em kha dai th u iem nay cang ro ret.
3)

Thc hien phng phap t khi ong cua bo em ieu khien ghi dch\

Cac mach c ban cua bo em : vong, vong xoan, kieu o dai cc ai v.v... eu
khong the t khi ong. Muon gi nguyen cac trang thai c dung cua cac bo em,
ma mach co the t khi ong, ta phai giai quyet
van e o nh di ay.
a)

Cac bc c ban:

-Ve ra mach ien c ban va o hnh trang


thai cua no.

Hnh 6-3-17 .Bo em vong


xoan 3 bt.
a) S o logic;
b) o hnh trang thai.

160

- Sa oi quan he chuyen oi trang thai khong c dung, thc hien t khi


ong cua o hnh trang thai.
Tm logic phan hoi

b) V du : thiet ke bo em vong xoan 3 bt co the t khi ong.


Ve ra mach ien c ban va o hnh trang thai cua no
Xem hnh 6-3-17
Sa oi vong trang thai khong c dung, thc hien t khi ong
Cong viec sa oi la cat t vong trang thai khong c dung, noi ghep trang
thai khong c dung tai cho t vao trang thai c tng ng e thc hien t khi
ong. Xem hnh 6-3-18.

Hnh 6-3-18. o hnh


trang thai cua mach co the t
khi ong.

Khi ghep noi trang thai khong c dung can chu y rang t Flip Flop tng ng
bt cao nhat Fn en Flip Flop tng ng bt thap nhat F1 co quan he ghi dch bt co nh;
trang thai hien tai cua bt cao hoan toan quyet nh trang thai ke tiep cua bt thap;
chung ta ch sa oi c trang thai ke tiep cua Fn (trong v du nay la F3 ). Can c vao
vong trang thai ke tiep cua F3 la 1. Neu bo em lac vao vong trang thai khong c
dung, no chuyen en 101, i xung CP na th t ong theo cach ghep noi trang thai
cua chung ta, chuyen en 110.
Tm logic phan hoi.
au tien can c quan he logic can e t khi ong, ta ve ra bang Karnaugh
n +1
cua Qn

n +1

(trong v du nay la Q3

n +1

), roi tim ham logic cua Qn

Tiep theo, ta so sanh vi phng trnh ac trng


cua Flip Flop Fn , tc la tm ra logic phan hoi.
T hnh 6-3-18 ta ve bang Karnaugh hnh 6-3-19
Hnh 6-3-19. Bang Karnaugh

T hnh 6-3-19, ta co:

Qnn +1 = Q 1n + Q3n Q n2

cua

(6 3 8)

Phng trnh ac trng cua Flip Flop D la:


161

Q3n +1

Q n +1 = D
Vay D3 = Q 1 + Q3 Q 2
n

(6 3 9)

Ve s o logic

D3 = Q 1n + Q3n Q n2 = Q1n Q 3n Q n2

xem hnh 6 3 20
Hnh 6-3-20. Bo em vong
xoan co the t khi ong.

Can noi ro rang, neu mach ien co nhieu trang thai khong c dung, th trong
so o co trang thai co the trc tiep chuyen thanh trang thai c dung, nhng rang thai
khac co the qua mot hay nhieu trang thai khong c dung trung gian trc khi chuyen
thanh trang thai c dung. (The hien trong o hnh trang thai t khi ong).
6.4. BO TAO XUNG TUAN T
Trong cac thiet b ieu khien k thuat so va may tnh so thng yeu cau cac phep
toan va thao tac phai tien hanh tuan t theo quy nh trc mot cach chnh xac. Do o
phan ieu khien khong ch tao ra cac tn hieu ieu
khien o. Phng phap hay dung nhat la s dung bo
tao xung tuan t (bo phat xung nhp) e tao ra cac
xung tuan t co th t thi gian trc sau; nhng
cung nay lam chuan thi gian cho s hiep ong cua
cac bo phan trong toan may.
Bo tao xung tuan t thong thng bao gom
bo em va bo giai ma nh hnh 6-4-1a.
Xung ong ho thi gian chuan c a vao
au vao bo em. Nh bo giai ma se bien oi cac
trang thai bo em thanh xung tuan tren cac au ra
nh hnh 6-4-1b.
Bo em hnh 6-4-1a la d bo. Khi xuat hien
xung ong ho th cac FF khong lat ong thi ma co
trc co sau so vi nhau. Ngoai ra, trong moi lan
chuyen oi trang thai, co the co nhieu FF cung lat,
nen sinh ra hien tng nguy hiem: chay ua. Do o,
162

Hnh 6-4-1. Bo tao xung tuan t.


a) S o logic
b) Dang song

tao ra xung nhieu au ra bo giai ma (cua bo tao xung tuan t); xung nhieu oi khi
c goi la tap am qua o. V du, nh hnh 6-4 1b: khi bo em chuyen t 001 thanh
010, gia s F1 lat sang 0 trc F2 lat thanh 1, trong thi gian qua o se xuat hien trang
thai 000 (ngan ngui).
Do o, co xung hep sinh ra tren au ra 0. Tng t, khi t 011 thanh 100 th
cung co the xuat hien xung nhieu qua o tren au ra 0. Cac au ra khac cung co the
xuat hien xung nhieu qua o. Chang han, tren ay 2 khi t 101 thanh 110...
Co nhng phng phap sau ay e tr bo xung nhieu qua o :
1) Dung bo em vong. Cung ta a xem xet mach ien bo em vong
tiet 6-3-3. V au ra Q cua moi Flip Flop a la xung tuan t, khong can bo
giai ma, nen tuy rang co the co nhieu FF lat khi bo em chuyen oi, van
khong the sinh ra xung nhieu qua o.
Nh ta a, nhc iem cua mach nay la khong kinh te: c tang them mot
au ra phai co them mot Flip Flop .
2) Dung bo em moi lan tr so em thay oi ch co mot Flip Flop lat.
Thuoc ve loai nay la bo em dung ma Gray va bo em vong xoan (bo em
Johnson). V du: hnh 6-4-2.

Hnh 6-4-2. Bo tao xung tuan


t dung bo em vong xoan
a) s o logic; b) Dang song

3) ng dung phng phap tr bo hien tng nguy hiem chay ua a


gii thieu tiet 4.9.2. V du, dung xung em au vao e khoa cong giai ma.

Hnh 6-4-3. Dung xung ong ho e khoa


cong giai ma tr bo chay ua.
a) Kch bang sn am;
b) Kch bang sn dng

163

Khi kch FF bang sn am CP, dung CP khoa cong giai ma nh hnh 6-43a. Khi kch FF bang sn dng CP th dung CP khoa cong giai ma nh hnh
6-4-3b. Xung au ra bay gi tuy van tuan t theo thi gian, nhng khong con noi
sat nhau na.
S dung bo em ong bo co ve khong sinh ra nhieu, v tren l thuyet cho
la tat ca cac FF eu lat ong thi. Thc ra, cac FF eu khong the hoan toan nh
nhau, phu tai va day noi lap rap cua cac FF cung khong hoan toan giong nhau,
ngha la cac FF khong hoan toan ong thi cung lat, cung tc la khong the triet
e tr bo chay ua c.
6.5. BO NH RAM VA DUNG CU GHEP IEN TCH CCD
6.5.1. Bo nh RAM (Random Access Memory bo nh oc / viet)
RAM la mot phan khong the thieu cua may tnh ien tue so. Trc ay,
RAM la bo nh bang xuyen t. Hien nay, RAM la bo nh ban dan, ; RAM ban
dan co u iem toc o ln, the tch nho, dung lng ln, tiet kiem ien nang va
o tin cay cao.
1) Ket cau cau RAM ban dan
Trong may tnh ien t so, ca chng trnh va so lieu eu bieu th bang so
nh phan RAM ban dan la vi mach (IC) c ln, bao gom hang tram, nghn
phan t nh e lu gi so nh phan o. Nh ra a biet, mot phan t nh co the
nh 1 so nh phan (bit). Can c vao so bt, RAM co 2 hnh thc ket cau nhieu t
1 bit, moi to chc c s cua bo nh ch nh 1 bit. V du, RAM 1024 x 1 la bo nh
co 1024 phan t nh 1 bit. Trong ket cau nhieu t nhieu bit, moi to chc c s
cua bo nh lu gi nhieu bit. V du, RAM 256 x 4 la bo nh co 256 phan t nh
4 bit. Tch so cua so phan t c s va so bit moi phan t o la dung lng cua bo
nh RAM. V du, RAM 16384 x 1 co dung lng 16 Kbit (1 Kbit = 1024 bit).
Noi chung RAM ban dan co cau truc nhieu t 1 bit.
Hnh 6-5-1 la s o khoi ien hnh cua RAM, no bao gom cac khoi sau:

Hnh 6-5-1. Ket cau cua


RAM.

164

a) Bo giai ma a ch. Trong RAM co rat nhieu phan t nh; e phan


biet, tng phan nh c gan mot a ch. Moi lan oc hoac viet ch co the
lam viec vi mot phan t nh co a ch a cho. Hoac la viet vao phan t xet,
hoac la oc ra noi dung a viet vao trc o, qua trnh nay goi la truy nhap
xuat bo nh.Ma

nh phan bieu

th a ch can truy nhap truy xuat c a vao bo giai ma a ch. Sau khi
a giai ma, au ra ta co tn hieu tch cc mot day tng ng e m thong
ng vao/ra cho tn hieu d lieu c viet/doc vao phan t nh co a ch
o.
b) ieu khien oc/viet. oi vi phan t nh c chon theo a ch,
s oc ra hay viet vao tuy thuoc s ieu khien cua tn hieu ieu khien
oc/viet. V du, R/W, mc logic 1 la oc, mc logic 0 la viet. Cung co RAM
co hai trng day tn hieu rieng e ieu khien oc/viet.
c) au vao/ra. Bang cac au vao/ra ma RAM trao oi tin tc vi bo
x ly trung ng (CPU-Central Processing Unit). Cac ng day d lieu la
hai chieu, do tn hieu ieu khien oc/viet ma khi oc, no la au ra; khi viet,
no la au vao. So au vao/ra nay phu thuoc vao so bit trong mot a ch. V
du, RAM 1024 x 1 moi a ch la cua mot phan t nh nen tng ng ch co
mot au vao/ra. RAM 256 x 4 moi a ch la cua 1 nhom 4 phan t nh nen
tng ng co 4 au vao/ra. Cung co RAM ay d lieu vao rieng, day d lieu
ra rieng. au ra noi chung la mach ra 3 trang thai hay mach h cc gop
(colect)
d) ieu khien cho chip. Do s han che ve kha nang tch hp RAM
tren moi chp, bo nh RAM cua may tnh phai do nhieu chp RAM ghep noi
logic vi nhau. Khi CPU truy nhap truy xuat bo nh theo a ch th a ch
o thng tng ng tn hieu chon chp dan vao chp RAM nao mc tch
cc th chp nham muc ch o. Neu tn hieu chon chp dan vao chp RAM
nao mc tch cc th chp o c chon. Tn hieu au ra bo giai ma cua
chp xet se ieu khien m thong ng vao/ra chip RAM khac khong co tn
hieu chon chp mc tch cc th khong c chon, ngha la b ngt khoi lien
he vi CPU)
e) Ma tran nh. Cac phan t nh cua RAM thng c bo tr dang
ma tran, goi la ma tran nh. au ra bo giai ma a ch ieu khien s noi/ ngat

165

gia phan t nh trong ma tran vi au vao/ra cua bo nh. Phan t nh tng


ng vi a ch c giai ma c noi thong, nhng phan t khac b ngat.
Hnh 6-5-2 la ma tran nh va
bo giai ma a ch cua RAM thng
c bo tr dang ma tran, goi la ma
tran nh. au ra bo giai ma a ch
ieu khien s noi/ngat gia phan t
nh trong ma tran vi au vao/ra
cua bo nh. Phan t nh tng ng
vi a ch c giai ma c noi
thong, nhng phan t khac b ngat.
Hnh 6-5-2 la ma tran nh va
bo giai ma a ch cua RAM 1024 x
1. Ma tran nay co hnh thc ket cau
nhieu t 1 bit, cau truc thanh ma tran
32 x 32, moi khoi vuong nho trong hnh

Hnh 6-5-2. Ma tran nh RAM


1024x 1 bit

ve la mot phan t nh; s noi thong vi mach ngoai cua phan t nh do tn hieu
au ra bo giai ma a ch ieu khien. Co 10 day a ch, tng ng 1024 a ch
cua 1024phan t nh. Giai ma a ch phan lam hai: bo giai ma hang va bo giai
ma cot. Cac day a ch AO, ..., A4 la au vao bo giai ma hang. au ra bo giai
ma hang la 32 day XO , X1 , ..., , X31 la cac day chon hang; cac day a ch A5 ,
..., A9 la au vao bo giai ma cot. au ra bo giai ma cot la 32 day YO , Y1,..., Y31
la cac day chon cot. Day chon hang Xi ieu khien s noi thong cua moi phan t
nh trong hang tng ng cua ma tran nh vi day bit. Day chon cot Yj ieu
khien s noi thong cua day bit moi cot tng ng vi day d lieu D , D . V du,
khi XO = 1 , YO = 1, 32 phan t nh 0-0 0-31 cua hang th nhat trong ma tran
nh c noi thong vi day bit tng ng. Trong 32 oi day bit th ch co oi day
c YO ieu khien mi noi thong vi day d lieu. Vay ch co moi phan t nh
0-0 la c noi thong vi day d lieu. Phan t nh 0-0 tren ay c oc hay
c viet, van e nay do tn hieu day oc/viet ieu khien. Neu la oc th tin tc
lu tr trong phan t nh 0-0. Tng t, Khi X31 = 1 th phan t nh 31-31 c
noi thong vi day d lieu, vi ieu khien oc/viet, CPU thong qua BU d lieu,
au vao/ra, ay d lieu thc hien oc ra hay viet vao phan t nh 31-31.
Hnh 6-5-3 la mach ien tng oi n gian dung e ieu kien oc/viet. Cac
cong 1 5 lam thanh bo phan ieu khien oc/viet.
166

Khi CS = 0 ; R/W = 1 th K R = 0 K w = 1 thc hien oc.


Khi CS = 0 ; R/W = 0 th K R = 1 K w = 1 thc hien viet.
Cac cong 6,7 va ban dan MOS T1, T2 lam
thanh mach au ra 3 trang thai.
Khi K R = 0 ; K w = 1 th cong 11, 12
ngat, cong 6,7 san sang. D lieu D qua cong 8
ao pha, qua mach 3 trang thai roi ra au ra I/O :
I/O = D = D.
Vay mach a thc hien oc.
Khi K R = 1 ; K w = 0 th cac cong 6,7 b
ngat, au ra cua chung la mc thap lam cho ban
dan T1, T2 b khoa, mach ra 3 trang thai the hien tr
khang cao. Trong khi o cac cong 11, 12 san sang,

Hnh 6-5-3.Mach ieu khien


oc/viet cua RAM

ng vao cho tn hieu d lieu c m thong qua


cac cong 9, 10, 11, 12, 13, 14 vi D = I/O va D = I / O , tc thc hien viet d lieu vao
bo nh.
Khi CS = 1 ; K R = 1 ; K w = 1, ngha la khi chip RAM khong c chon th
mach 3 trang thai the hien tr khang cao va cac cong 11, 12, cung b ngat, I/O b ngat
khoi D, D , tc la khong oc, khong viet: RAM a gii thieu hnh 6-5-2 lu gi 1 bit
1 a ch. Thc te thng dung lu gi 8 bit hay 16 bit a ch. Vay nen phai ghep
noi song song cac RAM nhieu t 1 bit. Hnh 6-5-4 di ay gii thieu 8 chip RAM 1024
x 1 cau truc thanh bo nh RAM 1024 x 8 . Trong hnh nay, cac day a ch, day
oc/viet, day chon chp eu noi song song, con cac day I/O c dung rieng re.

Hnh 6-5-4. Bo nh RAM 1024


x 8 bit dung 8 chp RAM 1024 x

2) Phan t nh RAM tnh


Phan t nh la hat nhan cua bo nh. Can c s khac biet nguyen l lam viec,
chung phan thanh RAM tnh va RAM ong. Can c vao cong nghe san xuat, chung
phan thanh loai lng cc va MOS. Vay hnh thc mach ien rat a dang. Di ay
trc tien gii thieu RAM tnh.
167

a)

Phan t nh NMOS 6

bong ban dan


Mach ien xem hnh 6-5-5. T1 va
T2 lam thanh bo ao pha. T3 va T4 cung
lam thanh mot bo ao pha khac. au
vao au ra cua hai bo ao pha noi cheo
nhau tao thanh mot Flip Flop RS c
ban, ngha la cau truc nen phan t nh.
T1 thong T3 ngat la trang thai O; T3
thong va T1 ngat la trang thai 1 T6 la
cac bong ban dan ieu khien. Day Xi
ieu khien T5=, T6 thong hoac ngat , do o ieu
khien s noi thong au ra cua Flip Flop vi

Hnh 6-5-5. Phan t nh RAM tnh


6 bong ban dan MOS

day bit. Khi Xi = 1 th T5, T6 thong, do o FF thong vi day bt. Khi X1 = 0 th T5 , T6


ngat, do o FF b ngat khoi day bit. T7, T8 la cac bong ban dan ieu khien s noi thong
day bit vi day d lieu bang tn hieu day Yj, nguyen l lam viec tng t T5 , T6. Khong
phai moi phan t nh eu co rieng T7, T8 nay ; ma T7 , T8 la dung chung cho ca cot
phan t nh (xem hnh 6-5-5). Vay ch phan t nao ma cac gia tr Xc , Yj cua no eu la
1 th mi c noi thong vi day d lieu, ngha la mi co the tien hanh oc/viet oi vi
phan t o. Ta noi phan t nh i-j a c chon. Bat k thi iem nao th cung ch co
mc 1. Ngha la khi truy nhap truy xuat, luc nao cung ch co mot phan t nh c
chon; cac phan t nh khac gi nguyen trang thai, tc lu gi khong oi noi dung a ghi
vao.
b)

Phan t nh CMOS 6 bong ban dan (xem hnh 6-5-6). Cau truc va

nguyen l cong tac giong nh mach hnh 6-5-5.


T1 T4 lam thanh Flip Flop RS c ban. T7 , T6 la
bong ieu khien s noi thong FF vi day bit. T7,
T8 la bong ieu khien s noi thong day bit vi
day d lieu. ac iem cua mach nay la bong
ban dan phu tai cua bo ao pha loai MOS kenh
P tang cng. Phan t nh CMOS ch can tieu
ton rat t ien nang (c W). Vay rat tien dung

168

Hnh 6-5-6. Phan t nh RAM


tnh 6 bong CMOS

pin thay the khi li ien b s co nham gi gn thong tin a ghi vao bo nh.
c)

Phan t nh lng cc (xem hnh

6-5-7). Mach gom 2 tranzito nhieu emit noi


vao day X, oi emit con lai noi rieng re vao
day bit B, B .
trang thai lu gi so lieu, ien the day
X c 0,3V, ien the day bit c 1,1V. Khi o,
dong ien cua tranzito thong se chay ra day X,
lp tiep giap ban dan noi vi day bit phan cc
nghch lam h mach day bit vi FF.
Trang thai phan t nh co the la 0 (T1
thong, T2 ngat) hoac co the la 1 (2 thong, T1

Hnh 6-5-7. Phan t nh lng cc

ngat).
Khi phan t nh c chon (e vao/ra d lieu), ien the day X len cao en c
2,2V, ien the day bit be hn, nen dong ien qua tranzito thong se chay ra day bit.
Gia s can oc ra, th ch can xac nh dong ien tren mot day bit co hay khong.
Chang han xet day bit B : trang thai phan t nh la 1, T2 thong, dong ien ra day B ,
qua bo khuyet ai oc ra ien ap mc 1; trang thai phan t nh la 0, T2 ngat, khong co
dong ien ra day B , au ra bo khuyet ai oc khong co tn hieu (ien ap mc 0).
Gia s can viet vao gia tr 1, th sau mach au vao ta co B = 1 B = 0, tng ng
T1 ngat, T2 thong. Dong ien cua T2 chay ra day bit B . Sau khi day X tr ve mc thap
th dong ien cua T2 chuyen hng chay ra day X, trang thai phan t nh khong thay
oi, hoan thanh viet vao no gia tr 1. Gia s can viet vao gia tr 0. Khi o, B = 0 , B = 1
tng ng T1 thong, T2 ngat.
3) Phan t nh RAM ong
Phan t nh ong MOS s dung ien dung cc ca (gate) cua bong ban dan
MOS e nh tam thi d lieu. Do co s do ien nen ien tch lu giu tren ien dung
cc ca b suy giam dan. Vay e d lieu lu gi khong b mat i, phai bu lng ien
tch b do mat bang cach nh ky nap ien bo sung cho ien dung cc ca. Viec nay
c goi la lam ti d lieu bo nh ong.
Di ay gii thieu may loai phan t nh ong thng gap
a)

Phan t nh ong 4 bong (xem hnh 6-5-8). T1 va T2 noi ghep cheo nhau.

D lieu (dien tch) lu tr tren C1 va C2. ien ap tren C1, C2 ieu khien T1, T2 thong
hoac ngat. Khi C1 nap ien tch (ien ap tren C1 ln hn ien ap cat cua T1) va C2
169

khong co ien tch (ien ap tren C2 nho hn


ien ap cat cua T2) th T1 thong, T2 ngat, tng
ng vi trang thai 0 cua phan t nh. Khi C2
nap ien tch, C1 khong co ien tch th T2
thong, T1 ngat, tng ng vi trang thai 1 cua
phan t nh T3 va T4 la nhng bong ieu khien
s noi thong phan t nh vi day bit. T5 va T6
la mach ien nap trc cua day bit, dung
chung cho tat ca cac phan t nh cung cot
trong ma tran nh. Khi bat au truy nhap truy
xuat bo nh, tren cc ca cua T5 va T6 co xung

Hnh 6-5-8. Phan t nh ong MOS


4 bong

nap trc nen T5, T6 noi thong, do o cac day bit B, B co mc ien ap cao v thong en
nguon ED. Sau khi ket thuc xung nap trc, T5 va T6 ngat, day bit cach li khi nguon
ED. Nhng do tac dung cua ien dung phan bo CB va CB. Khi o, mc ien ap cao cua
day bit co the duy tr them mot khoang thi gian na. Trong khoang thi gian nay, gia
s tien hanh oc d lieu, day X co mc cao, T3 va T4 thong. Gia s phan t nh co trang
thai 0 (T1 thong, T2 ngat) th G1 co mc cao, G2 co mc thap. Luc nay CB phong ien
qua T1 va T3. Do o day bit B bien thanh mc thap. Do T2 ngat, day bit B van mc
cao. Vay d lieu nh trong phan t a c oc ra day bit B va B . Neu luc nay ay Y
cung mc cao th tn hieu se a en au ra cua RAM qua ay d lieu D va D .
Vay mach ien nap trc cua day bit co tac dung g? Trong khoang thi gian T3,
T4 thong, neu day bit khong c nap ien trc th mc cao co c cua day B chi do
C1 phong qua T4 nap vao C B . Neu the, ien tch tren C1 b suy giam. C B co gia tr
tham ch ln hn C1 (v co nhieu phan t noi vao day bit). Vay co the G1 khong gi
nguyen mc cao sau 1 lan oc, tc la d lieu b mat. Nh co mach ien nap trc, ien
the day bit B con cao hn ien the G1 mot t. Vay khi oc d lieu, ien tch tren C1
khong nhng khong he b suy giam, ma con c lam ti nh s nap ien them cho C1
qua T4.
Khi tien hanh viet, au vao d lieu cua RAM se lam thay oi trang thai phan t
nh thong qua day d lieu va day bit, tc la a d l;ieu vao lu giu trong phan t nh.
b)

Phan t nh ong 3 bong

Xem hnh 6-5-9. D lieu lu gi ien dung C cua cc bong T2. Cac day
oc/viet bit va day chon t eu rieng biet. Day chon oc t ieu khien bong T3. Day

170

chon viet t ieu khien bong T1. T4 la bong ban dan mach nap trc dung chung cho cot
phan t nh cua ma tran.
Qua trnh oc dien ra nh sau. au tien day
oc bt c nap trc en mc cao. Tiep theo, day
chon oc t len mc cao lam cho T3 thong. Gia s C
c nap ien en mc ln hn ien ap cat cua T2 lam
T2 thong. CB phong ien qua T3, T2 lam cho day oc
bt mc thap. Gia s C khong co ien tch, th T2
ngat, CB khong co ng phong ien, mc ien tren
day oc bit qua bo khuech ai oc ra a en au ra
bo nh.
Khi tien hanh viet, day chon viet t co mc
cao, T1 thong, d lieu viet vao c a en day viet
bit ieu khien mc ien ap tren ien dung C qua T1.

Hnh 6-5-9. Phan t nh ong


MOS

Vay a a d lieu vao lu gi trong phan t nh.


ien ap tren C khi oc (tc mc G2) ngc pha vi mc ien day oc bit. Mc
ien day viet bt khi viet cung cao cung thap nh mc G2. Neu tien hanh oc mot
cach chu k, a d lieu C ra day oc bt, qua bo ao pha a vao day viet bit, roi tien
hanh viet lai vao phan t nh. o la phng phap lam ti phan t nh mot cach chu k.
c)

Phan t nh ong 1 bong

Xem hnh 6-5-10. mach nay ch dung mot


bong ban dan MOS va mot tu ien. D lieu lu
gi ien dung C1. Bong ban dan T ieu khien s
noi thong e oc/viet; a d lieu t day bit vao
phan t nh hoac a d lieu t phan t nh ra
Hnh 6-5-10. Phan t nh ong
MOS

day bit.

Khi viet, day bit ieu khien mc ien tren C1 qua T. Khi oc, C1 ieu khien mc
ien tren CB, tc a ra d lieu. V du, tren C1 von mc V1, day bit von VB = 0 th sau
khi oc, mc ien tren day bit la V B = V1 x

C1
C1 + C B

Nhc iem cua mach nay la ien tch tren phan t nh C1 b suy giam moi lan
oc. Vay nen can lam ti sau moi lan oc. Ngoai ra, do nhieu phan t noi vao day bit
nen gia tr ien dung CB tng oi ln, CB>>C1. D lieu oc ra co mc ien be; gia tr

171

mc logic 0 va mc logic 1 tren day bit khong khac xa nhau. e xac nh mc ien
chenh lech nho tren day bit can phai dung bo khuech ai oc ra co o nhay cao.
Ba loai phan t nh ong trnh bay tren day eu co u nhc iem. Mach 4 bong
chiem ien tch ln tren chip nhng khong can mach lam ti rieng, qua trnh oc ra
ong thi la qua trnh lam ti; vay mach phu n gian. Mach 3 bong giam dien tch
mot t nhng yeu cau cac day chon oc/viet va day d lieu eu rieng btt, can mach
ngoai ieu khien phan hoi e lam ti; vay day noi vi mach ngoai tng oi nhieu
hn. Mach 1 bong n gian nhat. Nhng yeu cau Bo khuyech ai oc ra o nhay cao va
yeu cau lam ti sau moi lan oc ra. Vay mach ien phu ngoai phuc tap. RAM tnh so
vi RAM ong : So lng ban dan nhieu hn, o tch hp thap hn, nhng khong nhat
thiet nh k lam ti, mach phu n gian, s dung tien.
6.5.2. Dung cu ghep ien tch CCD (Charge Coupled Device)
Dung cu ghep ien tch CCD thuoc cong nghe MOS phat trien t nam 1970. u
iem cua CCD la cau truc n gian, gia thanh ha, o tch hp cao, co the che tao tren
day chuyen cong nghe MOS thong thng. CCD c quan tam nhieu, hien nay a ng
dung trong cac bo nh, x l tn hieu va nhiep anh. Nhc iem cua CCD la toc oc
cham hn bo nh ban dan.
S khac biet trong nguyen l lam viec cua CCD la cho no dung ien tch e
bieu th d lieu, ch khong phai la dong hay ap. Ve c ban, CCD la mot bo ghi dch
ong, nen hien nay dung lam bo nh noi tiep.
1)

Cau truc (xem hnh 6-5-11)

Tren e ban dan Silic loai P (hoac loai N),


ngi ta tao ra mot lp mong cach ien SiO2 c
o

1200 A . Tren lp cach ien nay ngi ta cho


lang ong mot loat cac ien cc 10 x 10 m vi
gian cach <3 m. Moi ien cc va lp e ban dan
vi lp cach ien gia chung tao thanh mot tu
ien. Vay CCD la mot day cac tu ien MOS cau

Hnh 6-5-11. S o mat cat cua CCD.

truc nen. Nhng tu ien nay la phan t nh ma


co hay khong co ien tch bieu th cac mc logic 1 hay 0. ien tch nap vao co the dch
chuyen t tu ien no sang tu ien kia tng t nh s chuyen dch mc trong bo ghi
dch ta a biet.
2)

Lu gi ien tch

172

Gia s a ien ap dng +V1 vao ien cc. Nhng phan t lo trong (hat dan a
so) trong lp Silic ben di ien cc b ay i khoi tao thanh mot tang hao kiet (xem
hnh 6-5-12).
o day cua tang hao kiet tang
theo o ln cua ien ap + V1. Khi ien
ap ien cc ln hn ien ao cat cua cau
truc MOS, + V2 > VT , mot ranh loai N
hnh thanh tren mat e Silic ben di
ien cc o.
Trong bong ban dan MOS, hai

Hnh 6-5-12. CCD lu gi ien tch.

pha la cc nguon va cc mang, gia

chung cac hat dan a so co the khuech tan ve pha e Silic, nen qua trnh tao ra ranh N
la kha dai hn, c nhieu giay ong ho. Trc khi ranh N c tao ra, neu co ien t t
ben ngoai nhap vao tang hao kiet th no se khuech tan ve pha e va tch lu o tao
thanh ien tch lu gi (Xem hnh 6-5-12b). Viec nay xay ra nh tac dung ien trng.
Neu khong co ien t ben ngoai nhap vao th khong co ien tch lu gi tch tu lp e
di ien cc. Ro rang phng phap lu gi
ien tch ch co the lu gi trong thi gian rat
ngan. V neu thi gian u dai th ien t nhiet
tran ngap lp e, bat ke co ien tch ngoai nhap
vao hay khong. Noi cach khac, tien e lam viec
cua CCD phai la lng ien t ben ngoai nhap
vao ln hn nhieu so ien t nhiet. Khu vc ben
di ien cc co the lu gi ien tch c goi
la vung bay ien the.
3)

Chuyen dch ien tch bang cach

thay oi thch hp ien ap a vao ien cc,


ien tch tn hieu lu gi trong vung bay ien
the co the chuyen dch t mien gan ien ccnay
en mien gan ien cc khac , hnh 6-5-13. Ve 6
ien cc, ien cc 1,4 c cap xung ong ho
pha th nhat 1, ien cc 3, 6 c cap xung
ong ho pha th ba 3. Dang song ba pha nh

173

Hnh 6-5-13. S o 3 pha chuyen dch


ien tch cua CCD.

hnh 6-5-13d. Cac pha lech nhau

T
vi T la chu k xung ong ho.
3

Hnh 6-5-13a tng ng thi iem t1 ma 2 = V1, 1 = 3 = V3, luc nay tang hao
kiet hnh thanh ben di tat ca cac ien cc. Do gian cach gia cac ien cc rat nho
nen tang hao kiet cua cac ien cc lien thong nhau. Trong tang, ien the cang gan e
cang thap. Luc nay ien the 2 cao nhat, nen tng ng ien the be mat e Silic di
ien cc 2,5 cung cao nhat. Neu ien t nhap vao vung bay ien the 2 th ien t se
tch tu vung nay va khong chuyen dch.
Hnh 6-5-13b tng ng thi iem t2 ma 3 len mc V1, 2 giam ti mc V2, 1
van duy tr mc thap nhat V3. Luc nay tang hao kiet cua ien cc 3, 6 sau nhat, ien the
be mat e Silic di dien cc 3,6 cao nhat. Vay nhng ien t luc t1 bay ien the 2,
bay gi dch chuyen sang bay ien the 3 (ben canh co ien the cao hn). T1 bay ien
the 5 gia thiet khong co ien t, nen bay gi (t2) cung khong co ien t dch chuyen
sang bay ien the 6.
Hnh 6-5-13c tng ng thi iem t3, khi ma viec chuyen dch ien tch a hoan
thanh, ien tch a dch sang phai mot ien cc va lu gi trong bay ien the 3. Trong
qua trnh chuyen dch o, 1 lien tuc duy tr mc ien the thap nhat e nham phong
nga ien tch dch sang trai.
T t1 en t3 la thi gian

1
chu ky T, ien tch chuyen dch mot khoang gia hai
3

ien cc canh nhau. Vay sau mot chu k T th ien tch chuyen dch ba khoang cach
ien cc. Ba ien cc lan can nhau cau truc thanh 1 bit cua bo ghi dch.
Neu dung hnh dang ien cc khac nhau va so pha ong ho khac nhau th co the
tao ra nhieu cach ieu khien ientch chuyen dch khac nhau.
4)

au vao va au ra

mach CCD thc tien phai co au


vao e a d lieu vao va phai
co au ra e lay d lieu ra.
au vao CCD th dong (hoac ap)
phai bien oi thanh ien tch.
au ra cua CCD th ien tch
phai bien oi thanh dong (hoac

Hnh 6-5-14. Mach vao va mach ra cua CCD.

ap).
Hnh 6-5-14 la v du ve mach ien au vao, au ra cua CCD.

174

Hai ben iec cc c ban co them cac ien cc sau: cc nguon vao IS, cc
mang ra OD, cc cong vao OG, cc cong ra OG ma cac cc cong thc chat la ien
cc chuyen dch day dan rieng. Khi cong tac, tren IS va IG eu co thien ap dng
e hnh thanh tang hao kiet ben di no. Tang hao kiet nay lien thong vi tang hao
kiet ben di ien cc chuyen dch nh hnh ve. Khi ma ien cc 1 co ien the cao
nhat, tang hao kiet cua no sau hn tang hao kiet cua IS , IG. IS (N+) la mot nguon
ien t nhng ien the IS va IG quyet nh ien t co nhap vao vung di ien cc
1 hay khong. Neu VIG > VIS th ngng nhap. Vay IS, IG va ien cc 1 tng t mot
bong ban dan MOS. ien ap tn hieu (d lieu vao) a noi tiep vao IG hay vao IS
ieu khien quan he ien the tng oi cua IS va IG, ngha la ieu khien nhap hay
ngng nhap. Sau khi ket thuc qua trnh ieu khien nhap d lieu vao nay, IG tr ve
mc ien the thap nhat lam cach li nguon ien t khoi vung bay ien the ben di
ien cc 1. Bay gi co the tien hanh ieu khien chuyen dch ien tch.
Trong mach ra, tren OG va OD eu co thien ap dng, va co 1 ien tr noi
tiep vao mach vong cua OD. Sau khi ien tch a chuyen dch en ien cc chuyen
dch cuoi cung, neu ien the tren no thap hn ien the OG th ien tch i qua lp
e Silic di OG e nhap vao khu vc mang Ra N+, sinh ra dong ien trong mach
OD, tao ra ien ap tn hieu ra tren ien tr. Tac dung cua OG la giam nho ghep
ien dung gia ien cc chuyen dch cuoi cung va OD. Nh vay giam nho anh
hng cua xung ong ho oi vi tn hieu ra.
Phng phap nhap ien tch tren ay ay goi la phng phap ien. Neu
dung phan t ban dan nhay qung th co the nhap ien tch nh ien t kch quang.
Da vao nguyen l nhap phng phap quang co the che tao may anh chat ran
ghep ien tch.
6.6. THIET KE MACH DAY
Thiet ke la tong hp mach ien, ngc vi qua trnh phan tch. Xuat phat t
yeu cau e ra, muc ch thiet ke la mach logic thoa man yeu cau o. T viec phan
tch mach day, ta biet rang co the de dang tm phng trnh nh thi, phng trnh
kch, phung trnh ra va ve s o logic. Trong tiet nay ta se tap trung tm hieu cong
viec thiet ke bo em, ong thi xem xet nhng net chnh cong viec thiet ke mach
day noi chung. Bo em la mot mach day tng oi n gian nhng rat ien hnh.
Phng phap thiet ke bo em cung rat ien hnh. Va lai muc ch tm hieu cong
viec thiet ke khong phai e giai quyet van e thiet ke bat k mach day phc tap
nao. Tren c s nam vng viec thiet ke bo em, ta se cang hieu sau toan bo noi
dung chng nay va se co nang lc phan tch giai quyet nhng van e mach day.
175

Vi tnh hnh san xuat ai tra cac IC so trung, ai quy mo hien nay, vi quan iem
ngi dung, nhiem vu thiet ke co oi khac.
6.6.1. Thiet ke bo em ong bo
Di ay gii thieu 2 phng phap thiet ke bo em ong bo (co the dung
nhng phng phap khac).
1)

Phng phap th nhat

a)

Cac bc c ban
-

Phan tch yeu cau thiet ke, xay dng o hnh trang thai ban au.

Xac nh so lng va chung loai Flip Flop, chon la s ma hoa trang thai.

Tm phng trnh trang thai va phng trnh ra, kiem tra kha nang t khi

ong.

b)

Tm phng trnh kch

Ve s o logic
V du thiet ke
V du 6-6-1: hay thiet ke bo em thuan thap phan ong bo.

Bai giai
Phan tch yeu cau thiet ke, xay dng o hnh trang thai ban au

CP

Bo em

Xung em

Tn hieu chuyen v
Hnh 6-6-1.Mo hnh yeu cau cua bo em

Hnh 6-6-1. Mo hnh yeu cau cua bo em.


Bo em can co mi trang thai, N = 10, bieu th bang So, S1, ..., S9. Can c quy
luat em thuan thap phan, ta ve ra o hnh trang thai ban au nh hnh 6-6-2 di ay.
o hnh trag thai phan anh toan dien yeu cau thiet ke. Trong hnh 6-6-2, So thay
mat so 0, S1 thay mat so 1, ..., So thay mat so 9.
Di tac ong cua xung em a vao, trang thai
mach ien phai chuyen oi trang thai tuan t
theo luat em thuan. Tng ng trang thai S9 th
C=1, tng ng cac trang thai khac th C = 0.
Khi bo em t S9 chuyen oi sang So th bo em
176

Hnh 6-6-2. o hnh trang thai ban


au cua bo em thap phan ong bo

xoa ve 0, tn hieu chuyen v (nh) kch lat bo em trong so ln hn.


Xac nh so lng va chung loai Flip Flop, chon la ma hoa trang thai.
V 2n N = 10
Vay n = 4, chon Flip Flop JK
4 FF co tat ca 16 trang thai, e bieu th So + S9 ch can chon 10 trang thai, nen co
nhieu phng an ma hoa. Chung ta hay chon phng an ma hoa thong dung nhat: ma
8421 (Q4Q3Q2Q1)
So = 0000,

S1 = 0001,

S2 = 0010,

S3 = 0011,

S4 = 0100,

S5 = 0101,

S6 = 0110,

S7 = 0111,

S8 = 1000,

S9 = 1001.

Tm phng trnh trang thai, phng


trnh ra, kiem tra t khi ong
Tm phng trnh trang thai
Trang thai ke tiep va au ra cua bo
em eu la ham so cua trang thai xet, ma
trang thai xet va trang thai ke tiep cua bo
em bieu th bang trang thai hien tai va ke
tiep cua cac Flip Flop cau truc nen bo em.
Do vay, can c o hnh trang thai hnh 6-6-3

Hnh 6-6-3. o hnh trang thai (sau


khi chon cach ma hoa) cua bo em

ta ve ra bang Karnaugh cua au ra va trang


thai ke tiep bo em. Roi t o tm c phng trnh trang thai va phng trnh ra cua
mach ien.
6 trang thai 1010 1111 khong c dung, se khong xuat hien trong khi bo em
lam viec bnh thng, co the giup viec toi thieu hoa.
Phng trnh ac trng cua Flip Flop JK la

Q n+1 = JQ n + KQ n (6 6 1)
Ket qua toi hieu hoa phai c viet
di dang tng t (6-6-1). V du bieu thc
ham so trang thai ke tiep o ta tm phng
trnh kch.
Trong hnh 6-6-4, trang thai ke tiep
cua bo em c ien vao cac o, o cung la
Hnh 6-6-4. Bang Karnaugh trang thai
ke tiep cua bo em

177

trang thai ke tiep o ta tm phng trnh kch.


Trong hnh 6-6-4, trang thai ke tiep cua bo em c ien vao cac o, o cung la
trang thai ke tiep cua cac FF cau truc bo em.
n +1

Tng ng sap xep trong moi o la Q4

Q3n +1 Q2n +1 Q1n +1 . T o ta co the tach

rieng thanh bang Karnaugh trang thai ke tiep cua moi FF. Roi dung phng phap o
hnh e tm ra phng trnh trang thai. Nhng ch can chu y ac iem sap xep khong
n +1

thay oi cua Q4

Q3n +1 Q2n +1 Q1n +1 , ta co the toi thieu hoa luon.

Q4n +1 = Q3n Q2n Q1n Q n4 + Q 1n Q4n

Qnn +1 = Q2n Q1n Q 3n + Q n2 + Q 1n Q3n = Q2n Q1n Q 3n + Q2n Q3n Q3n


n +1
n n
n n
n
Q2 = Q 4 Q1 Q 2 + Q 1 Q2
n +1
(6 6 2)
Q1 = Q 1n

Tm phng trnh ra
Can c o hnh trang thai hnh 6-6-3 ta c
bang Karnaugh cua ham so au ra C nh hnh 6-6-5.
Ket qua toi thieu hoa la:

C = Q4n Q1n

(6 6 3)

Kiem tra kha nng t khi ong cua bo em


6 trang thai khong c dung 1011 1111 co
kha nang tao ra vong tuan hoan khong c dung,
lam cho bo em khong t khi ong. Sau khi a tm

Hnh 6-6-5. Bang Karnaugh cua C.

phng trnh trang thai va phng trnh ra, bay gi ta can phan tch tnh huong chuyen
oi cua cac trang thai khong c dung. Neu di tac dung cua xung em au vao ma
bo em khong the tr ve trang thai c dung, tc la khong the t khi ong, th ta phai
tm moi cach giai quyet. Chang han, ta chon lai ma hoa trang thai, hoac sa oi trang
thai ke tiep cua cac trang thai khong c dung (nh cach t khi ong cua bo em
kieu ghi dch), hoac s dung au vao d bo cng che bo em ve trang thai c dung
v.v...
a cac trang thai khong c dung vao (6-6-3), (6-6-3) tien hanh tnh toan, ta
c bang 6-6-1 sau:

178

Bang 6-6-1: TNH HUONG CHUYEN OI TRANG THAI KHONG C


DUNG.

Q1n +1

Q1n

Q3n +1

Q2n +1

Q1n +1

Q4n

Q3n

Q2n

T bang ta thay cac tnh huong co the xay ra


/0

/1

101010110100

/0

/1

110011010100

/0

/1

111011110000

eu khi ong c.
ong nhat cac he so cua (6-6-2) va (6-6-1) ta co phng trnh kch la:

J 1 = K1 = 1

n n
J 2 = Q 4 Q1

n n
J 3 = K 3 = Q2 Q1

n n n
J 4 = Q3 Q2 Q1

K 2 = Q1n
K 4 = Q1n

(6 6 4)

Ve s o logic:
Can c vao ac iem bo em ong bo xung em au vao la xung ong ho cua
cac FF, da vao phng trnh kch (6-6-4) va phng trnh ra (6-6-3), ta co the ve c
s o logic nh hnh 6-2-10.
Neu viec chon la ma hoa trang thai theo cac ma khac th ta co the i en bo
em thuan thap phan ong bo khac tng ng (ma d 3, ma Gray d 3...)
V du 6-6-2: hay thiet ke bo em thuan ong bo ieu khien c, vi M=0 th N
= 6, vi M = 1 th N = 3.
Bai giai:
Phan tch yeu cau, xay dng o hnh
trang thai ban au
Khi M = 0 th N = 6
Khi M = 1 th N = 3

Hnh 6-6-6. Mo hnh yeu cau cua bo


em ieu khien

179

Hnh 6-6-7. o hnh trang thai ban au

Xac nh so lng va loai FF, chon la ma trang thai


2n N = 6
Vay n = 3, chon Flip Flop JK
Vi ma hoa Q3 Q2 Q1, chon
So = 000, S1 = 001, S2 = 011, S4 = 100, S5 = 101

Hnh 6-6-8.
o hnh trang thai (sau khi ma hoa).

Tm phng trnh trang thai, phng trnh ra, kiem tra t khi ong

Hnh 6-6-9. Bang Karnaugh trang thai ke


tiep cua bo em.

T hnh 6-6-9, toi thieu hoa xong, ta co:


n n
n +1
n
n n
Q
Q
Q
Q
Q
=
+
2
1
3
1 Q3
3
n
n +1
n n
n n
Q2 = Q 3 Q1 Q 2 + M Q1 Q2
n +1
n
n
n
n
n
Q1 = Q 2 Q1 + M Q 1 = Q 2 + M Q1 = Q2n M Q1n

Phng trnh ra:

180

(6 6 5)

Hnh 6-6-10.bang Karnaugh


au ra.
a) cua chuyen v C1
b) cua chuyen v C2.

Sau khi toi thieu hoa bang Karnaugh hnh 6-6-10, ta c:

C1 = Q3n Q1n

(6 6 6)

C 2 = MQ2n

(6 6 7)

Ve tnh huong chuyen oi cac trang thai khong c dung, xem bang 6-6-2
va bang 6-6-3
Bang 6-6-2: TNH HUONG TRANG THAI KHONG C DUNG KHI M=0

Q3n +1

Q1n

Q2n +1

Q1n +1

Q3n

Q2n

C1

C2

Bang 6-6-3: TNH HUONG TRANG THAI KHONG C DUNG KHI M = 1

Q3n

Q2n

Q3n +1

Q1n

Q2n +1

Q1n +1

C1

C2

Hnh 6-6-11. Tnh huong chuyen


oi trang thai khong c dung.
a) Khi M = 0;
b) b) Khi M = 1.

Hnh 6-6-11 chng to rang mach co kha nang t khi dong


181

Tm phng trnh kch


ong nhat cac he so cua (6-6-5) va (6-6-1) ta co:

J 2 = Q2n Q1n

n
J 2 = Q 3 Q1

n
J 1 = Q2 M

K 3 = Q1n
K 2 = M Q 1n
K1 = 1

(6 6 8)

Ve s o logic

Hnh 6-6-12.Bo em ieu


khien c.

ac iem cua phng phap thiet ke trnh bay tren ay la sau khi chon la ma
hoa trang thai th dung bang Karnaugh tm phng trnh trang thai, roi ket hp vi
phng trnh ac trng cua FF ma tm ra phng trnh kch, e cuoi cung hoan
thanh thiet ke bo em ong bo mong muon.
2) Phng phap th hai
a) Cac bc c ban
- Phan tch yeu cau thiet ke, xay dng o hnh trang thai ban au.
- Xac nh so lng va chung loai FF, chon la s ma hoa trang thai
- Ke ra bang s dung
- Tm phng trnh kch va phng trnh ra
- Ve s o logic
- Kiem tra kha nng t khi ong
b) V du thiet ke
V du 6-6-3: hay thiet ke bo em nghch thap phan ong bo
Bai giai:

182

Hnh 6-6-13. Mo hnh yeu cau cua


bo em nghch

Phan tch yeu cau thiet ke, xay dng o fhnh trang thai ban au
Bo em co N = 10 tng ng cac trang thai bo em la So, S1, ..., S9.
Can c quy luat em nghch, ta ve c o hnh trang thai ban au nh hnh
6-6-14
Hnh 6-6-14. o hnh trang thai ban
au cua bo em nghch

Xac nh so lng va chung loai FF, chon la ma hoa trang thai


V 2n N = 10
Vay n = 4. Chon Flip Flop JK.
Dung ma 8421
So = 0000, S1 = 0001,

S2 = 0010,

S3 = 0011,

S4 = 0100

S5 = 0101, S6 = 0110,

S7 = 0111,

S8 = 1000,

S9 = 1001

Hnh 6-6-15. o hnh trang thai


bo em nghch (sau khi ma hoa).

Ke ra bang s dung
Can c o hnh trang thai ke ra bang trang thai bo em, t yeu cau chuyen oi
trang thai cua bang s dug nh di ay (bang 6-6-4)

183

Bang 6-6-4: BANG S DUNG CUA BO EM NGHCH

Q4n +1 Q3n +1 Q2n +1 Q1n +1

Q4n

Q3n

Q2n

Q1n

J 4 K4

J 3 K3

J 2 K2

J 1 K1

1x

0x

0x

1x

0x

0x

0x

x1

0x

0x

x1

1x

0x

0x

x0

x1

0x

x1

1x

1x

0x

x0

0x

x1

0x

x0

x1

1x

0x

x0

x0

x1

x1

1x

1x

1x

x0

0x

0x

x1

Khi xac nh yeu cau kch (t yeu cau chuyen oi trang thai) can s dung bang
au vao kch cua FF; neu nam vng k nang th co the s dung phng trnh ac trng.
Tm phng trnh kch va phng trnh ra
Da vao quan he logic a biet trong bang s dung ve bang Karnaugh. T o tm
phng trnh kch va phng trnh ra. Xem hnh 6-6-16 va 6-6-17.

Hnh 6-6-16.Bang Karnaugh cua au vao kch


(T bang s dung 6-6-4, ta trc tiep rut ra ngay J1 = = K1 =1)

184

Hnh 6-6-17. Bang Karnaugh cua


chuyen v B.

Ve s o logic
Kiem tra kha nang t khi ong
S dung cach phan tch logic e ve o hnh trang thai, t o thay c mach co
t khi ong hay khong.
ac iem cua phng phap thiet ke th hai nay la sau khi a chon la ma hoa
trang thai, can c o hnh trang thai ke ra bang s dung roi dung bang Karnaugh tm
phng trnh kch. So sanh vi phng phap th nhat ta thay cho manh hn la dung
bang s dung nen khong can giai phng trnh logic, dung bang Karnaugh e i en
phng trnh kch mot cach de dang; cho yeu hn la co rac roi, de nham khi xac nh
yeu cau kch, nhat la bo em nhieu so.
Hai phng phap c gii thieu tren la rat c ban, pho dung, co the ap dung
nguyen tac cua no vao thiet ke mach day noi chung.
6.6.2. Thiet ke bo em d bo
Di day chon ra mot phng phap c ban tong nhieu phng phap co the thc
hien thiet ke bo em d bo. Noi chung mach ien bo em d bo n gian hn mach ien
bo em ong bo.
1) Cac bc c ban
-

Phan tch yeu cau thiet ke, xac nh o hnh trang thai ban au

Xac nh so lng va loai hnh FF, chon la ma hoa trang thai

Ve o th dang song, chon xung ong ho

Tm phng trnh trang thai, phng trnh ra, kiem tra t khi ong

Tm phng trnh kich

Ve s o logic

2) V du thiet ke
V du 6-6-4: hay thiet ke bo em thuan thap phan d bo
Bai giai:
Phan tch yeu cau thiet ke, xay dng o th hnh trang thai ban au
N = 10 s dung 10 trang thai So, S1, ..., S9
185

Hnh 6-6-18. Bo em thuan thap


phan d bo.

a) Mo hnh bo nem yeu cau

b) o hnh trang thai ban au

Chon la ma hoa trang thai


V 2n N = 10 vay n = 4, chon Flip Flop D. Chon ma 8421, Q4 Q3 Q2 Q1
So = 0000,

S1 = 0001,

S2 = 0010,

S3 = 0011,

S4 = 0100

S5 = 0101,

S6 = 0110,

S7 = 0111,

S8 = 1000,

S9 = 1001

Hnh 6-6-19
o hnh trang thai (sau ma hoa).

Chon xung ong ho


Ve dang song nh hnh 6-6-20
Khi ve dang song can lu y 2
iem:
-

Quy luat chuyen

oi trang thai cua moi FF do o


hnh trang thai quyet nh. Thi
iem lat tng ng sn kch
cua xung ong ho.
-

Ve so xung ong

ho CP phai xap x ln hn N.
Muc ch viec lu y th hai
la e phan anh toan bo tnh huong lam
186

Hnh 6-6-20.Dang song bo em


thuan

viec bnh thng cua bo em, at en ay u yeu cau thiet ke:


T chc nang logic cua FF ta biet rang phng trnh ac trng co ieu kien
can la xuat hien xung ong ho. Trong o th dang song, cho nao yeu cau FF lat th
phai cung cap xung ong ho vi sn kch thch hp. Vay can c vao hnh 6-6-20 va
hnh 6-6-19, ta co the chon

CP1 = CP

CP2 = Q1

CP3 = Q 2
CP = Q
4
1

(6-6-9)

CP1, CP2, CP3, CP4 la xung ong ho tng ng cua cac Flip Flop F1, F2, F3,
F4 .
Khi thoa man yeu cau chuyen oi trang thai roi th so xung ong ho cang t
cang tot. V du, oi vi F3 chang han, xung ong ho cua no co the la CP, hoac Q1 ,
hoac Q 2 eu c. Nhng so sanh chung vi nhau, th nen chon Q 2 , Q 3 . V khi
Q4 lat t 1 ve 0, yeu cau phai co sn dng kch F4. Vay co the dung Q1 va CP,
nhng ta chon Q1 v so lan bien oi t hn.
Di day giai thch them luan iem tren: Di tien e thoa man yeu cau
chuyen oi trang thai th so xung ong ho cang t cang tot. Neu xung ong ho cang
t th yeu cau kch (ieu khien au vao ong ho) cang n gian. Gia s khong co
xung ong ho th khong can ieu khien, FF duy tr khong oi nguyen trang. Gia s
can co xung ong ho moi khi can chuyen oi trang thai th xac nh phng trnh
kch kieu Flip Flop T la c. Gia s can co xung ong ho ca luc khong can chuyen
oi trang thai th phai them ieu kien ieu khien au vao ong bo e bao am bo
em ro rang cang phc tap.
Tm phng trnh trang thai,
phng trnh ra, kiem tra t khi ong
a) Tm phng trnh trang thai
phng trnh trang thai ke tiep cua moi
FF. Hnh 6-6-21 la bang Karnaugh cua
trang thai ke tiep bo em. Can lu y rang
khi xet trang thai ke tiep cua bat k
Flip Flop nao, ngoai nhng trang
thai khong c dung, ca cac trang

Hnh 6-6-21. Bang Karnaugh cua trang thai thiet ke


tiep cua bo em

187

thai khong kem kch cua xung ong ho, chung eu c dung e toi thieu hoa. V
n +1

du, oi vi trang thai ke tiep Q4

cua F4, ngoai nhng trang thai khong c dung

S10 S15 = 1010 1111, th nhng trang thai nao khong thoa man ieu kien kch

CP4 =

Q1

eu c dung e toi thieu hoa. Neu Q1 bat bien hoac lat t 0 sang 1 th

Q1 bat bien hoac lat t 1 sang 0 eu khong thoa man ieu kien kch. Xem xet hnh
6-6-19, ta thay So, S2, S4, S8 la cac trang thai khong kem kch (sn dng) cua CP4.
n +1

Xem hnh 6-6-22a. Hnh 6-6-22b la bang Karnaugh cua Q2

cung c giai thch

tng t (lu y CP2 = Cp4)

Hnh 6-6-22. Bang


Karnaugh cua trang
thai ke tiep.

Toi thieu hoa bang Karnaugh hnh 6-6-22- ta co

Q4n +1 = Q3n Q2n

Q2n +1 = Q n4 Q n2
n +1

oi vi Q3

(6 6 10)

cua F3, v CP3 = Q2 (6-6-9), oi chieu o hnh trang thai hnh

6-6-19, nhng trang thai dung x l toi thieu hoa la:


Khong c dung:

S10 S15

Q2 bat bien :

So, S2, S4, S6, S8, S9

Q2 t 1 lat sang 0 (Q2 t 0 sang 1) : S1, S5


Hnh 6-6-23. bang
Karnaugh cua trang
thai ke tiep.

188

Toi thieu hoa bang Karnaugh hnh 6-6-23, ta c :


n +1
n
Q3 = Q3
n +1

(6-6-11)

Q1 = Q1

Ta se giai thch viec dung nhng trang thai khong kem kch xung ong ho e toi
thieu hoa nh sau :
Can c ac iem chc nang logic cua Flip Flop, chung ta biet rang trang thai ke
tiep la ham so cua au vao va hien trang. Trang thai ke tiep cua bo em bieu th bang
trang thai ke tiep cua cac Flip Flop cau truc bo em o. Vay trang thai ke tiep cua moi
FF cung la ham so cua au vao va hien trang bo em. Khi bo em chuyen oi di tac
ong tn hieu au vao, gia s Flip Flop Fi nao o khong co kch cua xung ong ho th
n
n
n
n
trang thai Fi bat bien (Qin+1 = Qin). Xet v du hnh 6-6-21, hien trang Q4 Q3 Q2 Q1 = 0000 ,
n +1

n +1

n +1

n +1

khi CP en, trang thai ke tiep Q4 Q3 Q2 Q1 = 0001 , Q1 t 0 sang 1, Q 1 t 1 sang 0.


V Flip Flop D kch bang sn dng c dung trong mach nay, ma CP4 = CP2 = Q 1 ,
nen F4, F2 khong co kch, S0 c dung toi thieu hoa.
b) Tm phng trnh ra
Hnh 6 - 6- 24 : Bang Karnaugh
cua chuyen v C.

Toi thieu hoa, ta co :


n
n
C = Q4 Q1

(6-6-12)

c) Kiem tra kha nang t khi ong :


S dung phng trnh trang thai va phng
trnh ra e phan tch tnh huong chuyen oi trang thai khong c dung.
Hnh 6-6-25
Tnh huong chuyen oi trang thai khong c dung.
Bang 6-6-5
TNH HUONG CHUYEN OI CUA TRANG THAI KHONG C DUNG
n

n +1

n +1

n +1

n +1

Q4

Q3

Q2

Q1

Q4

Q3

Q2

Q1

CP1

CP1 CP2 CP3 CP4

CP1

CP1 CP2

CP1

CP1 CP2 CP3 CP4

189

CP4

Xem xet hnh 6-6-25 va bang 6-6-5 ta thay bo em a thiet ke co the t


khi ong.
Tm phng trnh kch :
ong nhat phng trnh trang thai bo em (6-6-10) va (6-6-11) vi
phng trnh ac trng cua Flip Flop D cau truc nen bo em ta co :
D1 = Q 1n

D 2 = Q n4 Q 2n
(6-6-13)

D 3 = Q 3n

D 4 = Q 3n Q 2n
Ve s o logic

Hnh 6-6-26 : Bo em thuan thap phan i bo.

V du 6-6-5 : Hay thiet ke bo em nghch thap phan d bo.


Bai giai :
Xac lap o hnh trang thai ban au :

a) Mo hnh yeu cau

b) o hnh trang thai ban au

(Hnh 6-6-27) : Bo em nghch thap phan

Xac nh so lng chung loai FF, chon la ma hoa trang thai.


V 2n N = 10
Vay n = 4, chon Flip Flop D ; chon ma 8421

Hnh 6-6-28 : o hnh trang thai bo em


nghch (sau khi ma hoa).

190

Hnh 6-6-29 : Dang song bo em nghch.

Chon xung ong ho :


CP1 = CP
CP = Q
2
1

CP
Q
=
2
3
CP4 = Q 1

(6-6-14)

Tm phng trnh trang thai


Hnh 6-6-30 : Bang Karnaugh trang
thai ke tiep bo em nghch.

Hnh 6-6-31 : Bang Karnaugh trang


thai ke tiep cua cac FF.

191

Toi thieu hoa bang Karnaugh hnh 6-6-31 :


Q n4 +1 = Q n4 Q 3n Q 2n

Q 3n +1 = Q 3n
n +1
Q 2 = Q n4 + Q 3n Q 2n
n +1
Q 3 = Q 1n

(6-6-15)

Tm phng trnh ra :
Toi thieu hoa bang Karnaugh hnh 6-632 ta co :
n

(6-6-16)

B = Q 4 Q 3 Q 2 Q1

Kiem tra kha nang t khi ong

Hnh 6-6-32 : Bang Karnaugh cua


chuyen v B.

Bang 6-6-6 : TNH HUONG CHUYEN OI TRANG THAI KHONG C


DUNG
n

n +1

n +1

n +1

n +1

Q4

Q3

Q2

Q1

Q4

Q3

Q2

Q1

CP1 CP2

CP1

CP1 CP2 CP3 CP4

CP1

CP1 CP2

CP1

(Hnh 6-6-33) : Tnh huong chuyen oi trang thai khong c dung.


T bang 6-6-6 va hnh 6-6-33 ta thay bo em t khi ong c.
Tm ra phng trnh kch :
D 4 = Q n4 Q 3n Q 2n

D 3 = Q 3n

D 2 = Q n4 + Q 3n Q 2n

D1 = Q 1n

(6-6-17)

192

CP4

CP4

Ve s o logic.

Hnh 6-6-34 : Bo em nghch thap


phan d bo.

Phng phap thiet ke tren ay co the ap dung cho cac mach day d bo noi chung.
Ch can chu y tnh ac thu trong viec chon xung ong ho. V du, trong tnh huong tn
hieu au vao bien oi ngau nhien th rat kho ve ra o th dang song ; do o viec chon
xung ong ho cho cac FF cua bo em theo dang song la vo cung phc tap. Tuy nhien,
phng phap thiet ke mach day d bo khong gap kho khan con cha tm ra.
6.6.3. Thiet ke mach day
Thiet ke mach day noi chung so vi thiet ke bo em tren ay co ba van e ac
biet nh sau :
1. Xay dng o hnh trang thai tng oi kho khan. Thong thng yeucau thiet
ke la ngon ng thong thng, co the la mot van e logic cu the cua mach day. Trong
van e o ham cha d lieu nao o can nh, bieu th bang cach nao o thanh trang thai
mach day, ton tai mot quan he logic nao o gia hien trang, au vao, au ra va trang
thai ke tiep. T o lam the nao xay dng o hnh trang thai la cong viec phan tch cu
the oi vi tng van e cu the, v cha co quy trnh g. Ch rieng oi vi bo em th van
e nay mi ro rang the.
2. Can toi thieu hoa trang thai. T yeu cau thiet ke xay dng o hnh trang thai
thng co nhieu trang thai tha. Trang thai mach day c Flip Flop nh va the hien.
Vay trang thai cang nhieu th so FF can dung cang nhieu. Neu thiet ke am bao yeu
cau e ra, th mong muon trang thai cang t cang tot. Ch rieng oi vi bo em th mi
ro rang en the : bo em N co N trang thai ; khong co van e toi thieu hoa trang thai
oi vi bo em.
3. Viec chon la ma hoa trang thai cung khong de nh oi vi bo em. Quan he
gia cac trang thai trong mach day tng oi phc tap. Ma viec chon la ma hoa trang
thai lien quan en tnh phc tap cua phng trnh trang thai va phng trnh ra, anh
193

hng tnh kinh te cua phng an kha thi. Cho nen thng nghien cu, can nhac, so
sanh, lam lai e co thiet ke toi u. Hien tai cha co quy trnh ve viec chon la ma hoa
trang thai. Ch rieng oi vi bo em th quan he gia cac trang thai tng oi n gian,
co may loai ma em thng dung, phng an ma hoa trang thai de chon.
Tren quan iem cua ngi s dung cac IC mach day, biet phng phap chung la
u. Di ay ta xet mot v du thiet ke mach day.
V du 6-6-6 : Thiet ke bo giam sat d lieu noi tiep. Yeu cau oi vi bo giam sat
la : Neu so bit 1 lien tuc nhau 3, th au ra la 1 (cac trng hp khac cua au vao ch
co gia tr 0 au ra).
Bai giai :
a) Phan tch yeu cau thiet ke, xay dng o hnh trang thai ban au. Goi so bit 1
lien tuc nhau au vao mach la m.
m = 0 ng vi trang thai S0
m = 1 ng vi trang thai S1
m = 2 ng vi trang thai S2
m 3 ng vi trang thai S3
Vay mach can 4 trang thai khac nhau. Can c yeu cau thiet ke, ve ra o hnh
trang thai ban au nh hnh 6-6-35. Trong hnh ky hieu X/Z vi X la au vao, Z la au
ra.
Hnh 6-6-35 cho thay rang, khi mach ien
trang thai ban au S0, gia s au vao co bit 1 th au
ra la 0 va trang thai ke tiep la S1 ; Gia s au vao co
bit 0 tiep theo th au ra la 0 va trang thai ke tiep la
S0. Neu hien trang bo giam sat la S1 , gia s au vao
co bit 1 th au ra la 0 va trang thai ke tiep la S2 ; Gia
s au vao co bit 0 th au ra la 0 va trang thai ke
tiep la S0 (tc ve trang thai ban au).
Neu hien trang bo giam sat la S2, gia s au
vao co bit 1, tc la da u 3 bit 1 lien nhau th au ra

Hnh 6-6-35 : o hnh trang thai


ban au cua bo giam sat.

la 1 va trang thai ke tiep la S3 ; Gia s au vao co bit 0 th au ra la 0 va trang thai ke


tiep la S0.
b) Tien hanh toi thieu hoa trang thai
Gop trang thai tng ng. Trang thai tng ng la nhng trang thai hien tai
nao trong ieu kien au vao hien tai nh nhau th co cung gia tr logic au ra va co
cung trang thai ke tiep. Vay trang thai tng ng lap lai nhau nen co the gop.
194

e xem xet co cac trang thai tng ng nhau khong, t hnh 6-6-35 ta xay
dng bang trang thai 6-6-7.
Bang 6-6-7 : CAC TRANG THAI BAN AU CUA BO GIAM SAT

Trang thai
hien tai

Trang thai ke tiep/ au ra


au vao hien tai X
X=0

X=1

S0

S0/0

S1/0

S1

S0/0

S2/0

S2

S0/0

S3/1

S3

S0/0

S3/1

Nhan xet bang 6-6-7, ta thay cac trang thai S2, S3 la


tng ng.
Sau khi tien hanh toi thieu hoa trang thai, ta c o
hnh trang thai hnh 6-6-36.
.
c) Xac nh so lng chung loai FF, chon la ma hoa
trang thai.
V 2n N = 3
Vay n = 2. Chon Flip Flop D.

Hnh 6-6-36 : o hnh trang thai


sau toi thieu hoa

2 FF co 4 trang thai 00, 01, 10, 11 - Trong so nhieu cach ma hoa kha d,
chung ta chon :
S0 = 00

S1 = 01

S2 = 11

Ve sau ta phai xet xem mach ien co t khi ong khong va a n gian
nhat cha.
d) Tm phng trnh trang thai va phng trnh ra.

Hnh 6-6-37 : Bang Karnaugh cua


trang thai ke tiep/ au ra.

195

Trang thai 10 khong c dung, trong qua trnh toi thieu hoa can lu y x ly e
at ket qua nh sau :
Q 2n +1 = XQ 1n
n +1
Q 1 = X

(6-6-18)

Z = XQ 2n

(6-6-19)

e) Tm phng trnh kch


D 2 = XQ 1n

D 1 = X

(6-6-20)

g)Ve s o logic

Hnh 6-6-38 : Bo giam sat d


lieu ket noi.

Hnh 6-6-39 : o hnh trang thai


bo giam sat.

Hnh 6-6-39 la o hnh trang thai ve t s o logic hnh 6-6-38 . Ta nhan thay
mach t khi ong c va kha n gian.

196

PHU LUC II
HE EM VA CHUYEN OI
I. CAC HE EM THNG DUNG
1. He thap phan
He thap phan la he em thng dung nhat trong sinh hoat va cong tac cua
chung ta. Trong he nay, co 10 ch so 0 9 e ma hoa so 0 va 9 so t nhien au tien.
Vay c so he thap phan la 10. T so ln hn 9, nh cach ghi so theo v tr, trong o so
co v tr bat ky co trong so gap mi lan so co v tr ben phai ke no ; ta co the dung 10
ch so e bieu dien moi con so. V du :
143,75 = 1 x 102 + 4 x 101 + 3 x 100 + 7 x 10-1 + 5 x 10-2
Mot so dng S bat ky trong he thap phan co the khai trien thanh :
S = ki10i

(II - 1)

i la th t v tr cua so tnh t dau phan cach nguyen - phan (i = 0) ki la he


so cua so co v so (th t v tr cua so) i, k0 = 0 9, neu phan nguyen co n ch so th
tng ng i = n - 1 0. Neu phan phan co m ch so th tng ng i = -1 -m. Dung N
thay cho c so 10, bieu thc (II - 1) co dang tong quat cho moi he em .
S = ZkiNi

(II - 2)

2. He nh phan
He nh phan c dung rong rai nhat trong mach so. Trong he nh phan,
moi v so (bit) ch co hai kha nang lay gia tr : 1 va 0. Co so em cua he nh phan N = 2.
Trien khai so nh phan bat ky theo dang (II - 2), ta co :
S = ki2i

(II - 3)

vi ki = 0, 1
V du :
101,11 = 1 x 22 + 0 x 21 + 1 x 20 + 1 x 2-1 + 1 x 2-2
3. He em c so 8
Trong he nay, moi v so co 8 ma so la 0 8, c so la 8.
Dang tong quat cua so em he c so 8 la :
S = ki8i

(II - 4)

vi ki = 0,1,2,3,4,5,6,7
V du :
37,41 = 3 x 81 + 7 x 80 + 4 x 8-1 + 1 x 8-2

197

Cung mot con so, dang bieu th trong he em c so 8 gon hn dang bieu
th trong he em nh phan. Nh sau nay se ro, s chuyen oi lan nhau cua hai he nay lai
cc de dang, nen trong cac sach viet ve trnh t may tnh hay dung he em c so 8.
4. He em c so 16
Trong he nay, moi v so co 16 ma so. o la 0,1,2,3,4,5,6,7,8,9, A(10),
B(11), C(12), D(13), E(14), F(15).
Dang tong quat cua so em he c so 16 la :
S = ki16i
vi ki = 0 F
V du :
2a, 7F = 2 x 161 + A x 160 + 7 x 16-1 + F x 16-2
7
15
= 2 x 16 + 10 x 1 +
+ 2
16 16
= 42,4961
Hien nay trong may vi tnh, a so dung t ma nh phan 8 bit, 16 bit.
Nhng t ma nay co the bieu th gon ro bang so trong he em 16 vi 2, 4 vi so tng
ng. Vay trong cac sach viet ve trnh t may tnh cang hay dung he em c so 16. S
chuyen oi lan nhau cua he nh phan va he em c so 16 cung cc ky de dang. Nen ng
dung he em c so 16 con rong rai hn he em c so 8.
II. CHUYEN OI LAN NHAU GIA CAC HE EM
1. He nh phan va he thap phan
T nh phan sang thap phan : viet so nh phan di dang (II - 3) trien khai
: cong tat ca cac so hang teo gia tr so thap phan, tong so la dang thap phan cua so nh
phan a cho. V du :
1011,01(2)

= 1 x 33 + 0 x 22 + 1 x 21 + 1 x 20 + 0 x 2-1 + 1 x 2-2
= 8 + 0 + 2 + 1 + 0 + 0,25
= 11,25(10)

Lu y : chung ta dung ch so trong ngoac viet thap e ch c so he em


cua con so xet.
T thap phan sang nh phan :
a) Phan nguyen
Trong cac ang thc di ay, ve phai la so nh phan, ve trai la so thap
phan
S(10)

= kn2n + kn-12n-1 + ... + k121 +k0


= 2(kn2n-1 + kn-12n-2 + ... + k1) + k0
198

v ki = 0,1 ong phan vi so 0, 1 trong he thap phan, nen ta co :


S(10 ) k 0
= k n 2 n 1 + k n 1 2 n 2 + ... + k 1
2
= 2( k n 2 n 2 + k n 1 2 n 3 + ... + k 2 ) + k 1
Nhan xet cac bieu thc tren, ta thay :
bit dau tien cua so nh phan la k0 bang so d khi chia S(10) cho 2.
bit dau tien cua so nh phan la k1 bang so d khi chia thng so cua phep
chia trc cho 2.
Tng t nh vay e tm toan bo cac bit cua so nh phan. V du :
173

d 1

k0

86

d 0

k1

43

d 1

k2

21

d 1

k3

10

d 0

k4

d 1

k5

d 0

k6

d 1

k7

Vay 173(10) = 10101101(2)

0
b) Phan phan :
Trong cac ang thc di ay, ve phai la so nh phan, ve trai la so thap
phan :
S(10) = k-12-1 + k-22-2 + k-32-3+ ...+k-m2-m
Nhan 2 ve vi 2, ta co :
2S(10) -k-i = k-22-1 + k-32-2 + ...+ k-m2-m+1
Neu tiep tuc nhan 2 ve vi 2 ta lai c k-2 la phan nguyen cua ve phai (cua tch
so lan th 2) :
2[2S(10) - k-1] = k-2 + (k-32-1 + ...+k-m2-m+2)
Tng t nh vay, ta tm c toan bo cac bit cua so nh phan. V du :
Qua trnh chuyen oi t 0,8128(10) sang 0,1101(2) nh sau :
0,8128 x 2 = 1,6250 = 0,6250 + 1 (k-1)
0,6250 x 2 = 1,2500 = 0,2500 + 1 (k-2)
0,2500 x 2 = 1,5000 = 0,5000 + 1 (k-3)
0,5000 x 2 = 1,0000 = 0 + 1 (k-4)
Qua trnh ket thuc ki phan phan cua tch so bang 0.
199

2. He nh phan va he em c so 8
T nh phan sang he 8
V 23 = 8, moi v so cua he 8 tng ng vi mot nhom 3 bit cua so nh phan, bat
au t bit 20. Muon chuyen oi t nh phan sang he 8, au tien ta phan nhom 3 bit, sau
o dun 8 ch so cua he 8 thay cho 8 ma so tng ng cua 3 bit.
oi vi phan phan, chia nhom 3 bit bat au t 2-1.
V du : 10110101, 00111101(2) = 265,172(8)
Qua trnh nh sau :
Chia nhom

010

110

101,

001

111

010

Chuyen ma

5,

T he 8 sang nh phan :
Thay mot ch so trong so he 8 bang nhom 3 bit nh phan
V du :
=

2,

4(8)

101

001

010

011

000

100(2)

3. He nh phan va he em c so 16
T nh phan sang he 16.
V 24 = 16, moi v so cua so he 16 tng ng vi mot nhom 4 bit cua so nh phan,
bat au t bit 20. Muon chuyen oi t nh phan sang he 16, au tien ta phan nhom 4 bit,
sau o dung 16 ch so cua he 16 thay cho 16 ma so tng ng cua 4 bit.
oi vi phan phan, chia nhom 4 bit bat au t 2-1.
V du : 0101, 1110, 1011, 0010(2) = 5E, B2(16)
Qua trnh nh sau :
Chia nhom

0101

1110,

1011

0010

Chuyen ma

E,

T he 16 sang nh phan :
Thay mot ch so trong so he 16 bang nhom 4 bit nh phan.
V du :
=

6(16)

1000

1111

1010

1100

0110

200

PHU LUC III


PHNG PHAP BIEU TH SO NH PHAN TRONG MAY TNH
1. So trong may tnh va gia tr thc
Trong mach so, gia tr 0 va 1 cua 1 bit eu bieu th bang 2 trang thai cua
mot phan logic c ban (mc ien cao, thap cua cong hay cua Flip Flop). Vay dau am,
dng cua so c bieu th bang cach nao. Ro rang, dau cung bieu th bang hai trang
thai logic, tc la dung 0 hay 1 bieu th. Phng phap n gian nhat la, cho them 1 bit
dau ang trc : gia tr 0 cua bit dau bieu th so dng, gia tr 1 cua bit dau bieu th so
am. V du oi vi so nho hn 1 ve gia tr tuyet oi, so +0, 1011 trong may tnh c
bieu th la 0,1011, va so -0,1011 trong may tnh c bieu th la 1,1011. Tc la dung
gia tr 0 va 1 cua phan nguyen bieu th dau dng va am cua so nh phan nho hn 1 ve
gia tr tuyet oi. Trong v du tren, +0, 1011 va -0,1011 la gia tr thc cua so 0,1011 va
1,1011 tng ng trong may tnh.
Di ay gii thieu 3 dang thng gap cua so trong may tnh : ma goc,
ma bu va ma ao.
Trong may tnh nho va thiet b ieu khien so noi chung eu ap dung phep
toan dau phay co nh. Ma goc, ma bu va ma ao c gii thieu tiep theo ay la tng
ng vi phep toan dau phay co nh. Khi o, gia nh rang trong toan bo phep toan, gia
tr tuyet oi cua moi so eu nho hn 1. Dau phay at trc bit cao nhat
(2-1). Neu nh gia tr tuyet oi cua so thc te ln hn 1 th phep toan van tien hanh nh
quy c tren nh qua trnh chuyen oi thuan nghch t le gia tr.
2. Ma goc
Trong ma goc, bit dau at trc dau phay cua phan so (so co gia tr tuyet
oi nho hn 1). So dng tng ng gia tr 0 cua bit dau. So am tng ng gia tr 1 cua
bit dau. Vay so dng co ma goc trung vi gia tr thc, so am co ma goc bang gia tr
thc cong1, tc la :
x
[x]ma goc =
1 + x

x0
x0

Vay so 0 co hai hnh thc ma goc :


0,0000
[0]ma goc =
1,0000
Ma goc co u iem la trc quan, n gian, rat tien trong phep nhan. Tch
so co phan gia tr tuyet oi va phan dau. Gia tr tuyet oi cua tch so bang tch cac gia
tr tuyet oi cua tha so. Dau cua tch theo quy tac logic : cac tha so cung dau
201

th tch so dng, cac tha so khac dau th tch so am. Quy tac logic nay rat de
thc hien.
Nhng chung ta se gap kho khan neu lam phep cong tr vi so ma goc.
V du: khi cong ai so, au tien phai xet dau cac so hang, sau o mi quyet nh ve
cong tr cac gia tr tuyet oi. Khi tr, con phai so sanh gia tr tuyet oi, t o mi nh
hng lay g tr cho g, cuoi cung xac nh dau cua hieu so. Moi mot bc nh vay eu
bat may tnh phai thao tac, vay tat se lam cho may tnh phc tap len va thi gian lam
tnh keo dai.
e tranh kho khan tren trong phep cong tr, hien nay may tnh dung rong
rai ma bu trong phep cong tr.
3. Ma bu
e de dang ve ma bu, xin oc gia hay xet mot v du lien quan trong cuoc
song i thng sau ay. Gia s luc 06h00 sang ban phat hien ong ho b chet vi kim
ong ho v tr 11h00. Ban co 2 cach e chnh kim ong ho t v tr 11h00 en v tr
06h00 : cach th nhat a kim ngc chieu 5 khoang gi (11-5=6), cach th hai a
kim thuan chieu 7 khoang gi (11 + 7 = 18) ; v mat ong ho co 12 khoang gi thoi, c
vt 12 th lai bat au t 0, nen a kim thuan chieu 7 khoang gi th kim cung en v
tr 06h00. ieu o chng to rang, neu xet rieng mot v so (ma khong em chuyen v t
v so ben phai lien ke sang) th 11-5 va 11+7 trong he em c so 12 co cung mot ket
qua la 6. Tong cua 5 + 7 = 12. Ta goi 7 la ma bu cua 5 trong he 12. 7 = 12 - 5.
Tng t, trong phep tr nh phan, viec tr i mot so nh phan tr thanh
viec cong ma bu trong he nh phan cua so o.
V du : a = 0,1011 ; b = -0,1001 th :
0,1011
-0,1001
a + b = 0,0010
Chung ta i en cung ket qua tren bang cach : tm ma bu trong he nh
phan (c so 2) cua b la :
[b]ma bu = 10 - 0,1001 = 1,0111
Thc hien cong vi ma bu, bo chuyen v :
0,1011
1,0111 bo chuyen v
a + [b]ma bu = 10,0010

0,0010

Chung ta viet bieu thc tong quat ma bu 2 cua so x nh phan :

202

[x]ma bu
V du :

neu x 0
x
=
2 + x neu x 0

x = -0,1011

[x]ma bu = 10 - 0,1011 = 1,0101

x = -0,0001

[x]ma bu = 10 - 0,0001 = 1,1111

x = -0,0000

[x]ma bu = 10 - 0,0000 = 0,0000

4. Ma ao
Ma ao cua so nh phan x la chnh no khi x 0 :
[x] ma ao = x
Con khi x 0 th gia tr cua moi bt phai ao t 0 sang 1 va t 1 sang 0.
V du :
x = -0,0110

[x]ma ao = 1,1001

x = -0,0001

[x]ma ao = 1,1110

Xet ac tnh ma ao qua v du sau :


[x]ma ao = 1,1001

x = -0,0110

-x + [x]ma ao = 0,0110 + 1,1001 + 1,1111


-x + [x]ma ao + 0,0001 = 10,0000
10 + x = [x]ma ao + 0,0001.
Vay, mot so nh phan am bat ky, neu lay gia tr tuyet oi cua no cong vi ma
ao cua chnh no, ta luon c 1, 1111.
Neu em tong so o (gia tr tat ca cac bit eu la 1) cong vi 1 bit cuoi (tc
cong them 2-n) th ket qua luon bang 2.
Do ac nh nay, chung ta ng dung ma ao e tm ma bu cua mot so nh phan.
Di dang so thap phan, ta co :
2 + x = [x]ma ao + 2-n
ma

(theo nh ngha, khi x 0)

2 + x = [x]ma bu

Vay : [x]ma bu = [x]ma ao + 2

-n

vi n la so bit sau dau phay cua so nh phan.


V du :
x = -0,1011 [x]ma bu = 1,0100 + 0,0001 = 1,0101
x = -0,0001 [x]ma bu = 1,1110 + 0,0001 = 1,1111
x = -0,0000 [x]ma bu = 1,1111 + 0,0001 = 0,0000
Vay ap dung phng phap nay, khi tm ma bu cua so nh phan am, ta khong phai
lam phep tr nh tren (theo nh ngha).

203

PHU LUC IV
BO KHUYECH AI THUAT TOAN
Bo khuyech ai thuat toan la phan quan trong trong DAC va ADC. Vi
ien tr au vao vo cung ln, ien tr au ra vo cung be, he so khuyech ai ien ap cc
ln, kem theo mach phan hoi thch hp th bo khuyech ai thuat toan dung e x ly tn
hieu va chnh xac, lai tin cay.
8.1.1. Ky hieu va ac tnh cua bo khuyech ai thuat toan

Tren ky hieu bo khuyech ai thuat toan, dau - ky hieu au vao ao pha


(goi tat la au ao), dau + ky hieu au vao ong pha (goi tat la au thuan). Ngoai ra,
con co au ra va cac au noi vi nguon mot chieu.

Tuy s can thiet, chung ta se ve ay u nh s o a hay n gian nh s o b,


hoac ch lu y en tn hieu nh s o c.

e xet ac tnh cua bo khuyech ai thuat toan, chung ta hay gia thiet ly tng
hoa no (thc te gia thiet nay khong a ti sai so ang ke nao). Bo khuyech ai thuat
toan ly tng co ien tr au vao vo cung ln, ien tr au ra bang 0.
204

Trong pham vi tuyen tnh :


v0 < Ec

(IV 1)

v 0 = A 0 (v + v )
v+ v =

(IV 2)

v0
E
< c
A0 A0

(IV 3)

A0 la he so khuyech ai ien ap trong khu vc tuyen tnh, so tr ien hnh


4

cua A0 = 10 106. Gia s EC = 10 15V, A0 = 106. Ta thay ien ap au vao trong khu
vc tuyen tnh ch c vai

V. Mot cach gan ung, ac tnh truyen at ien ap ly tng

hoa cua bo khuyech ai thuat toan A0 = nh sau :


Ket luan ve ac tnh bo
khuyech ai thuat toan nh sau :
1. Dong ien au vao bang 0 :
i+ = i- = 0
2. v+ = v-d
v+ v =

v0
=0

Neu bo khuyech ai thuat toan


cong tac trong khu vc bao hoa th v+ v-.
Khi v+ L v- ta co bao hoa +, v0 = +Ec. Khi v+ < v-, ta co bao hoa -, v0 = -Ec. Dong ien
au vao khi nay cung van bang 0.
Tr lai ac tnh truyen at vi pham vi au vao tuyen tnh hu han, ta
thay : v0 tang theo v+, v0 ong pha vi v+, v0 giam khi v- tang, v0 ngc pha vi v-.
8.1.2. Cac s o khuyech ai thuat toan
1. Bo khuyech ai ao
Bo khuyech ai thuat toan mac thanh
bo khuyech ai ao nh sau : au thuan noi at.
ien ap au vao vI a vao au o qua RI, ien ap
ra v0 phan hoi en au ao qua RF.
V v+ = v- = 0, nen :
iI =

vI
RI

(IV 4)

iF = iI
v 0 = i F R F = i I R F =

(IV 5)
RF
vI
RI

(IV 6)

205

Bieu thc (IV - 6) chng t khiVI tang th v0 gam, vI giam th v0 tang. He


R
so khuyech ai cua bo khuyech ai ao bang A V = F khong phu thuoc ban than bo
RI
khuyech ai thuat toan, AV ch phu thuoc thong so RF, RI cua mach ngoai.
2. Bo khuyech ai thuan
Bo khuyech ai thuat toan
mac thanh bo khuyech ai thuan nh sau :
ien ap au vao vI a ti au thuan qua RI,
ien ap au ra v0 qua phan ap R1, R2 a ti
au ao.
v v+ = v(IV - 7)
va i+ = i- = 0 , nen
v0
v =
R2 = vI
R1 + R 2
(IV - 8)
t o, ta co :L
R + R2
v0 = 1
vI = A VvI
R2

(IV - 9)

Bieu thc (IV - 9) chng to khi vI tang th v0 cung tang, vI giam th v0


giam theo. He so khuyech ai cua bo khuyech ai
thuan la :
AV =

R1 + R 2
R2

(IV - 10)
Av cung ch phu thuoc thong so mach
ngoai (R1, R2)
3. Bo khuyech ai lap
Bo khuyech ai lap la bo khuyech ai thuan ac biet : au ra noi vao au
ao. Vay v0 = v1.

(IV - 11)

Bo khuyech ai lap thng dung e kch tai (yeu cau dong ang ke).
8.1.3. Bo so sanh va Trig Smit
1. Bo so sanh
Hnh ben la bo so sanh 0, v A0 = nen khi v+ = vI > v- = 0 th v0 = +Ec.
khi v+ = vI < v- = 0 th v0 = -Ec. Bo so sanh co the lam nhiem vu giam sat 0.
206

Hnh ben la bo so sanh ngng VT. V A0 = nen khi vI > vT th v0 = +Ec,


khi vI < vT th v0 = -Ec. ieu chnh chiet ap lam thay oi ngng vT.

2. Trig Smit
Hnh ben la s o Trig
Smit dung bo khuyech ai thuat toan.
V i+ = i- = 0 nen :
R2
v+ =
v0
(IV 12)
R1 + R 2
Khi vI tng oi am, bo
khuyech ai cong tac vung bao hoa
+, v0 = +Ec.
Vay mc ngng tren la :

VT + = +

R2
E c , v 0 = + E c khi v I < v T +
R1 + R 2

207

Khi vI tang en ngng vT+ th v0 ot bien t +Ec sang -Ec, bo khuyech


R2
ai lam viec vun bao hoa -, tng ng vi mc ngng di VT =
E c , tiep
R1 + R 2
theo neu vI > vT- th v0 = -Ec.
en khi ngng nao vI giam en ngng di vT- th lai ot bien mi.
Hieu cac ien ap ngng
V = VT+ - VT- =

2R 2
R2
R2
E c (
Ec ) =
Ec
R1 + R 2
R1 + R 2
R1 + R 2

(IV -

13)
Thay oi gia tr R1, R2 th ieu chnh c V.
Neu R1 = R2 th V = Ec

(IV

-14)
S phan tch pham vi cong tac cua Trig Smit, tom lai nh sau :
Pham vi vi
R2
vI <
Ec
R1 + R 2
R2
vI >
Ec
R1 + R 2

Trang thai v0
+Ec
-Ec

So vi Trig Smit a gii thieu chng 7, Trig Smit cau truc t


khuyech ai thuat toan cang u viet, v vay no c ng dung rat rong rai.
--------------------------------

208

209

You might also like