You are on page 1of 48
PHAN 1 (GIOL THIEU CAC DOI TUQNG LIEN QUAN CHUONG 1: GIOI THIEU HE THONG DIEU KHIEN NHIET DO VA NHIEM VU LUAN VAN 1.1, Gidi thigu vé nhigt 49 va phuong phap u khién : Nhigt d6 la mét dai long vat Iy hign dign khap noi va trong nhi vue, trong céng nghiép cing nhur trong sinh hoat. Nhigt 46 tar nén La mdi quan tam hang dau cho cac nha thiét ké may va diéu khién nhigt d6 tré thanh mot trong nhing mye tiéu cita nginh Digu Khién Ty Dong, Trong nhiéw link ve cia nén kinh in dé do va kiém soait nhigt 46 La mot qué trinh khdng thé thiéu duge, nhat la trong cng nghigp. Bo nbigt d6 wong céng nghigp lun gin lién voi quy tinh cong nghé cia sin xudt , vige do va kiém sodt nhigt do tt quyét dinh rét nhiéu dén chat lugng cua sin phim trong cdc nginh cng nghiép the pham, luyén kim, xi ming, gm sir, cong nghigp ché tao déng co dat trong. _ _ Tay theo tinh chat , yéu clu ctia qué trinh ma n6 doi hdi céic phuong phip digu khién thich hgp. Tinh én dinh va chinh xe cita nhigt dO cting duge doi hoi & day djt ra cae vin dé can phai gidi quyét. Mot diéu thue su cdn thiét 1a ta phai khdo sat ky déi tung cung cp nhiét mi ta cn phai diéu khién dé din dén m6 hinh todn hoc cu thé. Tir d6 ching ta sé gidi quyét bai ton diéu khién trén cor sé Iy thuyét d& nghién cima sin . Hé thong digu khién nhigt d6 cé thé phan lam hai logi : hé thong diéu khién hdi tigp (feedback control system) vi hé théng diéu khién twin tw (sequence control system) % Diu khién hoi tiép thudng durge xac dinh vi gidm sit két qua diéu khién , so sinh né v6i yéu edu thu thi (vi dy diém dat) va ty déng digu chinh ding . % Dieu khién tun ty thye hign ting bude diéu khién tiy theo hoat dong dieu khién trude khi xée dinh tuin yr. MOt hé théng muén chinh xde cAn phai thyc hién hdi tiép tin higu vé so sinh véi tin higu vao va ra sé duge géi dén bé diéu khién higu chinh dau ra. Hé théng diéu khién héi tiép cé nhiéu wu diém nén thudng duge thay trong cic hé théng ty dong. fe phuong phip diéu khién_khéc nhau nhung nguyén tic diéu khién 1a gidng nhau . Mét hé thong diéu khién nhigt do dya trén nguyén te hé thng hdi tiép c6 dang téng quat nhur hinh dui day itr} at - Nhiét 6 @) BO diéu khién BO phan gia nhiét Cam bién nhigt 6 Day 1a mot hé théng hi tin higu do lueng nhigt d6 vé so sinh voi wid tri d3t , sai 1éch'gita tin higu dat va do sé duge dura t6i b6 digu khién tao tin higu diéu khién céng suat cap cho bé phan gia nhiét . Nhu vay cc phuong php diéu khién khdc nhau vé ban chat la do cic BG diéu khién khdc nhau tgo nén. 1.2.Nhigm vy lun van : 1.2.1.Nhigm vu eta lun van 1a tim hiéu thyc hign cde mue tiéu sav 1. ‘Sir dung PLC SIEMENS $7-300 diéu khién 16 nhiét bing phuong phap md lai 2. Gidm sat diéu khién va thu thap dit ligu hoat dng cia 16 nhiét sit dung phan mém WinCC (Windows Control Center) 1.2.2.Pham vi diéu khién : do trong khuon khd gigi han cia Iugn van nén em chi gi6i han trong pham vi nhu sau © Déi trong : PLC 7-300 (CPU 314IFM), modun AI SM331 chuyén dung ¢6 kha ning doe true tiép tin higu tir ede eam bign nhw Thermocoup, PT... vi 10 nhigt din dung © Cam bién : Thermocoup TC loai K cé 46 nhay nhiét 40nV/1°C * Phuong tién diéu khién : OP (Operation Panel), tuy nhién duge thay thé bing PC ( Personal Computer ) véi hé diéu hinh Windows 98 © Giao tiép méy tinh : théng qua phin mém WInCC cia céng ty SIEMENS © BO diéu khién mé va PID : tich hop trong PLC $7-300 ctia cong ty SIEMENS © Chuong trinh diéu khién : soan thio bing phan mém Step7 cia cong ty SIEMENS cuvonG2: GIOL THIEU PLC SIEMEN VA PHAN MEM : STEP7 1. GIOT THIEU PLC PLC (Programmable Logic Control): thiét bi diéu khién logic khé trinh la Jogi thiét bj cho phép the hign link hoat cdc thudt todn diéu Khién logic thong qua mgt ngén ngie lp trinh, thay cho viée phi thé logic. 1.1. Vai nét vé lich sir etia PLC: PLC bat dau phat trién tir nam 1968 dé dap img mot yéu cau cia han; Hydraumatic Division of General Motors (GM). Tho gian ny, GM th nhigu thdi gian dé thay thé h@ théng diéu khién dya trén relay mi chinh hay thay d6i mau ma eta xe hoi. Bé gdm chi phi cao vé vige y thudt digu khién ctia GM goi hé théng dign tir ¢6 su linh dng cia may tinh, c6 thé lap trinh va bao duging béi cdc ky sur va cde chuyén gia trong xi nghigp. Né eting 6 thé chiu dyng duge bui biin cia Khong Ki, sv rung, nhiéu dign, d am vi nhigt 46 cao trong cdc moi trudng cong nghigp. PLC dau tién duge lip dit vio nim 1969 va thanh céng nhanh chéng. Nhing chitc nang gidng nhu relay duge thay thé, tham chi nhitng PLC dau tién cling c6 dé tin cy hon hé théng relay, ly do la thinh phan dign tir cia nd don gidn so véi cae pl cic relay dign co. Né chiém it khéng gian hon cic counters, timers va céc thinh phan diéu khién khac mi né thay thé. Kha nang 1p trinh lai cia né rit lon khi cé su thay ddi vé ké hoach diéu khién. Co 18 chia khéa l6n nhat dé PLC cé thé chap nhan duge trong céng nghi Ja ngén ngi lip trinh dau tign dya trén gian 46 hinh thang (ladder diagram) vi cae ky higu thudng ding ciia tho dién. Hau hét cfc nhin vign trong xi nghigp duge hudn luyén theo mite logic hinh thang nén ho d8 ding chip nhin n6 trong PLC. Thye ra mite logic hinh thang c6 vai tr chung trong vige Igp trinh va sia chita, cho dit ng6n ngtr lap trinh tan tién hon di doe phat trign. 12. Di PLC: ‘Mot hé diéu khién durge goi 1a diéu khién lap trinh duge khi cdc ng6 ra va cde ngd vao dugc ndi két vei nhau théng qua mét b6 khién c6 thé lip trinh, duge. Chite nang cita mét hé diéu khién duge chuyén 461 think mt churong trinh va dutge nap vao b6 diéu khién. BO diéu khién dua theo chuong trinh nay dé thy hign qua trinh diéu khién cdc ng6 ra va cdc thong sé ngo vao. Ching ta 6 nén ding mt ngon ngit 6 thé Igp trinh ? Trong nhing nam 1970 vi dau thép ky 80 nhiéw ky su, nha diéu hanh san sudt va nhimg ngudi thiét ké hé thong da tén nbigu thoi gian dé tranh lun vé dé tai nay song song dé 1a chi cho PLC. Ngdy nay, ngudi ta chip nhin PLC vi né tré nén kinh té va c6 hi qua trong hé théng diéu khién can tir ba dén bén relay hay nhiéu hon trong khi 46 chi phi cho m6t PLC nho chi vai tram dollars, Céc nha sin xuat dat higu suat va chat Iugng lén hing dau nén chi phi tre nén khong quan trong. Véi su phat trién manh mé ciia ky thudt may tinh nhung chiing ta vin ding PLC dé digu khién may trong cng nghiép 1a vi M6t PLC don gin cé thé diing trong nhiéu Tinh vue céng nghiép, iéc diéu khién 1p di 3p Iai mot téc vu don gidn nao d6 cho dn vige diéu khién dé chay chi mot tac vu phite tap. Cac chuong trinh diéu khién c6 thé duge thay doi mot cach dé dang, cai tién dé phi hgp voi hoat dong méi Chuong trinh diéu khién c6 thé dé ding nap vao nhiéu PLC, ding trao d6i chong trinh ‘Thai gian dap sing nhanh tré thin mot tiéu chun déi véi PLC. Digu nay 1a cn thiét boi vi trong diéu khién céng nghigp, cdc tin higu tir cic eam bién thay doi rat nhanh, Trong PLC e6 sin ede b6 dém, b6 dinh thi c6 thé sir dung véi nhiéu d6 chinh xéc khée nhau, khi trong qué trinh digu khién ¢6 cn thém, C6 thé chap nhan duge vé tinh kinh t& khi PLC duge sir dung trong cdc hé théng digu khién ed 4 relay hay nhigu hon. Khi ding PLC c6 thé giao tiép v6i cdc thiét bj nhu dén LED 7 doan, bé chon nhiin ( thumbwheels), cae chite nang vé xir IY tin higu analog, xi ly tin higu tin sé cao... Cho phép e6 thé hién thi dd hoa trén mt hé théng. ‘Truyén thong: Nhang hoat dong giao tiép vdi nhimg PLC khée hay mang mdy tinh gidp cho viée thu thap di ligu va trao déi théng tin duge dé dang. Cac thiét ké dau tién 14 nhiim thay thé cho phin cig cac relay day néi va cde logic thai gian. Tuy nhién, bén canh dé vige di héi ting cudng dung lvong rnhé va tinh d8 ding cho PLC ma van dam bio duge téc do xit ly cling nhur gia ca. Chinh nhimg diéu nay da gay ra sy quan tam sau sic dén vige sit dung PLC trong céng nghigp. Céc tip Iénh nhanh chéng di tir eéc Iénh logie don gian dén céc Iénh dém, dinh thai, thanh ghi dich... sau d6 1 cée chute nang lim toin trén cic may l6n... Sur phat trién ciia may tinh din dén cc bG PLC e6 dung Inong nhé 1én hon, 1 hon, PLC sir dung b6 nhé cé the lap trinh durge dé luu trit ede Ténh va thue thi ce chite ning dae biet gdm ed dieu Khién ON/OFF, dnb thoi, dém, ths ty, s6 hoe va xirIy dit liga, Veéi moi hg digu khién, ede tin higu dau vio, dau ra duge ndi vio PLC. PLC hoat dng bing cich kiém tra lai tin higu ihG), sau dé ghi két qua vao ng ra dura dén , LC, phiin cig CPU va chuong trinh la hai don vi eo ban cho qua trinh digu khién hode xir ly hé théng. Chite nang ma b6 diéu Khién duge xe dinh bi mot chuong trinh, Chuong trinh nay durge nap ca PLC, PLC sé thyc hign vige diéu Khién dya vio chong trinh nay. Nhu v néu muén thay déi hay m6 rng chite ning ctia quy tr ji chuong trinh bén trong b6 nhé ciia PLC. Vig th cng nghé, ta chi can thay thay déi hay mé rong chire nang sé duoc thyc hign mét cach dé ding ma khéng can mét sw can thiép mang tinh vat ly nao so véi cdc bé diéu khién ding day néi va relay. Nhiéu PLC giup gia ting su canh tranh. Cac qué trinh thurong str dung PLC bao gém: déng g6i, déng chai va vin chuyén, xirIy vét ligu, van hanh may, phat nang long, nhimg hé thong dicu khién xay dyng, hé thong bio vé, day chuyén ty dng, vé dudng va xir ly nude. PLC img dung trong nhieu nganh cong nghigp bao gém thite an va dd wong, chuyén déng t dng, héa hoe, nh, bét gi va gidy, duc va vat ligu. Thue ra, bat ky mot tmg dung nao cin dén dign déu cé thé sir dung PLC, So sanh PLC véi mgt s6 hg théng khie: Ro-le Mach sé May tinh PLC Gia thanh timg [Kha thip Thip ‘Cao Thap chite ning Kich thuée vat Léon Rat gon Kha gon, ‘Rit gon a Toe do diéu Cham Ratnhanh | Khanhanh | Nhanh khién Kha nang ‘Xuit sie Tét Kha tot Tot chéng nhiéu Lip dat Mac thoi Mic thoi gian | Mat nhigu | “Lap trink thiét ké va Lip, thiét ke thoi gian ait 1p trinh Khii ning digu Khong co C6 Rat kho Kho Khé ‘Cong tac bao Kém-cé rat | Kém- néu IC | Kém-cé rat | Tét- cae tri nhiéu cong tic | dugehin | nhiéumach | module duge tiéu chuyén | chudin hoa ding 1.3. Mét vai uu diém ciia PLC trong tw déng: Uu diém = ~ Thai gian it cong trinh ngan gon. ~ Dé dang thay déi ma khéng tén that tai ~ C6 thé tinh ton duge chinh xéc gid thanh. n it thoi gian hudn Iuyén. - Ung dung trong pham vi rong. = Dé bao tri, cae chi thj ra vao gitip xir ly sy c6 dé hon va nhanh hon. - Dé tin edy cao. ~ Chuan héa duge phin cig diéu khién, - Thich ting trong méi trudng khdic nghigt: nhiét 46, 49 Am, dign ap dao dong, tiéng én 1.3.1. Diéu khién gidm sit: ~ Thay cho diéu khién Relay. ~ Théi gian dém. - Thay cho ~ Didu khién tyr dong va bin ty dOng bing tay,cde may va cde qué trinh. 1.3.2 Dieu khién day: Cac phép todn sé hoe. panels diéu khién mach in, = Cung cap thong tin. - Didu khién lign we: nhiét d6, Ap suat... ~ Dieu khien PLD. = Diéu khién d6ng co chp hanh. ~ Diéu khién dong co buce. - Bigu khién qué trinh va bio dong, ~ Phat hign 16i va diéu han. ~ Ghép néi qua may tinh. ~ Ghép néi v6i may in ~ Mang tur déng héa xi nghigp. ~ Mang cue 69. ~ Mang mé rong. 1.4, CAu tric eiia mot PLC ; sates ~ MGt hé thng lip trinh cor bin phai gdm c6 hai phin: khdi xr ly trung tim (CPU: Central Processing Unit) va hé thong giao tiép vao/ra (VO) IN CENTRAL, OUT PUT PROCESSING. PUT UNIT Hinh 1.4.a-So dé khdi ca hé théng digu khién 1p trinh ‘ = Khéi diéu khién trung tim (CPU) gom 3 phiin: bo xié ly, hé théng bd. nhé va he théng ngudn cung ep Processcor Memory Power Supply Hinh 1.4.b-So dé khéi tng quat caa CPU 1- Cae Khoi cia $7-300 ~ Khdi tin higu (SM) + Khdi ngo vio digital: 24V DC, 120/230V AC + Khéi ngé ra digital: 24V DC, ngit tir + Khéi ngé vao analog: Ap, dong, dién tré, cap nhiét + Khéi ng vao digital: 24V DC, Ap, dong. = Khdi giao tiép (IM): Khéi IM360/IM365 va IM365 ding dé néi nhiéu cu hinh. Ching digu khién thanh ghi cia hé théng. - Khéi gia lip (DM): Khoi DM 370 dy phong cae khdi tin higu cha duge chi dinh. Chang han nhur dinh ché cho ede kh6i trong twong lai sé lp dit. ge nang (FM): Thé hign nhiing chife nang dc bigt sau + Diéu khién hdi tiép ~ Xit ly lién lac (CP): Cung cap nhiing tign nghi lién lac sau + Néi diém—diém + Mang PROFIBUS, + Ethernet céng nghiép ~ Phu ting: Céic thanh néi va bé phan néi phia trrée mat 2.2- Cac thanh phan co ban cita $7-300 = Dan nh§p: Bang ké cac thiét bj cau hinh $7-300 BO phan Nhigm vy Ranh trot ._ La gid tia $7-300 Neudn cung cp (PS) Bién d6i dp khu vyc (120/230V) ra 24V DC Id dp am vige ciia $7-300 Vi xir ly (CPU) .. Thue hign chuong trinh eiia ngudi ding phy kign: kkhdi nhds, pin fu tir Khoi giao tiép (IM) Két noi cic thanh dir Tigu gitta cdc gid (day) Khai tin higu (SM) . Lim thich nghi véi nhieu mire xt ly cua $7-300. (Digital/Analogy Phy kién: néi than ghi, néi pha tru Khoi chiie ning (FM)... Thue hign nhiém vu dinh vi, digu khién hoi tp v, Xir ly truyén thong ~ Dé noi cde b6 phiin PLC voi nhau, Phy kign: cap, cP) phin mém, khdi giao ~ Ray: Ngudn cung cdp, CPU, IM, va 8 loai khdi khac duge gin chat vao thanh ray. = Nguén: + Nguén cung cap cé nhiéu kiéu: 2A, 5A, dén 10A ap 24V. Ngudn Ap cung cép [a Ipai cach ly, c6 bao vé ngan mach, 4p 6n dinh khi khéng tai. C6 LED bio trang thai hur héng, Dén LED nhap nhay khi ngn mach. + Ban hu ¥ nuit di dign ap so cap 120V va 230V. ~ Khoi vi xit ly: CPU e6 nhiing thinh phan sau diy 6 mat trade: + Bao trang thai va bao I6i + Céng tic chuyén déi cdich + Méi ndi ngudn cung cap 24V + Phin giao tiép nhiéu diém MPI dé néi véi cde thiét bi Ip trinh hay PLC khac Ge chia pin (Khong c6 déi voi CPU312/FM) + He chita khi nhé (khong ¢6 d6i veri CPU312/FM, 314/FM) ~ Khéi giao tiép: C6 thé sip xép cu hinh theo nhiéu kiéu. nay durge chon tity theo day dign dp - Khai tin higu: Nhimg kh dung va dign ap ngo ra, Co b6 ndi bus digu cde bus dit ligu phia sau, in cho mdi khdi, va cde vong ndi i phia trube ~ Néi lign cé cap dé ndéi CPU truc tiép voi may lap trinh, - Cip: Cép PROFIBUS va cap néi cin c6 dé néi nhigu PLC véi hau. = FM: Nhiing khdi chire nang thay thé cdc khdi IP. - CP: BO xi ly truyén théng dinh cho hé théng bus dit ligu cua PROFIBUS. 2.3- Khii ning mis rng cia 87-300 D4 mé rong ti da: cho phép mé rong dén 32 khéi, nhiéu nhat 1a 8 hdi trén mdi gid (chong). Khéng ¢6 qui luft vé s6 ranh ding ky’ cho cdc khéi tin higu, ede khOi chire nang. vi cic b6 xit ly truyén thong. Nghia la ching e6 thé chiém bat ky ranh, ~ Khéi giao tigp (IM): + Kh6i giao tiép (IM 360/361) duge ding dé hdi tiép thanh ghi dir ligu 6 gid. BO IMS 1a khdi gti, va bo IMR 1a khdi nhiin. Cac khdi giao tiép pha ding ding ranh chi dinh. + Néu cin, ngudn cung cdp phai gin thém 6 gid m6 rong, + C6 logi khdi giao tiép tén IM 365 la dang tiét kigm ding cho cau hinh kiéu xép 2 khdi. (Khong ding cho nguén them; khéng néi CP), - Phin doan ndi bé: + C6 vai khdi chitc nding, ching han khdi FM NC, cé thé phai chi inh céng I/O cho ching. Khdi FM c6 ving I/O riéng, va khéi nay cé thé truy cap ving /O nhanh chéng. Ving /O nay li phan doan néi bd. + Mét phan doan ngi b9 cé thé cdu hinh cho ting rink, CPU khéng thé truy e§p VO. ~ S6 rainh + Ranh | dén 3:chi dinh thung tree Ranh 1: PS (nguén cung cp), néu c6 Ranh 2: CPU (vi xir ly), néu Ranh 3: IM (khdi giao tiép), + Ranh 4 dén 11 (tw do chi dinh): SM, FM, CP ¢6 thé eam vio bat cit ranh mio . sate - Khoing eich: ede logi cdp voi dé dai sau duge ding cho cde kiéu sip xép cu hinh : z + Cau hinh 2 chéng yéi IM 365 t6i da Im + Cu hinh nhiéu chéng vii IM 360/361 ti da 10m. 2.4, Cau tric phan cig Cae thanh phan trong cAu tric cha $7-300 1. Gid d3 chudn DIN: Nguén cung cp CPU, IM va nhiéu nhat 1a 8 module c6 kha nang Kip dat trén mot gid. 2. Ngudn cung cap: cé kha ning voi dong ra 2A, 5A, 10A va dién 4p ra 24V DC. Dign Ap ra phai céch biét, bao vé khi ngin mach va 6n dinh nhu Khi lim vige khéng tai, Mot dén bio nguén im vige & ché d6 binh thong vi dén nhdp nhay khi qua tai. C6 thé chon céng tic, chon luéi dién cung cdp 120V hay 230V AC. 3. BO xir ly trung tim: CPU duge lip rap voi cac phan tir dat & mat truée ciia thiét bi sau day: ~ Bén bao trang thai va bio I ~ Céng tic chon ché d6 lam vige 4 vi tri. = Ché noi cho ngudn 24V. 7 = Céng MPI cho thiét bj lap trinh hode cho ghép néi véi mot PLC hae. : = Ngiin dung pin (khOng e6 di v6i CPU 312). = Ranh dat mét module (khéng cé déi voi CPU 312). 4, Module giao dign: tgo kha nang ghép ndi nhieu céu hinh 5. Module tin higu: duge Iua chon theo mién gidi han cua tin higu vao va ra, Mai module cé thém mét bus ndi dé tao ra Khd ning m6 rong tiép cic tin higu do duge néi 6 bing déu day ciia b6 néi 6. Cable noi (Cap): du day trye tiép véi thiét bj lap trinh can ¢6 ‘mot cable danh cho may Ip trinh PG... Néu ndi m6t vai PLC véi nhau trong mot mang en phai ¢6 cable profibus va bd néi cable. 7. FM: module chiic ning thay ché cho module IP. 8. CP: BG xir ly truyén thang dinh cho hé profibus. 2.5. Modul mii rng: durge chia thinh 5 logi 1. PS (power supply): module ngun nudi. C6 3 logi 2A, 5A, 10A 2. SM (signal module): module mé réng céng tin higu vao ra. Bao gom: - DI (digital input): module mé réng cdc céng vio vo s6 06 thé la 8, 16 hode 32 tiy thude vio timg loai modul : ; = DO (digital output): module mé rng cac céng ra sé. $6 cae céng ra s6 06 thé la 8, 16 hoge 32 tiy thude vao timg logi module. | ~ DDO: module mé rong ede eéng violra $6, $6 ede céng vio‘ra 86 66 thé ld 8, 16 hoge 32 tiry thude vao ting logi module. ~ AI (analog input): module mé réng cée céng vao tong ty. Ching chinh la b6 chuyén doi so 12 bits (AD) tite la mdi tin higu tuong ty duge chuyén thanh mot tin higu s6 (nguyén) c6 d6 dai 12 bits. Sé ede cng vao twong ty 6 thé 1a 2/4 hod 8 thy timg loai module ~ AO (analog output): module mé rong cdc céng ra trong ty. Ching chinh 1a b6 chuyén doi s6 12 bits (AD) tire 1 moi tin higu tuong ty duge cae céng chuyén thinh mét tin hiéu sé (nguyén) c6 d6 dai 12 bits. $6 cac céng ratuong ty 2/4 hole 8 ty tig logi module. AVAO: module mé rong cae céng vao/ra twong ty. $6 cae céng tuong ty c6 thé 18 4 vio/ 4 ra hode 4 vao/2 ra tly loai module. 3. IM (interface module): module ghép néi. day 14 logi module chuyén dung 6 nhigm vu néi timg nhém cdc module mé réng lai véi nhau think mot kh6i va due quain Iy chung bdi mot module CPU. Thong thudng cic module mé rOng duye ga lién_ vii nhau trén mt thanh d6 goi 1a rack. Trén m6i rack e thé gi duge nhiéu nhat 8 module mé rng (khdng ké module CPU, module ngudn mudi). Mt module CPU S7-300 c6 thé Lim vige true tiép duge véi nhiéu nhat 8 rack vi cdc rack nay phai durge néi véi nhau bing module IM. 4. FM (function module): module chiie nang diéu khién riéng. Vi du module digu khién dong co bude, dGng co serco... 5. CP (communication module): module phye vu truyén théng trong ¢ PLC véi nhau hoge gitta PLC voi may tin mang gitta c CHUONG 3 : TAP LENH $7-300 ‘Tham khdo phy Ie CHUONG 4. CHUONG TRINH FCPA 4.1 Chuan bj mdt Project cho viée khai bio b9 diéu khién mo bing FCPA. Chuong trinh FCPA ( vige to lap b6 diéu KI trinh bay Trude hét ta phai cai FCPA doi hoi : = 6 it mhdt [Mbytes cdn tréng trong 6 cig = Chay duréi hé diéu hanh Window 95/98 hoe NT. uzzy Control Parameter Assinment) la phan mém hé tre md cho PLC Simatic $7-300 theo timg bude nhur da it FCPA trén may tinh c nhan.Vige cdi dat thanh cong ‘Toan b6 chuong trinh gée ctia FCPA gom 2 phin Fuzzy/Tool va Fuzzy/FB véi dung lgng tong cong 2,27MB.Dé cai dat ,ta goi tép Setup .exe ca Fuzzy/Tool ‘va ctia Fuzzy/FB tir Window va thye hign nhtmg chi din hign trén Sau khi da duge cai dat, phn chinh ciia FCPA sé duge tich hop trong Step? duct thr mye STWRFUZ, cae céng cu hd tr khée duge dua vao thu vign cua phan mém Step7 cting nhu Project FuzConEx. Xem hinh minh hoa BG diéu khién mo duge tong hop vai |, BM" FCPA e6 dang mot Khoi dit ligu (DB) cho |+ as, Project iimg dung. Khdi DB tao bai FCPA sé | srt: duge goi la khéi DB mo va dug sit dung (gts ciing voi FB Fuzzy Control cé trong Project" i= FuzConEx khi ci dt chwong trinh Gre Rezrcomn Fuzzy/FB v6i tén mie dinh 1a FB30. Boi vay ‘Gres sulacourt ney truée Khi sit dung FCPA dé tao lip DB mo sie cho Project tmg dung, bit bude Project img dung di phai cé FB Fuzzy Control. Hinh 1: tine vién ctia FuzCon Vi dy Project img dung cia ta c6 tén 1A FuzCon, Trude khi sir dung FCPA dé tao lap khéi DB mi cho Project ting dung FuzCon, ta phai sao chép FB Fuzzy Control c6 tén mie dinh FB30 tir Project FuzConEx sang Project FuzCon. Cé thé thay déi tén FB30 néu nhu trong Project ing dung cua ta da c6 mot FB tring tén, FB30 tit vi du FuzConEx sang Project hign hanh 4.2 Tao DB mor Sau khi 43 chuin bj m9t Projet ing dung cho bd digu khién mi (Project c6 chita FB Fuzzy Control), ta e6 thé bat dau sir dung FCPA dé tgo lp DB mo cho b6 digu khién mo va khdi DB mé nay phai nim trong cing mt th myc véi FB Fuzzy Control ciia Project tg dung . Dé vio FCPA ta thye hign Iénh goi ti Window theo thir tu. Start—> simatic + step7 + Fuzzy Control Parameter Assignment khi dé trén min hinh s® xuat hign cita sO. Do khéi DB mi phai nim trong mét Poject nao dé nén khi ich vo mot trong hai [=== 7 biéu tugng, FCPA sé yéu cau ta ce a a. cho biét tén Project chira khéi DB mé 46. Chang han khi kich |” io vao biéu tugng tg0 DB mo moi hop va khéi DB mi duge tao ra nay fin s@ phai nm trong Project co tén FuzCon thi ta phai cho FCPA | seen Hinh 3: tip mé sie dung trong cncong trinh biét tén sé duge dat cho khdi DB md,(ve DB2) va tén cia Project Ia FuzCon, Cita s6 man hinh khai béo céc dit ligu dé c6 dang nhur sau (Hinh 3) Sau khi da cho day di tén Project, tén khéi DB mi, ta dn phim OK.Churong trinh FCPA sé kiém tra lai trong Project FuzCon thye sy da e6 khéi ham Fuzzy Control hay chua bang thong bao ligt ké tat ca cde khdi ham da e6 trong Project img dung. Ta phai chon trongbing danh myc duge ligt ké ra d6 khéi ham Fuzzy Control da duge léy tir Project FuzConEx sang. An phim OK dé xde nhjin va ta bit dau cong vige tong hgp bé diéu khién mé voi phan mém FCPA. Trong lun vin, tap mo duge xy dymg véi hai dau vo ET, DET vi ba diu ra Kr, Kp va An_pha. ET 1a tin higu sai Iech tir bo diéu khién va tri dat, DET 1a dgo him sai léch. Kr, Kp, An-pha 1a cic tham 6 tinh toan dutge theo phuong phap Zhao Tomizuka va Isaka (xem thém phan ly thuyét digu khién mo) 4.3.Khai bao so bién ngén ngit vio ra Néu tgo mt DB mé méi thi sau khi én phim Sc OK xae nhain khéi FB Fuzzy Control, chong trinh FCPA sé hoi sé cac bién ng6n ngit vio/ra cia bo digu khién mé bing hép hoi thoai Viét tén bo digu khién mo (néu muén) va s6 ede bién ngdn ngit vao ra vao nhing 6 trong ig, Han ché cua FCPA IA: -Chi tao lip dug nhiing b6 diéu khién mé vai tdi da 8 bién vao. Hinh 4: Khai béo bién ngén ngievagira -Chi tgo lap due b6 digu khién vai t6i da 4 bién ra. An phim OK dé xée nhiin cdc gid tri vira cho. Nhiting bién ngdn ngit dau vao s& 66 t8n mac dinh InpuOl, InputO2,...v3 Outpu01, Output02...Jan Iugt fb tén mye dinh cia bién ng6n ngit dau ra, : : : Sau khi dn OK, trén man hinh s& xudt hign ctta s6 soan thao tiép gid tri ngon ngtr ela timg bién vao/ra cing nhur ludt hgp thanh ca bo diéu khién mos 4.4, Soan thio gid trj cho tig bid Cée gid tri cia méi bién ngén ngit dau cia gid tri ngén ngir la tip mo, nén bién ngén ngit ta cin phaiz 1. Khai bao sé cae gia tri ng6n ngtt (tap me) cia bién. 2. Soan thao tap nén cing nhur hm thudc cho tig gia tri ng6n ngit. Dé vao ché d6 soan thao gid tri ngdn ngit (tap mo) cho mot bién dau vao nao dé ta nhay kép phim chuot trai tai biéu tuong cua bién dé. Vi du dé soan thao gid tri cho bién vao Input01, ta nhay kép vao biéu twong ciia(da duge danh déu trén man hinh ).Khi a6 cita sd soan thao hign ra: Khai bao sé cite gid tri ngén ngir (tip md): Dé kt Inpu01, tachi ean kich chudt vio phim Insert ri tugng ting trong eita s6 hién ra (ti da La 7): ‘Tiép theo ta an phim OK. Sé cac tap ma tdi da ma FCPA cho phép Khai bao 1a 7. Cae tap mir durge khai bio s& mac dinh: -Cé tén Lin lugt li n-big, n-small, zero, p-small, p-big. -Cé ham thuge hinh tam giée duge chia déu trén tap nén. Sau khi dn phim OK, FCPA sé in ra man hinh cira s6 soan thao ham thuge cho méi tap mé nhu sau: thé trong Iudin van > (ng6n ngir) dau Ao duoc goi la bién ng6n ngit. Vi bin soan thio gid tri ngon ngir cho mot bao s6 cic tp mi cho bién 1 sO cic tip mo ean &6 bb, Hm thuge cho bién DET : c. Him thuge cho bién Kr va Kp : ham thi Muén sita di ‘ham thudc mic dinh cho tip mo nao, ta kich hhoat tap mo dé bang cach ghi trye tiép tén tap vao 6 chita tén tap mis hoae dn phim Y vA chon tén tp m@ trong bing danh muc hign ra, Hm thuge ella tip mo durge chon s@ chuyén sang miu do bao trang thdi tich cue cua né. Vige sita di him thuge déng nghia véi vige 461 dang (Singleton, tam gic hay hinh thang) va mién xée dink, C6 2 edch sira nhu sau: . 1. -Céich thé nhdr: Chon dinh cia ham thuge edn sta bing edeh dua chugt vao dinh dé va 4n phim chu6t trai FCPA sé bao dinh di duge tich cue bing mot vinh khuyén nh quanh dinh 6, vi dy nhu 6 hinh dui thi dinh C 1a dinh da duge tich eye. Gitr nguyén phim chudt kéo dinh dé sang phai hoc sang tréi dé thay di toa d6 cia dinh, 2. -Céich thit hai: Sita tryc tiép bing cach ghi tog dO méi vio céc 6 trong ita s6 Point. Nhu vay muén ¢6 him thude hinh tam gide, ta cho dinh B tring v6i dinh C (hai dinh 6 cling toa 49). Dé c6 dang singleton ta cho A tring véi D, B tring véiC. 2 Sau khi da soan thao hay sita d6i xong tit e4 cdc gid tri cia mot bién vio, ta én phim OK dé két thic, FCPA s® quay lai man hinh ban dau. 4.5. Soan thao gid tri cho ting bién (ngén ng) dau ra ‘Tuong tyr nhur da khai bio hay sita doi cho gia trj bién vao, vige khai bio cic gid tri (t4p md) cho bign ra ciing duge bat dau bing cich nhdy kép phim chudt tai tai biéu tugng eda bién dau ra, Mudn soan thao hay sta doi gid tri ngon ngo(tap md) cho mét bién diu ra nao dé ta nhay kép phim chudt trai tai biéu tugng cia phim a6, Vi du dé soan thio gid tri cho bién ra OutputO1, ta nhay kép vio biéu tong cia n6. Khi dé cita s6 soan thio s@ hign ra. Tiép tue ta kich chudt vio phim Insert dé khai bao sé cac tp mé cho bién Output01 Chi y li FCPA chi cho phép khai bao t6i da 9 gia tri cho mai bién ra. Sau khi Khai béo xong s6 céc gid tri (tap mi )cho bién ra OutputOl ta nhin phim OK vao man hinh soan thio. Khac vai bién ngén ngit dau vao, gid tri(tap md) cla cic ra chi e6 duy nhat mot dang singleton, Muén sita d6i gid tri ng6n ngit nao ta tich cure né bang cach chon tén tap mer ita gid tri d6 trong bing danh muc hign ra khi én phim ¥. FCPA sé bio trang thai tich cue edu ham thude eiia tap mé durge chon bing cach chuyén nd sang miu d6 va thém mét hinh khuyén & chinh gitta, : __ Dé stta d6i ham thude dang singleton don gidn ta chi cin stra d6i toa d6 cia né bing cdch dura con trd vao hinh khuyén, gitt phim chudt trai roi kéo sang phai/trai, hoge true tiép ghi tog d6 méi vio 6 Point cua ciza s6 man hinh soan thio. 4.6 Soan thao lu3t hgp thinh : Sau khi khai béo xong bién ng6n ngit vao/ra va cdc gid tri(\ip mo) cho ching chang han_nhu ta da khai béo m bién vao Input, ... ,Inputon v6i cic gid tri A, va s bién ra Output 1=B,),..., output s vi cic gid tri By ,..., Bu bude tiép theo Ia ta xay dung luat hgp thanh. Dé vao ché d6 xay dyng ludt hgp thanh cé cdu tric Néu Input I=Ay, va... va Input 1= Ajj, thi Output 1= By va...va va... va Input” =A, thi Output I= Ba: va...va Outputs=B>, Ry: Néu Input Outputs=B,, ta nhay kép phim trai ciia chu6t tai 6 if... then: Dé soan thio tig ménh dé hgp thanh. An phim insert dé chen thém sé la mot edt g6m cdc 6 tring, Sé cdc 6 tring nay duge qui dinh boi s6 cde bién ng6n ngit vio ra ma ta da khai bao tir truée. Méi 6 trong img vi mot bién ngén ngi. Tiép theo, néu ta nhay chudt tai 6 trong cua bién ngén ngir nao, trén man hinh sé hign ra bang, cac gid ip m6) cia bién ng6n_ ng do dé ta chon. Vi du 6 man hinh Sogn phia trén, ménh dé hop thinh thir nhat ma ta via soan thio bing cach chon gid tri cho no tir bang ede gid tri chinh la: ‘Néu IntputO1=n.big va IntputO2=n.big thi Output I=p_big. ‘Sau khi khai béo xong day da cac ménh dé hop thinh, man hinh soan thao luat hop thinh s® c6 dang nhur sau: Luat hop tinh cia &c bién ngon ngit Kr, Kp, trong do, do bi khéng ché vé kich thude cira sO man hinh, sé cée ménh dé hop thanh nhiéu nhat c6 thé duge hién thj 1a 9. tuy nhién ta c6 thé xem céc ménh dé hop thinh khie bing ech dich chuyén phim hién thi nhi chugt. 4.7. Chon déng eg suy dién FCPA chi cung cip mét déng co suy dién 1a max-MIN nén ta khéng cé nang chon mét at done co suy dién khic. 48. yh shi bi FCPA cing chi cung cap mot phuong phip giti mi duy nhit la phuome php diém trong tim, Boi vay ténman hinh soan thao b6 diéu khién cia FCPA khong cé phim ha chon phuong phap giai mé. i 4.9. Quan sat quan hé vito ra cia bé diéu khién mo Cling véi vige Khai b4o xong ludt hop thinb ta da két thie qui trinh sogn thio mot b6 diéu Khién mé. An phim OK dé két thic qua trinh sogn thao va tré vé cita s6 man hinh chinh cia FCPA. Vi du sau khi Khai bao mot b6 diéu khién mo c6 3 dau vio, 2 diu ra vi lugt hop thanh gbm 13 ménh dé hgp va dn phim OK, FCPA s& quay tro vé min hinh chinh. * Quan sit mét cach trye quan quan hé vio ra ciia bé diéu khién mer vira soan thao ta chon Debug>3D Graphic Display, khi d6 trén man hinh xuat hign do thi m6 ti quan hé vio/ra ciia b6 diéu Khién mi nhur sau: a.Kr: b. Kp TF ei PF le ©. An_pha: Ngoai ra, ta con cd thé sir dung churong trinh FCPA dé mé phéng tin higu vio ra ‘va cdc méi quan hé ciia bé diéu khién ma (hinh) Bing cach thiét lap gid tri cho céc tap mo ta c6 thé quan sat trang thdi va gid tri hign thai ctia Kr, Kp, An_pha (hinh ) Sit dung DB mi v6i FB30(fuzzy control) tham bién hinh thire cia F B30 B6 diéu khién mé duge soan thao xong can phai duge cat gilt vao Project bing Ignh file—ssave. N6 sé duge hu trit vio Project duéi dang mot khéi DB ma ta da dat tén, Khoi dit ligu mé nay duge sir dyng cing voi khi him FB30 da duge lay tit Project FuzConEx trong thur vign ciia Simatic Manager khi cai dat chong trinh Fuzzy/FB. Boi vay khi sit dung khdi dir 1igu més ta phai két thie FCPA bing File> Exit va quay tré lai Simatic Manager dé viét lénh str dung theo cau tr Ci php CALL FB30, DBx Trong d6 DBx la tén kh6i dir ligu mo. Khéi B30 (tén hinh thite Fuzzy Control) 068 dau vio INPUT 1+ INPUT 8 kiéu sé thyc, 5 bién ra gm =) OUTPUT 1+OUTPUT 4 ciing kiéu s6 thyc va INFO kiéu byte. Khi thye hién lénh goi khéi FB30 nhur trén, toan b6 8 bién hinh thie déu vio va 5 bién diu ra hign trén man hinh ché ta truyén tham tri: CALL FB 30, DBx INPUT 1 INPUT 2 INPUT 3 INPUT 4 INPUT 5 INPUT 6 INPUT 7 INPUT 8 OUTPUT 1 OUTPUT 2 OUTPUT 3 OUTPUT 4: INFO Hoa Vi du, xét Iai bai toan diéu khién cau truc da duge dé cp téi & mye 5.1.1. Goi tén koi dat ligu mo v. bien vao cx a: mot bién ra v va ludt hop thanh nhu di m6 ta durge soan thiobang FCPA 1a DB2 thi khi sit dung ta diing lénh: CALL FB 30, DBx INPUT 1 := MDO // Gia tri tin higu do gée INPUT 2. :=MD4 /) Gia tri tin higu do 6c d6 woe INPUT 3. 1/ Khong sir dung INPUT 4 Wt _ INPUT 5. I INPUT 6 INPUT 8. I INPUT 7 OUTPUT 1:= MD8 J} Gidstri higu digu khién dong co OUTPUT 2 #/ Khong sit dung OUTPUT 3 n ee OUTPUT " = INFO 1/ Thanh ghi bio trang thai Néu nhur trude d6 gid tri tin higu do gée a da durge ghi vio trong 6 nhé MDO, gid trj do téc 46 thay déi géc a duge ghi vio MD4. Tin higu diéu khién d6ng co sé dugc FB30 truyén vao 6 nhé MDS. * Thanh ghi béo trang thai Lim vige cla FB30 Gia tri tri vé c6 tén INFO véi kich thude mt byte 18 ma béo trang thai the hign cng vige cia khdi him FB30.N6 durge quy dinh nhur sau: j 330 da duge thyc hién binh thudng. 30 khong duge thyc hién. Gid tri tra vé 6 dau ra vin 1a nhimg gia tri ci B#I6#I1 — Khéng tim thay khéi DB mé da chi thj. C6 thé khéi DB mo nay da khong duge dé vao CPU, B#16#21 — Khéi dir ligu DB mé duge goi theo ham FB30 khong ciing kich thude vé bién vio ra, Chiing han nhu khéi DB mo da duge soan thio cho 4 bién vao va 2 bién ra, nhung khi goi cing véri FB30 lai khai bao 5 bién vio va 2 bién ra Lin quan téi ma B#16#01 bao FB30 khdng kim vige Li ndi dung tir kép 6 tén START_STOP trong DB mii da duge soan thao bing FCPA. Tir kép nay c6 tic dungnhur mét bién diéu kign dé thu hign lénh CALL FB30, DBx: -Néu START_STOP = W#16#0000 lénh s@ durge thue hién. -Nguye lai khi START_STOP # W#16#0000 thi Iénh s& khdng duge thue hign. CHUONG 5 : MODULE MEM PID Nhiéu nam trudc day, bd diéu khién PID duge coi 1a b6 diéu khién ly tuéng ddi véi cae doi tryng c6 mé hinh lién tue, BG PID thye sy 14 b6 diéu khién dng ma viée thay ddi cdc tham sé ctia bd diéu khién c6 kha ning lim thay déi dic tinh ‘dong va tinh cia hé thong diéu khién ty dng. BO diéu khién PID thye chat 1a thiét bj diéu khign thye hign Iudt diéu khién duge m6 ta bing phuong trinh sau Ll u(t) =k,e(t)+ qleoare Tet) « (| Bi twgng ue itu khién Trong do e(*) la tin higu vao, u(¢) 1a tin higu ra cia b6 diéu khién, &, la hé s6 khuyéch dai cua ludt diéu khién ty 1é, 7, hing sé thdi gian tich phan va 7, 1 hing 86 thoi gian vi phan. Déi véi hé théng ed d6 dy trit Gn dinh Ién, néu muén ting d6 chinh xie diéu Khién ta chi ting hé so khuéch dai cia Iudt digu Khign ty 18 HG théng s@ khong c6 sai Iéch tinh khi tin higu vao 1a him bac thang don vj va hing s6 thoi gian tich phin 7, duge chon khéc khong. Ludt diéu khién tich phan cn goi a diéu khién chm sau vi sai u khién durge tich lily cho dén khi dit Jén thi quyét dinh diéu khién méi dugc dura ra. Tang kha nang téc déng nhanh cua hé, giam bet thi qua diéu chinh bang cach thay doi hing so thoi gian cita Inat diéu khién vi phan. Luat dieu khién vi phan cén duge goi la diéu khién vuot trude. Ludt didu khién trong phuong trinh (5.1) thudng duge biéu hign duéi dang ham truyén dat nhw sau ( the rao) =*a + TPM + Tos) (5.2) i, ? » iP Wop) = Tir nam 1975 tro Igi diy, do sy phat trién khong ngimg cia ky thudt dign tit va ky thudt vi xit ly, cae PID s6 ngay cing duge sit dung rong rai trong cing nghigp, PID s6 duge m6 té qua phurong trinh vi sai phén sau : MEM He, Hea +N Hoac bang ham truyén dat gidn doan tne tne 1+ PID, nguivi sir dung dé ding tich hgp cae ludt diéu khién khac 7 nu Khién ty 1é (Iudt P), diéu khién ty 1étich phn (Iudt PL), luat diéu khin ty 1é-vi phan (lugt PD). B6 diéu khién PID ludn 1 mét phan tir khng thay thé duge trong céc qua trinh ty dong khéng ché nhiét 49, mirc, tbe 6 Ngay ci khi ly thuyét diéu khién ty dng hign dai duge img dung vio vige cde b6 digu khién nhu bo diéu khién md, bd digu khién no ron , 6 diéu Khign bén vig thi vige két hgp gid ede phuong php diéu khién hign dai va b6 diéu khién PID kinh dién van dem Igi nhig higu qua bat ng&r ma khong b6 diéu khin nio ¢6 kha nang dem Iai M6t trong nhiing img dung ctia bé diéu khién PID trong diéu khién thich nghi va diéu khién m6 la thueong xuyén phai chinh lai cdc tham s6 ctia nb cho phit hop véi sw thay doi khong biét truée cia doi tong cing nine ciia méi tring nham dam bao durgc ede chi tiéu chat Iuong da dé ra cho hé thong. Néu nhur ta da tur déng héa duge céng vige thay doi tham sé nay thi_bé diéu khién PID dé sé li mot b6 diéu khién bén ving véi moi tc dng cia nhigu ngi cing nhur nhiéu ngogi lén hé thang (3). Day chinh la phurong phip diéu khién durge tmg dung trong lun van : diéu Khién mi 1 Phéin bi: thuyét vé PLD sé dug trinh bay kha chi tiét trong ly thuyét vé PID & phan saw, nén trong phan nay chi khai quat mot s6 nét chinh Cling chinh vi vay ma cae thiét bi diéu khién qua trinh nhu DCS Disbuted Control system, PLC Progerammable Logic Control, PCS Process Control system cla cic hang san xudt thiét bj ty dng trén thé gidi khéng thé thiéu durge module diéu khign PID hod citng hose mém, Dé sir dung t6t cic module niy, ngudi thiét ké phai nim duge cic phuong phap chon ludt diéu khién va céc tham s6 cho b6 diéu khién. 5.1 Xac dinh tham s6 cho b@ diéu khién PID Luat diéu khién thudng duye chon trén eg si 48 xée dink duge m6 hinh tosn hoe cua déi tuyng va phai phit hgp voi déi tong cing nhur théa man cdc yéu cau bai ton thiét ké [7], [15] Trong trudng hp mo hinh todn hoc cua déi tugng khong xde dinh duge e6 thé chon ludt diéu khién vi cdc tham s6 cia b} diéu khién theo phuong phip thye nghigm. Tuy nhién, dé tién hanh duge phuong phdp thye nghiém, hé thong phai dam bao thoa man thém mét sé diéu kién. 5.1.1 Phuong phip Reinich Phuong phép # fhudt diéu khién cia Reinisch dua trén co so mé hinh todn hoc cia déi tong da xc dinh mét céch tuéng minh. Mé hinh déng hoc cia déi luge dua vé hai dang co bin sau: 1) Dang khau nguyén him véi m6 hinh dae trng (ete, + bpe™ Wp)=ky ‘a TIarony Pd taetetae") (5.5) V6i T, la cac s6 thyc théa min 7, 27, >...2 7, 2 Ova hing s6 théi gian tre 7,, la mét 6 thyc hign hiru han khéng am. Khéng mat tinh tong quat néu ta gid thiét7;. hang s6 théi gian lén nhat va T, 1a hing sé thé gian lén thir hai Néu0 © V6i hai trudng hgp phin bigt ¢, = Ova e, # 0.Tham s6 T duge tinh béi &,k, cho déi tugng dang 1 { yx, cho di turomg dang 2 vac, duge xc dinh tir céc tham sé ctia déi trong sau : = Dre T =a, —b47, Tham sé Ki ciia b6 diéu khién PID sé duge xae dinh tit T theo (5,8). Cac tham sé T,,.T,.. con lai thi duge tinh don gian la 7,, =7, va 7, Diéu khién adi tugng dang | é chon T cho déi tuyng dang 1 ta di tir d} qua digu chinh ewe dai mong muén Sou thong qua hg s6 chinh dinh @=f(o...) theo cong thie 1 T=Ca>K,= aCe (6.10) 1) Cho trudng hgp (5.7) e6 e=0, hé s6 chinh dinh o duge tinh theo Ain? oyu, (5.11) HIN Oa. 2) Cho truing hop (5.7) 6 ‘bang bén, hing sé y cé thé duge xac dinh theo cdc cach : 1.0 thi a= a+ cy véi a vac xée dinh tir c.,. theo néu diéu khién duge sir dung li 1 (5.12) = néu diéu khién duge sir dung la P hode PI (5.13) 2 néu diéu khién duge sit dung la PD hode PID (5.14) (5.15) ©) c=, +(T, ~ba,-b)+ 76 -Te, 6-16) Vi du 1: Cho mot déi tugng thude dang 1 (theo phuwong trinh (5.5) véi mo hinh w(p)>—1__ev -__1__, 1s 4p+40p (4 10py+4p) ‘Hay thiét ké Iudt digu khién va chon tham sé sao cho d6 qua diéu chinh qu khong vurgt qua 10%. Dé diu khién déi tong trén ta cd thé sit dung cde b6 diéu khién I, P hotie PI. | ‘Theo nhur bing trén thi yéu clu o,,, 210% din dén a=1.4 vi e=1. Hon ntta di tugng c6 cae tham sé ky = |4s,a, = 40s*,7, =4s va T=6. Boi vay Néu b@ digu khién ma ta sir dung Iai la PI thi cdc tham sé cin xéc dinh cita bd digu khign la &, va T,,. Tit a=atey =238 ta suy ra duge 05 vi T,, =10s,Tp, =0 i turgng dang 2 Uu diém cia phuong phap Reiniseh la ngay ca trong trudng hop déi tuong cé thanh phan tich phan (dang 2), ef gi thiét cho céng vige tinh toan tham sé b6 diéu khién nhwr ¢,¢,,¢),¢,,¢),¢; cling duge tinh giéng nhur cho déi tugng dan; Doi voi oe dé diéu khién d6i tuong dang 2, Reiniseh dé xudt sir dung bo diéu PD (Khong e6 1) va do dé theo cng thite ham truyén dat (5.2) etia 6 diéu khién thi chi con hai tham s6 &, va 7, phai xéc dinh. Voi nhiing gid tri trung gian ¢,),c;,¢2.¢340% « tinh theo (5.12) : (5.16), ta 66 y= khién duge sir dung la P b) y = © néu bé diéu khién duge sit dung la PD. Tie dé suy ra: 1) k, = 1 cho b6 diu khién P. aca 2) k, = 1a 7, =7, cho b6 digu khién PD. aca Trong 6 a =a+cyva a, ¢ duge tinh tir 49 qué digu chinh eve di mong muén theo bing da cho 6 trang 210. Vi dy 2 : Tim b@ diéu khién cho déi turgng thuge dang 2 voi mé hinh i) ee asd, +409) dé oo, 510%, Gidng nhu @ vi dy 1, cde gid tr) trung gian la 10s,¢; = 68,c, = 1988 985",c) = 745°. Boi vay néu chon b6 diéu va do do k, = 0,05, T, =10 5.1.2 Phuong php thye nghigm Trong tring hgp khong thé xay dung mé hinh cho d6i trong thiét ké thich hop 1a phuong php thy nghiém, Thye nghiém chi c6 thé tién hinh néu hé théng dam bao diéu kién : khi diva trang thdi lam cita hé dén bién gist 6n dinh thi moi gid tri ciia cdc tin higu trong hé thong déu phai ném trong gidi han cho phép. Phuong phiip Zlegier vi Nichois # é Trude khi tién hinh thy nghigm hé théng phai duge lp dit theo so d8 6 hinh 5.1, bao gdm déi tung va bd diéu khién theo ludt PID. Sau khi lip dit xong, thu nghigm duge tién hanh theo cde bude sau : 1) Cho hé théng lam vige 6 bién gidi én dinh Digu khién déi tung theo ludt P, tite 1a cho 7, > 0 va T, 0 ‘Tang hé s6 khuéch dai &, cita Iudt diéu khién P cho dén Khi hé thong & bién gidi on dinh. Xac dinh hé 6 k,,, va chu ky giao dong t6i han dao déng Trong nhigu trrdng hop , viée xée dinh chu ky dao dng riéng gip khé khan va khdng dim bio 46 chinh xac thi phuong phap gidi thigu sau day sé khdic phuc huge diém a6. Phuong phap Jassen va Offerein Thue nghiém theo phuong phap nay duge tién hanh theo cdc butte sau diy : 1) Cho hé théng lam vige 6 bién giéi dn dinh. Didu khién dé i wong theo lust P(T, 0 va 7, >=) Tang hé s6 khuéch dai &, cua luat diéu khién P cho dén khi hé thong & bién gidi 6n dinh. Xe dinh hé s6 k,,,, va chu ky giao dng téi han dao déng. 2) Chon Iudt diéu khién va tinh tofn tham s6 tir k,., . 7, theo bang sau Lat dul &, | 7, | t, Khién cee ngeen Laat P [0.5 Luat PL [0.45 | 0.8 Luat PID [0,6 [0,5 [0.12 Phuong phap Jassen va Offerein 1) Cho hé thong lam vige 6 bién gi yn dinh_ Khién ddi tugng theo luat P(T, > 0 va T,,20) Xde dinh hé $6 k,., 2) Chon tham sé cho luat PI Cho hé lam vige véi luit PI vi voi hg sé &,=0.45 &,,,, T,tily chon, Gidm hang sé thoi gian tich phan 7, cho dén khi hé théng lam viée & bién gidi én inh. Xac dinh hing s6 thdi gian tich phan T,, & ché d6 nay. Chon 7, =37,, 3) Chon luat iéu khién PID Cho hé théng lam vige theo luat PID vai &,, chon. = EB i i” Tang hing sé théi gian vi phan cho dén khi hé théng dat duge qué diéu chinh cue dai lon nhit o,,. = max .Xde dinhT,,.. 1 ww (§ dit nhd), 7, va, ty 5 T, Chon Ty = Tou VAT Gidm &, cho dén khi hé théng dat duge dac tinh déng hoc mong muén. 5.2 Module mém PID 5.2.1 Nhing module PID mém cé trong c6 trong step7 Phan mém Step7 cung cp cic module mém PID dé diéu khién cae déi trong c6 mé hinh lién tuc nhu 16, déng co, mirc... dau ra ciia déi twong duge dua vio dau vo cita bO digu khién qua cde céng vio twong ty cia ce module vio twong ty eta cae Simatic $7-300/400, Tin higu ra cua b6 diéu khién cé nhiéu dang va durge dura dén cac co cau chip hanh qua céc module vio ra khéc nhau nhu: ~ qua cée cdng ra twong ty cia module ra twong ty (AO) hotie = qua cée céng ra s6 cla module ra s6 (DO), hose = qua cdc céng phat xung ra téc d6 cao. Phu thuée vao co cau chap hinh, ngudi sit dyng c6 thé chon due module mém PID tuong thich, Ba module PID duge tich hgp trong phin mém Step7 phi hop voi ba kiéu co cau chap hanh néu trén, do 1a: 1 diéu khién lién tye v6i module mém FB41 ( tén hinh thi CONT_C). 2 Dieu khién bude véi module mém FB42 (tén hinh thite CONT _S) 3 Diéu khién kiéu phat xung voi khdi ham hé tro FB43 ( tén hinh thire CONT_S) MGi module mém PID déu c mét khéi lugng dit ligu riéng (DB) dé luu gitt cde dir ligu phyc vy cho chong trinh tinh ton thye hign Iudt dicu khién. Cac khoi ham FB cla module mém PID déu cp nhat duge nhimg khoi dit kigu nay 6 moi thoi diém. Module mém FB PULSEGEN duge str dung két hgp véi module mém FB CONT _Cnt t sn c6 tin higu ra dang xung toc d6 cao thich img voi hing eo edu chap hanh kigu ty 16 M6t b6 diéu khién PID mém duge hoan thign thong qua céc khéi him FB nhiéu chife ning tgo ra tinh linh hoat cao trong thiét ké, Newdi str dung c6 thé chon cée chite ning nay hoc logi bo cae chize nang khéng can cho mot he thong. Cac chite ning co ban khic nur xtt ly tin higu chi dgo, tin higu qué trinh va tinh todn ede bign khde edie véi BG digu Khién PID cing duoc tich hgp sin trong m6t module diéu khién mém. Mét diéu cdn cht ¥ 1a nhiing module PID mém khéng toan t6i mite c6 thé img dung duge vao moi bai toan diéu khién. Dic tinh digu khién va toc do xir ly toan cia module PID mém phy thude vao_loai CPU duge chon dé giai quyét bi diéu khién. Do khi xit ky mt mach vong diéu khién ngudi ta phai thy hiér c trich mau tin higu diu vio cho mgch vong digu khién dé (lién quan dén ti higu béo ngit theo chu ky thi gian OB30 + OB38), nén cin phai co sy trong thich giita sé mach vOng diéu khién PID va kha nang cing nhur téc 46 tinh ton ciia CPU, Néu bai tosin diéu khién yéu cau tin suit cp nhat cing cao thi sé dong digu khién phai cing gidm. Chi 6 nhimg bai toan e6 s6 vong diéu khién it ngueti ta mdi cé thé sir dung ede bG module PID mém c6 tin sudt truy nhp cao. Tit ca cdc module PID mém déu cung cAp nhiéu gii phip Iya chon Iugt did khién trong khi thiét ké dé 49 phi hp duge véi déi tuong nhu : ludt diéu khién ty 1é (luat P), lugt diéu khién ty 1 — vi phn ( Iudt PD), luat diéu khic ty Ig — tich phan (Tuat PP... Chat lugng etia hg thong phu thude vaio ac tham s6 cua b6 didu khién do dé, diéu kign bit bude dé dam bao thinh céng trong thiét ké 1a ngudi sit dung phai cd m6 hinh déi tugng chinh xde, Dé cing chinh 18 nhuge digm co bin cia cae phrong phap diéu khién kinh ign Cie dai lung vat ly ctia déi trong va dac tinh cia bo diéu khién quyét dinh dc tinh dng ciia hé théng trong qué trinh diéu khién va chi bi thay ddi rat it so voi thigt ké, Chi 6 thé dat duge chat Iugng digu khién t5t néu nhur ngudi thiét ké chon thugt diéu khién va thoi gian trich mau phit hop véi déi tong Hoan toan c6 thé thi 6 diéu khién (cau tric ,tham s6 , goi module mém PID trong chuong trinh hé théng ) ma khéng edn lap trinh. Tuy nhién muén kim duge hu vay phai nim duge phan mém Step?. 5.2.2Khai bio tham sé va cae bién ctia module mém PID Nguoi thiét ké cé thé khai bao tham sé bién cho bé diéu khién trong mét dit ligu dia phuong (instance data block ) bing cdch sir dung giao dign ciia module mém PID. Bé vio chung trinh khai bao tham s6 khé thye hign Star Simatic Step7 PID Control Paramete Assignment eaceeceeceeees Trong hp hoi thoai_ dau tién,nguoi thiét ké c6 thé mé khdi dit kigu (DB ) da tich hop sin cho FB14 :con- C”, FB42 “ CON_S “ hoae mé mot khéi dit ligu mai hoan toin, Riéng FB43 “PULSEGEN” khéng thé thuc hign chon tham s6 va bién qua giao dién, trong truong hgp nay ngudi thiét ke phai sir dung cng cu cia STEP7 de thigt kip tham s6 va khai bao bien cho bé dicu khign Oi voi CPU 314 IFM c6 thé thiét 1§p tham s6 va bién cho module mém SFB41 hoe SFB42 bing cdch nhip true tiép mOt khdi dir ligu bat ky va chon n6 Lam Khdi lugng dit ligu eye b6 cho nhiing module nay. 53 diéu khién lién tye v6i FB41 “CONT C” 5.3.1Gi6i thigu chung vé FB41 So dé cu tric cita module mém FB41 “CONT- C” duge minh hoa trong hinh 5.2 SC ee Hinh 2 : Cau the ctia modun mém FB41 * CONT C” * CONT — C” duge sir dung dé diéu khién cic qué trinh ky thudt véi cic iu vao va dau ra tuong ty trén co s@ thiét bj kha trinh Simatic. Trong khi t lap tham sé, cé thé tich cue hodc khéng tich cuc mét sé thanh phin chite nang ctia b6 diéu khién PID cho phii hgp voi cdc déi tuong. Cé thé sir dung module mém PID nhu mot 6 dicu khien vai tin higu chit dao dat cimg (fixed setpoint) hod thiét ké mot hé thong diéu khién nhigu mach vong theo kiéu diéu khién cascade. Nhiing chite nang diéu khién duge thiét ké trén co sé cia thuat diéu khién PID ciia bé diéu khién mau voi tin higu tuong ty. Module mém PID bao gdm tin higu chit dgo SP=INT, tin higu ra cia cdc d6i tuong: PV_PER, tin higu gid dé m6 phong tin higu ra cia adi tong PV_IN, cdc bién trung gian trong qué trinh thy hign Twat va thugt dieu khién PID nhu PVPER-ON, P-SEL, D_SEL, Man-ON. .. Tin higu ra cia CRP-IN = PV-PER x 10 27688 Chuéin héa :Chire nang cia him chun hoa PY NORM tin higu ra cia di tong ki chuan héa tin higu ra cla ham CRP-IN theo cng thite : ‘Tin higu ra cia PV_NORM ‘Tin higu ra cia Crp-IN) x PV- FAC- OFF Hai tham tr] khong ché day gid tri cho phép ctia PV_NORM li PV_FAC va PV_OFF. Mac dinh PV_FAC cia him PV_NORM cé gia tri bing 1 va PV_ OFF cé gid tri bing 0. Loe nhiéu tac dng trong lan cdn diém lim viée : Tin higu sai léch 1a higu gitra tin higu cha dao va tin higu ra ciia d6i tugng.N6 duge tao ra t ngay trong FB41 va la dau vao ciia khéi DEADBAND 6 tac dung loc nhing dao déng nhé xung quanh gid tri xac lap. Néu khéng muén sir dung DEADBAND hoic voi déi tung ma cd thé bé qua str anh hurémg ciia nhiéu trong lin cn diém lim viéc ta chon DEAD- W 5.3.2 Chon Iuat diéu khién trén Module FB41 “CONT- C” Hinh 3 : Thudt Diéu khién PID Hinh 5.3 mé ta thugt PID duge thiét ké theo kiéu song song cia ba thuat diéu khién don lé: ty 1¢ (P), tich phan (1) va vi phan (D) theo scr d6 edu tric trong hinh 5.2(sau khdi DEADBAND). Chinh vi cdu tric song song nhur vay nén ta ¢6 thé théng qua céc tham tri P_SEL, I-SEL hay D_SEL ma tich hgp duoc céc thuat diéu fe nhau tir bO digu khién mau nay nhur thudt diéu khién P, PI, PD, PID. tn é dé ty dong (automatic mode ) hoc ché dé bing tay, G ché d6 bang tay cic ché 46 iia cae bién duge chon bing tay. BO tich phan (INT) ty thiét lap ché dg LNM-LNM-P-DISV va b6 vi phan (DIF) ty dong ve 0. Digu dé dim bio cho vige chuyén ché A tir thiét lap gid tri bing tay vé ché dong khéng gay mot bién d6i nao déi véi cde bién da duge thigt Kip gid tri tay. Ciing 6 thé dat gidi han cho cac gid tri duge thiét lap bing tay nho ham LMNLIMIT. M6t bit cér s€ c6 mot gid trj logic bing | khi bién vio c6 gid tri vugt qua gidi han da chon, Him LMN- NORM s@ chun héa tin higu ra cia ham LMNiimit theo cong thite: LMN = (TIN HIEU CUA LMNLIMIT )*LMN-FAC + LMN-OFF Mac dinh LMN_FAC cé gid tri bing bing 1, con LMN_OFF cé gia tri bing 0. Cac sid tri d3t bing tay e6 thé theo mt cach biéu dign rigng, Him CRP-OUT eé chiie nang bién déi tir kiéu biéu dién s6 thyc dau phay dong sang kiéu biéu dién riéng theo céng thite: 27648 100 LMN-PER = LMN * Ngoaii ra nhiéu co thé duyge Ic trrée bing cach dira quan dau vao DISV. 5.3.4 Khoi dOng va thong bao Idi FB41 “CON- C” 6 mét chuong trinh con phuc vu cho vige khdi tao lai hon toan hg théng. Chuong trinh nay duge duge goi khi tin higu vio COM-RST e6 gid tri logic bing 1 : Trong khi khi tao, lujt diéu khién tich phan duge ty dong thiét lap véi gid tri koi tgo LITVAL. Néu lug diéu khién durge goi theo ngit thoi gian, né sé Iuén ludn im vige v6i gid tri nay, Tat cd déu ra khac duroe dat gid tri mic dinh, Khéi FB41 * CON- C” khong c6 kha nang ty kiém tra 16i bén trong cia module mém PID. Ma bdo 18i RET-VAL khong duge sir dung. 5.3.5 Tham bién hinh thite dau vio Khdi FB4I “Con ~ C” cé 26 tham bién hinh thire dau vao nhur sau: Ten bign [Kiéu [Pham vi[Gid tr] Mo ci ehife ning di — | gidihan | mac ligu djnh_ COM-RST | BOOL FALSE | COMPLETE RESTART Khdi 06 chit théng _hoan “complete restart” duge thiét lip gia trj logic TRUE. MAN-ON BOOL, TRUE MANUAL VALUE ON Khi diu vao “manual value on” cé gid tri logic TRUE mach vong diéu khién sé bj ngat, cde gia tri sé duge thiét lap bing tay PVPER-ON BOOL FALSE PROCESS VARIABLE PERIPHERAL ON Khi doc bién qua trinh tir cdc cng vito! ra, diu vio PV_PER phai duge ndi véi cng vio 7ra va diu vio “process variable peripheral on “ cé gid tri logic TRUE. P-SEL BOOL, TRUE PROPORTIONAL ACTION ON Hoat ding cita bé diéu khién PID c6 thé tich cue hoe khéng tich cue tig phin riéng trong thugt diéu khién PID. Thuat digu khién ty 1é due kich hoat khi gid tri TRUE duge thiét lap tai céng vao “proportional action on” SEL BOOL, TRUE INTERGER ACTION ON Hoat dong cia b6 dicu khien PID co. thé tich cure hodc khéng tich cue tig phan riéng trong thuat digu khién PID. Thugt digu khién ty 1g duge kich hoat khi gid trj logic TRUE duoc thiét lip tai céng vio “Proportional action on * INT-HOLD BOOL FALSE INTERGAR ACTION HOLD Dau ra cia b6 diéu khién tich phin c6 thé bj “dong lanh “( khong duge sit dung) khi thiét lap gid tri logic TRUE cho dau vao “ intergral action hold”. LITL-ON BOOL, FALSE, INTIALIZATION OF INTERGRAL ACTION Diu ra cia b digu Khién tich phan © thé duge ndi vao céng vio LITL_VAL néu nhu cong vio “intialization of intergral action” c6 gia tri logic TRUE. D-SEL BOOL FALSE DERIVATE ACTION ON Hoat déng ciia bo diéu khién PID c6 thé tich eye hose Kh6ng tich eve ting, phin riéng trong thudt digu khién PID, Thuat diéu khién vi phan duge kich hoat Khi gid trj TRUE duge thiét lap tai céng vao “derivate action on” CYCLE TIME > Ims THis SAMPLING TIME ‘Thai gian lay mau li khoing thoi gian khong di gitta ce lan ‘khdi duge cap nha. SP-INT REAL -100.0, 100.0% ode gid tri vat ly. 0.0 INTERNAL SEPOINT Dau vio “internal sepoint” dugc sir dung dé thiét 1p tin higu chi dao ( tin higu mau) PV-IN REAL 100.0 100.0% hod gid tri atl. 0.0 PROCESS VARIABLE IN Gia tri Khdi tao e6 thé dat 6 dau vao “process variable in” hode tir bién qua trinh duge biéu dién duci dang 86 thye dau phay dong. PV-PER WOR Wile # 0000 PROCESS VARIBLE ERIPHERAL Bién qué tinh duge néi voi CPU thong qua cong vio tuong ty. MAN REAL -100.0 100.0% hoge gid tri vat ly 0.0 MANUAL VALUE Céng vao “manual value “duge sir dung dé dat gia tri bing cdc ham giao dign GAIN REAL 2.0 PROPORTONAL GAIN Dau vao proportional gain” duge sit dung dé thiét lap hé 86 ty 16 cho bo diéu khién theo luat ty 1é. TI TIME =CYCLE T#20s RESET TIME Céng vao “reset time” durge thiét lip hing s6 thi gian tich phan cho bd digu khién vi phan TD TIME >CYCLE, T# 10s DERIVATE TIME Céng vao * Derivate time” sir dung dé thiét lap hing s6 thoi gian vi phiin cho bd diéu khién vi phan, TM-LAG Time =CYCLE, Ti2s TIME LAG OF DERIVATE ACTION Théi gian tich cuc cua wat diéu khién vi phan duge chon théng qua céng vio” time lag of derivate action”. DEADB- W| REAL 20.0%) hode gid ti it I. 00 DEAD BAND WIDTH M6t ving kém nhay duge sir dung dé xir ly tin higu sai léch. BO rong cua ving kém nhay duge dat thong qua céng vio” dead band width”. LMN- HLM LMN-LLN REAL REAL TMN_LLM (°%) hoae gid tri vat ly. -100 LMN-LLN (°%) hoac gid tr] vat ly. 100.0 0.0 MANIPULATED VALUE HIGH LIMIT. : Gia tj han ché di tay qua dng vao “ re thiét lap bing anipulated value | high limit’ MANIPULATED VALUE LOW LIMIT Gia tri han ché duréi duoe thiét lap bing tay qua céng vio “manipulated value low limit”. PV-FAC REAL PROCESS VARIBLE FACTOR sn qué trinh duoc nhin vi véi mot hé s6 cho phit hgp véi pham vi quy dinh cia bién nay.Gia tri ba duge chon théng qua céng vio” process variable factor” PV-OFF LMN-FAC. REAL REAL PROCESS VARIBLE OFFSET Bién qua trinh dugc cong voi mot ugng bir cho phir hyp voi pham vi quy dinh cia bién nay, Gid tri ba duoc théng qua céng vio “process variable offset” | MANIPULATED VALUE OFFSET Gid tri gidi han duge nhan d6i véi mot hé s6 cho phit hgp véi pham vi quy dinh cia bién qua trinh.Hé sé niy duge dit qua céng vio” “manipulated value offset”. LMN-OFF REAL 0.0 MANIPULATED VALUE OFFSET Gia tri gidi han duge cng thém mot Iugng bit cho phi hop véi pham vi quy dinh ciia bién gua trinh. Gia tri bi duoc dit qua céng vio” L-ITLVAL | REAL | -100.0 0.0 INTIALIZATION VALUE OF THE. 100.0(%) INTERGRAL ACTIOT hode gid tri Gia tri dau ra cia b6 diéu khién tich vat ly. phin cé duge thiét lap théng qua céng vao" of the integral action.” DISV REAL | -100.0 0.0 DISTURBANCE VARIBLE 100.0(%) Khi diéu khién hé théng bing hode gid ti phuong phip feedforward thi mot gid vat ly tr bu nhiéu duge d§t thong qua cng, vvio “disturbance variable”. 5.3 Tham bién hinh thite diu ra khdi FB41 “CON ~ Cc tham bi n hinh thite dau ra nh sau Ten bién Kieu dir ligu LMN| REAL Mie dinh 0.0 Moa MAINPULATED VALUE Gia tr ra duge thiét lip bang tay thong qua céng ra ‘manipulated LMN- PER | WORD WHIGHOO 00 MANIPULATED PERIPHERAL, trj dau ra thiét lap bang tay theo biéu dién phi hop voi cac céng vo/ ra twong ty duge chon qua eéng ra “manipulated value peripheral” VALUE QUMN-HLM | BOOL FALSE, LOW LIMIT OF MANIPULATED VALUE REACHED Céng ra “low limit of manipulated value reached” théng bio gia tri cla bién qué trinh nho hon gid tri gidi han dudi LMN-P REAL 0.0 PROPORTIONAL COMPONENT Tin higu ra ctia bO didu khién ty 18 duge xuit ra céng ra “proportional component”. LMN-I Real 00 INTEGRAL COMPONENT Tin higu ra cia b6 diéu khién tich phan duge xuit qua céng ra “integral component”. LMN-D REAL 0.0 DERIVATIVE COMPONENT Tin higu ra ciia b@ diéu khién vi phén duge xuét qua cng ra “Derivative component” PV REAL 0.0 PROCESS VALUE Tin higu qua trinh duge xuat qua céng ra “process value”, ER REAL 00 ERROR SIGNAL : Tin higu sai Iéch duge xudt qua céng ra “error signal” 5.4. Khoi ham tao xung FB43 “PULSEGEN”: 5.4.1. Gidi thigu chung vé FB43”PULSEGEN”: ___ FB43 "PULSEGEN" duge ding dé thiét ké mot b6_diéu khign PID v6i tin higu ‘au ra dang xung. Mét minh né khdng_ phai la b9 digu khién PID, FB43 "PULSEGEN" khdng cd giao dign dé thiét Ip tham s6 nén phai ding ec cong cu eta STEP 7. BO diéu khién PID hai hodc ba vj tri vi b6 tao xung theo nguyén tic diéu bién cd thé duge thiét ké bing FB43 "PULSEGEN". Khoi him FB43 *PULSEGEN" thuting duge sir dung cling vii b6 digu khién lién tye “CONT_C” (Hinh 1). ONE FASE LA See Hinh 1 Kh6i him “PULSEGEN” chuyén doi tin higu dau vao INV (dau ra LMN ciia module mém PID) bing edch digu bién tin higu xung lién tue think mot day xung c6 chu ky c6 dinh vi d6 rong twong ting v5i dd Kin cia tin higu du vao. ‘Chu ky cita mt xung ty Ié vi gid tri bien dau vao. Chu ky PER_TM chinh la chu ky cla CONT_C chit khéng phai la thoi gian xir ly cla FB "PULSEGEN". Chu ky PER_TM duge xc dinh saw vai chu ky wir fy ciia FB "PULSEGEN" 86 lin kich hoat FB “PULSEGEN" trong m6t chu ky PER_TM chinh la thude do 46 chinh xdc ca qué trinh dicu bién. Trl PULSEGEN yao | 1 i | ! ;; Fe PER TM (@CONT_C okie) I Hinh 2: Qué trinh didu bién cia FB43 "PULSEGEN" Mét bién dau vio la: 30% va 10 lan kich hoat FB "PULSEGEN" trén mot PER_TM nghia la - Gia tr) xudt QPOS bang | trong ba lan kich hogt dau tién cia FB *PULSEGEN" (30% trong 10 Lin kich hoat). = Gia tr) xudt QPOS bing 0 trong 7 lan hich hogt sau cia FB *PULSEGEN" (70% trong 10 Lin kich hoat ). sin on spa, ‘Seaton runrife cos. Unrub> ous ww TR PIM. anor Hinh 3: So dd edu trie nguyén ly cla PULSEGEN Voi “ty 16 miu” 1a 1:10 (ty 16 gitta kich hoat CONT_C va s6 lan kich hoat PULSEGEN ) thi d@ chinh xéc ciia gia tri duge thiét lap bing tay trong vi du nay la 10%, ndi cach Khe, t ‘mot bd tao xung véi gia tri cde gid tri dau vao INV chi cé thé duge gia lap bing iu ra QPOS trong cac burde la 10%, ‘D6 chinh xac ting khi s6 lan kich hoat FB "PULSEGEN" trong mt Lin kich hoat CONT _C ting. Khi PULSEGEN duge kich host, vi du nhigu hon 100 lin so véi CONT _C, thi 1% day gid tri duge thiét lap bing tay. Cho §: ‘Tan sé kich hoat phai duge lap trinh béi nguéi ding. Ché d6 ty dong ding b6 hoa: i ee O ché niy, xung diu ra c6 thé duge ding bo héa véi khdi thay déi gid tri bién ddu vao INV (vi dy nhur CONT_C). Khi d6, mt thay di cita bién du vio sé lim thay doi xung dau ra, B6 tao xung lugng gid do 1én cla INV 6 cc khoing thoi gian PER_TM twong img va chuyén d6i né thanh tin higu xung ¢6 d@ rong twong im Néw INV thay doi mi khoi kich hoat kh6ng phai I khdi dau tién hay hai kh6i cud cing ctia chu ky thi qué trinh déng bé duge thy hign. (Minh 2), Néu mun tit ché 46 dong bé thi dat gid tri logic 0 cho tham trj SYN_ON ae poe 1 evetsaeowc —,! rc ai @ea0 Oy ppbon GAA NAGA : ka, //-— aw Pucsecin / L syeoriten operant Chagedendtoecairatn ——changedioeOgr 300 od Saar RESEAGEE f] Preaninact px secen Pocaing of PLSEGEN eft ‘aso oye othe Hinh 4; Déng b6 héa & du chu ky 5.4.2, Dit gid t Phan mém cho phép chon cac thuft diéu khién PID hai hoje ba vj tri hay bipolar ‘or monopolar two-step tiy vio cac tham sé duge thiét lap cho b6 tao xung. Bang duci day ligt ké cae che do: MAN ON ]STER3 ON | ST2BL ON Mode Theeaep cone a Fiwonsep contol wth bpolrconiwt | FALSE | FALSE | TRUE ange(-100% o $100 %) Fiwo-ep contol with menopolarcsowl | FALSE | FALSE | FALSE ranges. 100%) [Manvel exe a aw Bang 1 © ché dé ba vj tri, tin higu hanh, d6ng c6 thé nhan 3 trang thai : heat, off, cool. Tin higu nay do tin higu s6 dau ra la QPOS_P hay QNEG_P quyét dinh, Bang 2 la mot vi du ciia dieu khién nhigt d6. ‘actaior | Heat on Coa ouput grad ca Tor Tae TANT foNEG F FALSE TALSE TRUE Bang 2 Dé tin duge d6 rng ciia xung FB43 "PULSEGEN" dya vio 49 1én cia tin higu dau vio. Dac diém ciia dd thi biéu dién 46 rong xung phy thude vio tham bién P_B_TM (minimum pulse hay minimum break time) va hé s6 ty 1g (Hinh 3). Duration ofthe Continuously poste pu PER TH PER IM-P.BTM ccaitnucusty OFF Duratonof he agave pulse Hinh 3: Dé thi dang déi xtrng cia bé diéu khién ba vi (hg 56 e518 1) ‘Thurdg thi hé s6 ty 16 1 1. Gid tri tuyét d6i etia INV nho thi tgo ra mot xung e6 46 rng nho hon P_B_TM, va gid tri tuyét d6i cla INV Ién hon thi tao xung ¢6 do rong I6n hon theo céng thire sau: Pulse duration = INV/100*PER_TM Hé sé ty 1é RATIOFAC sé lam thay di \g xung Am va cd xung duong. Vi dy hu trong xit ly nhigt 6, RATIOFAC cho phép diéu khién théi gian néng va t gian lanh cua thiét bj 1a khée nhau. Hé s6 nay ceding anh hug dén P hé s6 ty 18 < 1 thi nghia 1a gid tri ngudng ela cde xung Am sé duge nhan véi hé tye. Ratio factor <1 Duration of the positive pulse Duration of the negative puls Ratio factor >1: Duration of the negative pulse = (INV/100)*PER_TM __ Duration of the positive pulse = (INV/100)*(PER_TM/RATIOFAC) 6 ché d9 hai vi tri, chi o6 tin higu xung duong QPOS P etia PULSEGEN moi diéu khién tit hay ma. O ché dO nay, phin mém cho phép chon hai day gid tri nhap bing tay: luéng cuc va don cu. INV/100)*PER_TM INV/100)*PER_TM*RATIOFAC ‘vation othe pos. pulse PER TM ‘Continucusty On Contincusy OF a0b.o% 00% yo0.0% | _ Hinh 4; Dé thj dang cita bo digu khién hai vi tri ‘Trong ché dit tr bing tay, (MAN_ON = TRUE), hai tin higu xudt cua b6 digu khign PID hai hot ba vi tri co thé duoc thiét lap théng qua cae tham bién POS_P_ON va NEG_P_ON ma khong phy thuge vao INV (Bang 3). Threesep conrol | FALSE TALSE TALSE TRUE TRUE FALSE FALSE FALSE TRUE TRUE FALSE FALSE Two-stepcontol | FALSE Any FALSE TRUE TRUE Any TRUE FALSE 1.3/ Khoi dng va théng bao loi: i Trong Khii khoi tgo Iai hé théng, tit cd ede tin higu xudt duge dat bing 0. Khéi FB43 "PULSEGEN" khong c6 khi ning tw kiém tra I6i, Ma bio Idi RET_VAL khong duge six dung, 1.4/ Tham bién hinh thie dau vio: Khéi FB43 "PULSEGEN" c6 12 tham bién hinh thite dau vo nhur sau: Ten bién Kiéu da tigu Pham vi gidi han Gia tri mic djnh M6 ta chung INV REAL 100.0...100.0 00 INPUT VARIABLE MO6t gia tri tin higu tuan ty dua vio tham s i “input value’ PER_TM P_B_TM TIME TIME >=20"CYCL, E >=CYCLE THs T#50ms PERIOD TIME Tham s6 dau vao “period time” la mgt chu ky 66 inh trong qué trink diéu bién, MINIMUM PULSE/BREAK TIME ‘Tham bién nhap vio, gid tri xung 16i thiéu. RATIOFA, c REAL 0.1100 RATIO FACTOR Hé 86 ty 1é, nhim myc dich thay déi dO rng cia xung dm hay xung dong, STEP3_O N BOOL, TRUE THREE STEP CONTROL ON Tham bién nhap dé tit mo ché d6 ba vi tri. O ehé do nay, ca hai tin higu xudt déu hoat déng. ST2BI_O N BOOL FALSE TWO STEP CONTROL FOR BIPOLAR MANIPULATED VALUE RANGE ON MAN_ON| POS_P_O BOOL, BOOL, FALSE FALSE MANUAL MODE ON Khi thiét lap tham s6 nhap nay 14 “mannual mode on” thi cdc tin higu xudt ¢6 thé duge diéu khién bang tay. POSITIVE PULSE ON O ché 6 dat tr] bing tay cita bO didu khién ba vi tr tin higu_xudt QPOS P cé nhap 1a “positive pulse on”. O ché do dat tri bang tay ctia bé diéu khién hai haivi tri, QNEG_P lun dugc thiét lip nguge voi QPOS _P. NEG_P_O BOOL FALSE NEGATIVE PULSE ON 6 ché d6 dat tri bing tay ca bd diéu khién ba vi tr, thé duge thiét lip & tham sO nhap la “negative pulse on”. O ché 6 dat tri bang tay cia b9 diéu khign hai hai vi ti, QNEG_P luén duge thiét lap nguge vi QPOs P. SYN_ON BOOL TRUE SYNCHRONIZATION xung diu ra ¢6 thé duge dong b9 héa véi khdi thay dau vio INV. Khi dé, mt thay déi ca bién dau vio sé lim thay déi xung dau ra. COM_RS BOOL FALSE COMPLETE RESTART Module mém duge khdi tgo lai hoan toan khi & cé gid tri logic bang 1. CYCLE TIME >= Ims TH Oms SAMPLING TIME Khoang thoi gian git? cée lan goi khdi phai co dinh. Thoi gian trich mau (sampling time) duge thiét lap qua céng = vao “sampling time”. 1.5/ Tham bién hinh thite dau ra: Khéi FB43 "PULSEGEN" cé 2 tham bién hinh thire dau ra nhur sau: Tén bién Kieu dir Phi vi gidi han Gis tr mie dinh MO ti chung QPOs_P BOOL FALSE ‘OUTPUT POSITIVE PULSE ‘Tham bién dau ra “output positive pulse” duge thiét lap khi xudt ra mt xung(positive pulse). Trong ché d6 2 vi tri, tham bién nay ludn 6 gid tri TRUE, QNEG_P luén 6 if tri nguge voi QPOS P QNEG_ BOOL FALSE OUTPUT POSITIVE PULSE Tham bién dau ra “output positive pulse” duge thiét lip khi xudt ra mt xung(negative pulse). Trong ché dd 2 vi tri, tham bién nay Tun 6 gid tri TRUE, QNEG_P ludn cé gid tri nguge vi QPOS_P

You might also like