You are on page 1of 15

ELEKTRK-ELEKTRONK MHENDSL BLM

LETM LABORATUARI

Yazlm Tabanl Radyo Deneyi


Ama
Bu deneyin amac yazlm tabanl bir radyo vericisi ve alcsnn oluturulmas hakknda
temel dzeyde bilgiler vermektir. Deney esnasndan genlik modlasyonu (GM), frekans
modlasyonu (FM); verici ve alc uygulamalar gerekletirilecektir.
Deney donanmsal olarak Xilinx Virtex-6 ML605 FPGA kart ve bunun zerine
yerletirilmi Analog Devices FMCOMMS 1 daughter kart ile gerekletirilecektir. Ayrca bahsi
geen donanmlar Digilent Software Defined Radio Kit zerine monte edilmilerdir. Yazlm
tabanl radyonun yazlm ksm daha ok aina olunan MATLAB ortamnda gerekletirilecektir.
Not: Deneyin hazrlanmasnda Software Defined Radio Using Matlab & Simulink and the
RTL-SDR isimli kitabn Strathclyde Academic Media 2015 birinci basks
kullanlmtr.

Kitap

ile

ilgili

daha

ayrntl

bilgi

ve

cretsiz

pdf

http://www.desktopsdr.com/ adresinden elde edilebilir.


1

Genlik Modlasyonu
Deney programlanabilir FPGA tabanl Xilinx Virtex-6 ML605 kart ve bunun zerine

yerletirilmi RF dauhter kart Analog Devices FMCOMMS 1 ile gerekletirilecektir. ncelikle


verici taraftaki FPGA saysal arttrma dnm (upconversion) yaparak bilgisayardan
gnderilen temel band (baseband) I, Q rneklerini ara frekans (IF) blgesine eker. rnekler
DAC (saysal analog dntrc) kullanlarak srekli sinyallere dntrlr ve daha sonra
quadrature RF taycsyla arplr. Aada sistemin genel blok diyagram gsterilmektedir.

Bilgisayar

Analog Devices FMCOMMS 1

Virtex ML605

sI (n)

Saysal rnekleme Hz
arttrma (upconversion)

sQ (n)

Saysal rnekleme Hz
arttrma (upconversion)

DAC

DAC

stx(t)

Filtre

s c (t )

RF

I Kanal

90o

Filtre
Q Kanal

ekil 1. FPGA ve RF daughter kartta yaplan ilemlerin


ana ksmlar

ELEKTRK-ELEKTRONK MHENDSL BLM


LETM LABORATUARI
1.1

ift Yan Bant Bastrlm Taycl Genlik Modlasyonlu (AM-DSB-SC) aretin


Oluturulmas Deneyi
ift yan bant genlik modlasyonlu iarette ekil 1de gsterilen bloktaki Q kanalna

ihtiya yoktur. Aadaki admlar takip ederek Matlab Simulinkte ift yan bant genlik
modlasyonlu iaret retip radyo dalgalar ile iletilecektir.
a) Matlab ap alma klasrn belgeler olarak deitiriniz.
b) Yeni bir Simulink Modeli oluturup ismini grup no_cygm olarak kaydediniz
(grup40_cygm gibi).
c) DSP System Toolbox->Sourcestan From Multimedia File Blockunu modelinize
yerletirin. Daha sonra bu bloka ift tklayarak belgeler iindeki /audio_sources
klasrnden music1_mono48kHz isimli dosyay gsteriniz. Samples per audio
channel deerini 600 olarak ve Data Types sekmesinden de Audio output data
type deerini single olarak deitiriniz.
d) DSP System Toolbox->Filtering->Multirate Filtersten iki adet FIR Rate
Conversion blounu modelinize yerletirin. Bu blok giriine uygulanan verinin
rnekleme hzn drme (decimate) yada arttrma (interpolate) zelliine sahiptir.
Bu sayede rnekleme frekans tam say olmayan bir deerle arplm
olabilmektedir. Eklenen bloklardan birincisinin parametrelerini aadaki gibi
deitiriniz.

ekil 2. rnekleme Hzn 2.5 Katna karma Filtresi Parametreleri

ELEKTRK-ELEKTRONK MHENDSL BLM


LETM LABORATUARI
Yukardaki deiiklikler yapldnda rnekleme hz

485
2

= 120 olarak

deiecektir. FIR filtre firpm MATLAB fonksiyonu ile tasarlanmtr. kinci filtrenin
parametrelerini de aadaki gibi deitiriniz.

ekil 3. rnekleme Hzn 5/3 Katna karma Filtresi Parametreleri


Bylece rnekleme frekans

1205
3

= 200 olarak deimitir.

e) Communications System Toolbox Support Package for Xilinx FPGA-Based


Radiodan Analog Devices FMCOMMS Transmitter blounu modelinize
ekleyin.
f) Analog Devices FMCOMMS Transmitter blouna ift tklayarak gerekli
ayarlamalar aadaki gibi yapnz.

ekil 4. Verici Blounun Ayarlanmas

ELEKTRK-ELEKTRONK MHENDSL BLM


LETM LABORATUARI
RF merkez frekans 433.9MHz, FPGA DAC rnekleme frekans 98MHz ve
98106

interpolasyon faktr 200103 = 490 olarak seildi. Girie gelen 200kHzlik sinyal 98MHz ile
rneklenecektir.
g) Bloklar aadaki gibi birbirine balaynz.

ekil 5. ift Yan Bant Genlik Modlatr


h) Benzetimin koma sresini aada gsterildii gibi inf ve Simulation Modeu
Accelerator olarak deitiriniz.

ekil 6. Simulink Koma Sresinin ve Modunun Ayarlanmas


1.2

ift Yan Bant Bastrlmam Taycl Genlik Modlasyonlu (AM-DSB-TC)


aretin Elde Edilmesi Deneyi
Bir nceki ksmda yaplan modeli aadaki gibi deitiriniz. Bu amala modele

Simulink->Math Operationstan Add blok ve Simulink->Sourcestan Constant


ekleyiniz. Oluturduunuz yeni modeli grup no_cygm2 olarak kaydediniz.

ekil 7. ift Yan Bant Bastrlmam Taycl Genlik Modlatr


1.3

Tek Yan Bant Genlik Modlasyonu (AM-SSB)


Tek yan bant genlik modlasyonlu iaretin iki biimi mevcuttur. Bunlardan biri st yan

bant genlik modlasyonu dieri ise alt yan bant genlik modlasyonudur. Tek yan bant genlik
modlasyonunda ift yan bant genlik modlasyonu sonucu oluan st ve alt yan bantlarn biri
bastrlr. Bu amala bir st yda alt yan band geiren bir band geiren filtre kullanlabilir.

ELEKTRK-ELEKTRONK MHENDSL BLM


LETM LABORATUARI
Ancak filtrenin olduka keskin bir tepkesi olmas gerekir. Saysal olarak keskin bir tepkeye
sahip bir filtre kullanlmas demek daha fazla ilem yk anlamna gelir. Pratikte band geiren
filtre kullanmak yerine Hilbert dnm, kosins ve sins iaretleri kullanlarak tek yan bant
genlik modlasyonlu iaret elde edilir.
letilmek istenen bilgi basitlik olsun diye () = cos(2 ) olarak varsaylsn. Bilgi
iaretinin Hilbert dnm (())
aslnda onun integrali anlamna gelir.

() = cos(2 ) () = sin(2 )

(1)

st yan bant genlik modlasyonlu iaret () ve alt yan bant genlik modlasyonlu iaret
() aadaki gibi yazlabilir.
() = ()( ) () sin( ) = cos( ) cos( )
sin( ) sin( ) = cos(( + ))

(2)

() = ()( ) + () sin( ) = cos( ) cos( ) +


sin( ) sin( ) = cos(( ))

(3)

Aadaki ekilde tek yan bant genlik modlasyonuna ilikin blok diyagram gsterilmektedir.

s i (t )

I Kanal

cos

s c (t )
Hilbert
Dnm

90o

sin

+
+
+

susb(t)
slsb (t )

Q Kanal

ekil 8. Tek yan bant genlik modlasyonu


Deneyi aadaki admlar takip ederek gerekletiriniz.
a) Daha nce yapm olduunuz ift yan bant bastrlm taycl modlatr deneyinin
simulink modelini anz. Bu modeli farkl kaydet seenei ile grup no_tygm
olarak kaydediniz.
b) Modele DSP System Toolbox->Filtering->Filter Designstan 1 adet Lowpass
Filter blou, RTL-SDR Book Library->Additional Toolstan 1 adet AM-SSB

ELEKTRK-ELEKTRONK MHENDSL BLM


LETM LABORATUARI
modlatr blou ve Simulink->Commonly Used Blockstan Data Type
Conversion blou ekleyiniz.
c) Eklediiniz Lowpass Filter blouna ift tklayp aadaki ayarlamalar yapnz.

ekil 9. TYGM Alak Geiren Filtre Parametreleri


d) AM-SSB blouna ift tklayarak aadaki ayarlamalar yapnz.

ekil 10. Baseband TYGM Parametreleri


e) Data Type Conversion blounda da output data type ksmn single olarak
deitiriniz.
f) Modele Simulink->Math Operationstan Matrix Concatenate ve DSP System
Toolbox->Sinksten 1 adet spectrum analyzer ile 1 adet time scope ekleyiniz.
g) Btn bloklar aadaki gibi birbirine balaynz.

ELEKTRK-ELEKTRONK MHENDSL BLM


LETM LABORATUARI

ekil 11. Tek Yan Bant Genlik Modlatr


h) Benzetimi daha nceki deneylerde olduu gibi hazrlayp altrnz.
2

FM Modlasyonu
Dier bir ska kullanlan modlasyon yntemi ise FM modlasyonudur. Bu yntemde

taycnn frekans bilgi sinyalinin genliine bal olarak deiim gsterir. Yani genlik
modlasyonundakinin tersine tayc genlii sabit kalr.
En basit analog FM modlatr gerilim kontroll osilatr (VCO) ile gerekletirilir.

Girie bir kontrol sinyali (()) uygulandnda bu giri 0 ( ) sabiti ile arplr ve daha sonra
integrali alnr. Buradaki 0 cihazn (VCO) frekans kazan orandr.

() = 0 ()

(4)

VCO tarafndan retilen sinzoid duraan halde 0 frekansna ve 0 genliine sahiptir.


Sinzoidin faz () tarafndan belirlenmektedir. VCO kndaki sinyal aadaki biimde
yazlabilir.

() = 0 cos (20 + 0 () )

(5)

Kontrol giriine bilgi sinyali uygulandnda 0 sabiti 0 = 2 biiminde yazlabilir. Bu


durumda anlk faz aadaki gibi olur.

() = () = 2 ()

(6)

ELEKTRK-ELEKTRONK MHENDSL BLM


LETM LABORATUARI
Denklem (5)teki 0 , 0 ve () parametreleri , ve () ile deitirilip denklem yeniden
dzenlendiinde aadaki FM sinyali elde edilir.

() = cos (2 + ()) = cos ( + 2 ())

(7)

Yukardaki ifadeyi stel olarak aadaki gibi yazmak mmkndr.

() = { ( +2

())

} = { (2

())

Yukardaki ifadede terimi passbanda ve bu terim yanndaki (2

(8)

())

terimi

basebanda ilikindir. Yaplacak olan deneyde baseband sinyali elde edildikten sonra sinyal
passbanda karlacaktr.

2.1

FM Modlasyonlu aretin Elde Edilmesi Deneyi


a) Simulinkte yeni bir model oluturunuz ve modeli grup no_fmmod ismi ile belgeler
klasrnn iine kaydediniz.
b) DSP System Toolbox->Sourcestan From Multimedia File blounu modelinize
ekleyiniz. Eklediiniz bloun stne ift tklayarak music4_mono48kHz.wav
isimli dosyay File Name olarak ayarlaynz. Samples per audio channel
parametresini 600 olarak ayarlaynz.

ekil 12. Ses Parametrelerinin Ayarlanmas


8

ELEKTRK-ELEKTRONK MHENDSL BLM


LETM LABORATUARI
c) DSP System Toolbox->Filtering->Multirate Filtersten 2 adet FIR Rate
Conversion Block ve 1 adet Interpolation blou modelinize ekleyeniz. Hz
dntrme (rate conversion) blou girii belirlenen rasyonel katna kadar (rnein
4.5 kat) yksek hzda rnekler. Hz dntrme blou ayrca anti-imaging ve antialiasing amal olarak ierisinde alak geiren szge te barndrr. Birinci FIR Rate
Conversion blouna ift tklayarak alan pencerede aadaki ayarlamalar yapnz.

ekil 13. FM Modlatrndeki rnekleme Hz Deitirme Filtresi (5/2


kat) Parametreleri
kinci FIR Rate Conversion blou iin de aadaki deiiklikleri gerekletiriniz.

ekil 14. FM Modlatrndeki rnekleme Hz Deitirme Filtresi (5/3


kat) Parametreleri
d) FIR Interpolation blounu ap onda da aadaki deiiklikleri gerekletiriniz.

ELEKTRK-ELEKTRONK MHENDSL BLM


LETM LABORATUARI

ekil 15. rnekleme Hznn ki Katna karlmas


Girii sinyali (48kHz) FIR Rate Conversion bloklar ve FIR Interpolation bloklarndan
getikten sonra 400kHz ile rneklenmi olacaktr.
e) Interpolasyon yaplm sinyaldeki spektral imagelerin yeterince zayfladndan
emin olmak iin ek filtreleri sisteme ekleyin. DSP System Toolbox->Filtering>Filter Designstan 2 adet LowPass Filter blounu sisteme ekleyiniz. rnekleme
hzn nceki bloktan gelen rnekleme hzyla (200kHz ve 120kHz) ayn yapnz.
f) Bloklar aadaki gibi birbirine balaynz.

ekil 16. Giriten Alnan Sesin rnekleme Hznn Deitirilmesi


g) FM sinyalini baseband ta elde etmek iin kullanlacak olan integral alma ilemini
saysal olarak gerekletirmek iin DSP System Toolbox->Filtering->Filter
Implementationstan modelinize Discrete Filter Block ekleyiniz. Bloa ift
tklayarak aadaki ayarlamalar yapnz.

10

ELEKTRK-ELEKTRONK MHENDSL BLM


LETM LABORATUARI

ekil 17. Ayrk ntegral Alma Parametreleri


h) Simulink->Math Operationstan modelinize 1 adet Gain block ekleyiniz ve Gain
deerini 50 (2 ) olarak ayarlaynz. Simulink->Sourcestan modelinize
Constant blou ekleyip deerini 0.1 olarak ayarlaynz. Bu deer tayc genlik
deeri olacaktr. Daha sonra Simulink->Math Operationstan modelinize
Magnitude-Angle to Complex blou ekleyip bunlar aadaki gibi birletiriniz.

ekil 18. Baseband FM Modlatr


i) Communications System Toolbox Support Package for Xilinx FPGA-Based
Radiodan Analog Devices FMCOMMS Transmitter blounu modelinize
ekleyin. Parametrelerini aadaki gibi ayarlayn.

11

ELEKTRK-ELEKTRONK MHENDSL BLM


LETM LABORATUARI

ekil 19. FM Modlatr Radyo Vericisi Parametreleri


j) Modelinizi aadaki biime getirin.

ekil 20. FM Modlatr


k) Modelinize DSP System Toolbox->Sinksten Spectrum Analyzer ve Time Scope
ekleyiniz. Time Scopetan hem FM modlasyonlu iareti (baseband) hem de bilgi
iaretinin interpolasyonla 400kHz rneklenmi biimini gzlemleyebilmek iin
Time Scopea ift tklayp File mensnden Number of Input Portsu 2 olarak
deitiriniz. Daha sonra View mensnden Layoutu 2 satr 1 stun olacak ekilde
ayarlaynz. Yine Time Scopeun View mens altndaki Configuration Properties
mensnden Time sekmesine geip Time Span deerini 1250/400e3 olarak
deitiriniz. Bu sayede Time Scopeta 1250 rnek grlmesi salanacaktr.

12

ELEKTRK-ELEKTRONK MHENDSL BLM


LETM LABORATUARI
3

Genlik Demodlatr
Daha nce yaplan ift yan bant genlik modlatrnden iletilen ses bilgisini almak iin

bir genlik demodlatr gerekletirilecektir.


a) Yeni bir model oluturunuz ve modelin adn grup_no_genlikdemod olarak
belgeler klasrne kaydediniz.
b) Modelinize Communications System Toolbox Support Package for Xilinx
FPGA-Based Radiodan Analog Devices FMCOMMS Receiver blounu ekleyin.
c) Alcnn parametrelerini aadaki gibi deitirin.

ekil 21. Alc Modl Ayarlar


d) Simulink->User-Defined Functionstan modelinize 1 adet Matlab Function blou
ekleyin. Eklediiniz bloa ift tklayarak alan kodu aadaki gibi deitirin.
altnz klasn iinde mAGC isimli bir Matlab function olmas gerektiine
dikkat edin. Bu bloun amac otomatik kazan kontrol salamaktr.
function y = AGC(u)
y = mAGC(u);
e) Simulink->Math Operationstan modelinize Complex to Real-Imag blou
ekleyin. Genlik demodlasyonu yapldndan tayclardan sadece bir tanesinden
gelen sinyal alnacaktr. Complex to Real-Imag blouna ift tklayp outputu real
yapn. Sadece cosinus taycsndan gelen sinyal ile ilemlere devam edilecektir.
f) Sisteminize bir adet Lowpass Filter ekleyip parametrelerini aadaki gibi ayarlayn.

13

ELEKTRK-ELEKTRONK MHENDSL BLM


LETM LABORATUARI

ekil 22. Alak Geiren Filtre Ayarlar


g) Modelinize 1 adet FIR Decimation Filtre Ekleyip parametrelerini aadaki gibi
ayarlayn.

ekil 23. FIR Decimation Filtre Ayarlar


h) Modelinize DSP System Toolbox->Sinksten 1 adet Time Scope, 1 Adet Spectrum
Analyzer ve 1 Adet To Audio Device blou ekleyiniz. Ayrca modelinize
Simulink-> Math Operationstan 1 adet Matrix Concatenate blou ekleyiniz. To
Audio Device blouna ift tklayp inherit sample rate from input ifadesinin
yanndaki iareti kaldrp rnekleme frekansn 48e3 olarak belirtiniz.
i) Sistemi aadaki gibi oluturunuz.

14

ELEKTRK-ELEKTRONK MHENDSL BLM


LETM LABORATUARI

ekil 24. Genlik Demodlatr

Deney Sonu Sorular


Aadaki sorularn cevaplarn deneyi yaptktan sonraki hafta btn grup yeleri ayr

ayr getirecektir. Her renci sorular kendi cevaplamaldr ve cevaplar birbirine


benzememelidir.
Not: rencilerin hazrlad simlasyonlar deneyin yapld bilgisayara kaydedilecektir. Bu
sebeple rencilerin bu simlasyonlar soru2_rencino ve soru4_renci_no biiminde
sonraki hafta geldiklerinde kaydetmeleri gerekmektedir. lgili dosyalar bir flash disk ile sonraki
hafta getirilmelidir.
1) Bir FM demodlatrnn almasn bir blok ema ile aklaynz.
2) Matlab simlinkte bir FM demodlatrnn simlasyonunu yapnz.
3) Modlatr deneyde yaplan tek yan bat genlik modlatr ile iletilen sinyali
alabileceini dndnz bir demodlatrn blok diyagramn iziniz (el ile de
izilebilir).
4) Stereo FM kodlaycnn matlab simulinkte simlasyonunu yapnz.

15

You might also like