You are on page 1of 26

BOÄ GIAÙO DUÏC & ÑAØO TAÏO

TRÖÔØNG ÑAÏI HOÏC KYÕ THUAÄT COÂNG NGHEÄ


THAØNH PHOÁ HOÀ CHÍ MINH

BAØI GIAÛNG

KYÕ THUAÄT SOÁ

Ths. NGUYEÃN TROÏNG HAÛI

LÖU HAØNH NOÄI BOÄ


05/2006
MUÏC LUÏC

TOÅNG QUAN .................................................................................................... Trang 1


CHÖÔNG 1. HEÄ THOÁNG SOÁ ÑEÁM ........................................................................... 4
1.1. Cô soá – chuyeån ñoåi cô soá..................................................................................4
1.2. Caùc boä maõ hoùa soá heä möôøi thoâng duïng ..........................................................14
CHÖÔNG 2. ÑAÏI SOÁ BOOLEAN VAØ CAÙC COÅNG LOGIC .................................. 24
2.1. Khaùi nieäm veà logic hai traïng thaùi ...................................................................24
2.2. Baûng söï thaät ....................................................................................................24
2.3. Caùc pheùp toaùn cô baûn .....................................................................................25
2.4. Moâ taû caùc maïch logic theo phöông phaùp ñaïi soá .............................................25
2.5. Thöïc hieän caùc maïch logic töø bieåu thöùc Boolean.............................................25
2.6. Coång NOR vaø NAND .....................................................................................26
2.7. Pheùp toaùn XOR vaø pheùp toaùn töông ñöông ....................................................27
2.8. Caùc ñònh lyù cô baûn cuûa ñaïi soá Boolean...........................................................27
2.9. Logic döông vaø aâm .........................................................................................31
2.10. Caùc haøm cô baûn vaø phöông phaùp bieåu dieãn ..................................................23
2.11. Toái thieåu haøm logic baèng bìa K ....................................................................38
CHÖÔNG 3. MAÏCH LOGIC TOÅ HÔÏP ..................................................................... 45
3.1. Giôùi thieäu ........................................................................................................45
3.2. Thieát keá maïch logic toå hôïp .............................................................................45
3.3. Kyõ thuaät cöïc tieåu Quine-Mc Cluskey .............................................................47
3.4. Thieát keá maïch khoâng söû duïng baûng söï thaät ....................................................49
3.5. Maïch giaûi maõ ..................................................................................................53
3.6. Maïch maõ hoùa ..................................................................................................59
3.7. Boä choïn keânh..................................................................................................62
3.8. Boä phaân keânh..................................................................................................65
3.9. Maïch soá hoïc ....................................................................................................67
3.10. Maïch chuyeån maõ .........................................................................................69
CHÖÔNG 4. HEÄ TUAÀN TÖÏ....................................................................................... 74
4.1. Toång quan .......................................................................................................74
4.2. Caùc phaàn töû hai traïng thaùi beàn........................................................................75
4.3. FlipFlop...........................................................................................................76
4.4. FlipFlop duøng xung clock................................................................................79
4.5. Caùc öùng duïng cuûa FlipFlop.............................................................................83
4.6. Maùy traïng thaùi ..............................................................................................104
4.7. Löu ñoà maùy traïng thaùi ..................................................................................105
4.8. Boä nhôù baùn daãn .............................................................................................116
4.9. ROM – thieát keá heä thoáng soá duøng ROM ......................................................118
4.10. PLD toå hôïp ..................................................................................................127
4.11. PLD tuaàn töï.................................................................................................132
4.12. Caùc PLD tuaàn töï khaùc .................................................................................135
CHÖÔNG 5. CAÙC HOÏ VI MAÏCH SOÁ..................................................................... 146
5.1. Toång quan .....................................................................................................146
5.2. Caùc ñaëc tröng cuûa vi maïch soá .......................................................................146
5.3. Hoï RTL .........................................................................................................148
5.4. Hoï DTL.........................................................................................................148
5.5. Hoï TTL .........................................................................................................148
5.6. Caùc ñaëc ñieåm cuûa hoï TTL chuaån..................................................................149
5.7. Hoï TTL caûi tieán ............................................................................................150
5.8. Hoï TTL vôùi ngoõ ra cöïc thu hôû ......................................................................150
5.9. Hoï TTL ba traïng thaùi ....................................................................................151
5.10. Maïch logic MOS .........................................................................................151
5.11. Hoï CMOS ...................................................................................................151
5.12. Moät soá vi maïch thoâng duïng ........................................................................152
CHÖÔNG 6. GIAO TIEÁP TÖÔNG TÖÏ - SOÁ ........................................................... 153
6.1. Bieán ñoåi ADC ...............................................................................................153
6.2. Bieán ñoåi DAC ...............................................................................................160
PHUÏ LUÏC A. TRA CÖÙU CAÙC IC THOÂNG DUÏNG..............................................167
PHUÏ LUÏC B. COÂNG CUÏ CAD TRONG THIEÁT KEÁ SOÁ .....................................251
Bài Giảng Kỹ Thuật Số Tổng quan

TOÅNG QUAN
Caùc heä thoáng soá thöôøng söû duïng roäng raõi trong tính toaùn vaø xöû lyù data nhö trong
caùc heä thoáng ñieàu khieån, trong thoâng tin, vaø trong ño löôøng vì caùc heä thoáng soá coù
khaû naêng chính xaùc cao hôn caùc heä thoáng töông töï.
Trong moät heä thoáng soá, caùc tín hieäu vaät lyù coù theå xem nhö caùc giaù trò rôøi raïc, trong
khi ôû heä thoáng töông töï caùc ñaïi löôïng naøy thay ñoåi lieân tuïc.
Ví dụ, ñieän aùp ngoõ ra cuûa moät heä thoáng soá coù theå ñöôïc bieán ñoåi sao cho ngoõ ra chæ
coù 2 giaù trò 0 vaø 1, trong khi ñieän aùp ngoõ ra töø heä thoáng töông töï coù giaù trò thay ñoåi
trong khoaûng töø Vmin ñeán Vmax
Do caùc heä thoáng soá laøm vieäc vôùi caùc ñaïi löôïng rôøi raïc, trong nhieàu tröôøng hôïp coù
theå ñöôïc thieát keá vôùi keát quaû ngoõ vaøo vaø ngoõ ra moät caùch chính xaùc.
Ví dụ, neáu nhaân 2 soá goàm 5 chöõ soá söû duïng boä nhaân digital thì keát quaû laø moät soá coù
10 chöõ soá chính xaùc caû 10. Noùi caùch khaùc, ngoõ ra cuûa boä nhaân analog coù theå coù moät
khoaûng sai soá (%) tuøy thuoäc vaøo ñoä chính xaùc cuûa caùc thaønh phaàn thieát laäp neân boä
nhaân.
Thieát keá cuûa caùc heä thoáng soá coù theå chia ra 3 phaàn:

Thiết kế Thiết kế Thiết kế


hệ thống Logic Mạch

• Thiết kế hệ thống (system design) bao goàm vieäc chia nhoû moät heä thoáng lôùn
thaønh caùc heä thoáng con vaø chæ roõ caùc ñaëc tính cuûa moãi heä thoáng con. Ví dụ, thieát
keá heä thoáng cuûa moät maùy tính soá bao goàm vieäc chæ ra soá vaø daïng cuûa caùc ñôn vò
nhôù, ñôn vò toaùn hoïc, caùc thieát bò vaøo ra cuõng nhö vieäc keát noái vaø ñieàu khieån
cuûa caùc heä thoáng con naøy v.v...
• Thiết kế logic (logic design) bao goàm vieäc xaùc ñònh laøm theá naøo ñeå keát noái caùc
khoái logic cô baûn ñeå hình thaønh haøm ñaëc bieät.
• Thiết kế mạch bao goàm vieäc chæ ra caùc keát noái beân trong cuûa caùc thaønh phaàn
nhö ñieän trôû, diode, transistor ñeå hình thaønh moät coång, flipflop hoaëc caùc khoái
logic khaùc. Haàu heát caùc thieát keá maïch hieän taïi ñöôïc thöïc hieän döôùi daïng maïch
tích hôïp duøng coâng cuï thieát keá vôùi söï trôï giuùp cuûa maùy tính ñeå taïo caùc keát noái
trong giöõa caùc thaønh phaàn treân moät chip silicon.
Nhieàu heä thoáng con coù daïng chuyeån maïch nhö sau:
X1 Z1
X2 Switching Z2
Inputs Outputs
Network
Xm Zn

Trang 1
Bài Giảng Kỹ Thuật Số Tổng quan

Moät maïng chuyeån maïch coù moät hay nhieàu ngoõ vaøo vaø moät hay nhieàu ngoõ ra, 2 loaïi
switching network thoâng thöôøng laø:
• Mạch tổ hợp, trong ñoù caùc tín hieäu ngoõ ra chæ leä thuoäc vaøo traïng thaùi ngoõ
vaøo hieän taïi (khoâng leä thuoäc vaøo giaù trò ngoõ vaøo tröôùc ñoù).
• Mạch tuần tự, caùc ngoõ ra seõ tuøy thuoäc caû giaù trò tröôùc ñoù vaø giaù trò hieän taïi
cuûa ngoõ vaøo. Noùi caùch khaùc, ñeå xaùc ñònh ngoõ ra cuûa maïch tuaàn töï, moät
chuoãi caùc ngoõ vaøo phaûi ñöôïc xaùc ñònh. Maïch tuaàn töï ñöôïc goïi laø maïch coù
nhôù vì noù phaûi nhôù moät soá traïng thaùi tröôùc ñoù cuûa ngoõ vaøo, trong khi maïch
toå hôïp thì khoâng coù nhôù. Toång quaùt, maïch tuaàn töï laø keát hôïp cuûa moät maïch
toå hôïp vôùi caùc phaàn töû nhôù.
Caùc khoái cô baûn söû duïng trong caùc maïch toå hôïp laø caùc cổng logic. Khi thieát keá
logic, phaûi xaùc ñònh laøm theá naøo keát noái caùc coång naøy ñeå bieán ñoåi caùc tín hieäu ngoõ
vaøo thaønh caùc tín hieäu ngoõ ra mong muoán.
Moái quan heä giöõa caùc tín hieäu ngoõ vaøo vaø ngoõ ra phaûi ñöôïc moâ taû toaùn hoïc, trong
thieát keá soá goïi laø đại số Boolean.

Các bước thiết kế một mạch tổ hợp


• Thieát laäp moät baûng moâ taû moái quan heä giöõa ngoõ ra vaø toå hôïp ngoõ vaøo
• Thieát laäp bieåu thöùc logic toaùn hoïc moâ taû caùc ngoõ ra nhö moät haøm cuûa caùc
ngoõ vaøo.
• Ruùt goïn bieåu thöùc logic moâ taû ngoõ ra duøng moät soá phöông phaùp thoâng duïng
nhö bìa Karnaugh, Quine-McCluskey v.v...
• Thöïc hieän maïch
Caùc phaàn töû nhôù cô baûn trong thieát keá maïch tuaàn töï laø caùc FlipFlop. Caùc flipflop coù
theå ñöôïc keát noái vôùi caùc coång ñeå hình thaønh moät maïch tuaàn töï.

Các bước thiết kế một mạch tuần tự


• Thieát laäp moät baûng moâ taû moái quan heä giöõa ngoõ ra hieän taïi vaø ngoõ ra keá
tieáp.
• Thieát laäp bieåu thöùc logic toaùn hoïc moâ taû caùc ngoõ vaøo cuûa flipflop nhö moät
haøm cuûa caùc ngoõ ra.
• Ruùt goïn bieåu thöùc logic moâ taû ngoõ vaøo duøng moät soá phöông phaùp thoâng
duïng nhö bìa Karnaugh, Quine-McCluskey v.v...
• Thöïc hieän maïch
Phaân tích moät caùch toång quaùt veà caùc maïch tuaàn töï thöôøng duøng caùc giản đồ thời
gian, máy trạng thái và graph.
Phần tử chuyển mạch duøng trong caùc heä thoáng soá thöôøng laø caùc phaàn töû 2 traïng
thaùi, ngoõ ra chæ coù 2 giaù trò rôøi raïc khaùc nhau. Ví dụ relay, diode, transistor... Hai
traïng thaùi cuûa relay laø ñoùng vaø môû tuøy thuoäc vaøo nguoàn cung caáp cho cuoän daây.

Trang 2
Bài Giảng Kỹ Thuật Số Tổng quan

Hai traïng thaùi cuûa diode laø traïng thaùi daãn vaø khoâng daãn. Hai traïng thaùi cuûa
transistor laø baõo hoøa vaø taét, vì vaäy thöôøng duøng caùc soá nhò phaân trong caùc heä thoáng
soá.
Baát cöù caùc thieát keá logic soá naøo cuõng coù theå ñöôïc thöïc hieän nhôø PLD
(Programmable Logic Design). PLD laø teân goïi toång quaùt cuûa moät IC soá maø coù theå
laäp trình ñöôïc ñeå thöïc hieän caùc haøm logic khaùc nhau vaø laø moät chip chöùa caùc caáu
truùc maïch coù qui luaät cho pheùp ngöôøi thieát keá taïo caùc öùng duïng cuï theå

Quá trình thiết kế PLD như sau

Ý tưởng

Trình soạn Trình soạn


thảo mạch thảo văn bản

Trình biên dịch


và mô phỏng

Kit nạp IC

Moät soá coâng cuï phaàn meàm hoã trôï trong thieát keá soá: MAX+PLUS II, ABEL,
SYNARYO...
Moät soá ngoân ngöõ moâ taû phaàn cöùng: ABEL, VHDL, AHDL,...

Trang 3
Bài Giảng Kỹ Thuật Số Chương 1

CHƯƠNG 1. HỆ THỐNG SỐ ĐẾM

1.1. CƠ SỐ - CHUYỂN ĐỔI CƠ SỐ


1.1.1. Khái niệm

Baát cöù moät soá nguyeân döông R (R>1) ñeàu coù theå ñöôïc choïn laøm cô soá cho moät
heä thoáng soá.
Neáu heä thoáng coù cô soá R thì caùc soá töø 0 ñeán (R-1) ñöôïc söû duïng.
Ví duï: neáu R=8 thì caùc chöõ soá caàn thieát laø 0,1,2,3,4,5,6,7.
Caùc heä thoáng cô soá thoâng duïng trong kyõ thuaät soá:
• Thaäp phaân (cô soá 10).
• Nhò phaân (cô soá 2).
• Baùt phaân (cô soá 8).
• Thaäp luïc phaân (cô soá 16).
Một hệ thống với cơ số R được biểu diễn dưới dạng
(…a3a2a1a0 a-1a-2a-3…)R

Phần Phần thập


nguyên phân
Khai trieån theo haøm muõ cuûa R.
N =(a3a2a1a0a-1a-2a-3)R
= a3.R3 + a2.R2 + a1.R1 + a0.R0 + a-1.R-1 + a-2.R-2 + a-3.R-3
Vôùi caùc cô soá lôùn hôn 10 thì caàn phaûi theâm caùc kyù hieäu ñeå bieåu hieän caùc soá lôùn
hôn 10. Ví duï heä thaäp luïc phaân (hex) coù cô soá 16 thì A bieåu thò 10, B bieåu thò
11,…, F bieåu thò 15.

Đổi giữa các cơ số


Phần nguyên và phần thập phân được đổi một cách riêng biệt
Phần nguyên được đổi bằng cách sử dụng phép chia lặp cho cơ số mới và sử
dụng chuỗi các số dư phát sinh để tạo ra số mới. Phép tính số học được thực
hiện trên các số hạng của cơ số cũ
Phần thập phân được đổi bằng cách nhân lặp lại cho cơ số mới, sử dụng các
số nguyên được tạo ra để biểu thị phân số được chuyển đổi, phép tính số
học được thực hiện trên các cơ số cũ

GV: Nguyễn Trọng Hải Trang 4


Bài Giảng Kỹ Thuật Số Chương 1

Ví dụ: Biến đổi phần nguyên trong hệ cơ số 10 sang hệ cơ số R


N = (anan-1…a2a1a0)R = an.Rn + an-1.Rn-1 + … + a2.R2 + a1.R1 + a0
Neáu chia N cho R, nhaän ñöôïc soá dö laø a0
N a
= an.Rn-1 + an-1.Rn-2 + … + a2.R1 + a1 + 0 = Q1 + soá dö a0
R R
Chia Q1 cho R
Q1 a
= an.Rn-2 + an-1.Rn-3 + … + a3.R1 + a2 + 1 = Q2 + soá dö a1
R R
Quaù trình treân ñöôïc thöïc hieän tieáp tuïc cho ñeán khi tìm ñöôïc taát caû caùc heä soá an
Ví dụ: Biến đổi phần thập phân của hệ cơ số 10 sang hệ cơ số R
F = (a-1a-2a-3…a-m)R
= a-1.R-1 + a-2.R-2 + a-3.R-3 +… + a-m.R-m
Nhaân F vôùi R
FR = a-1 + a-2.R-1 + a-3.R-2 +… + a-m.R-m+1 = a-1 + F1
Vôùi a-1 laø phaàn nguyeân, F1 laø phaàn leû cuûa pheùp nhaân
Tieáp tuïc nhaân R vôùi F1
F1.R = a-2 + a-3.R-1 + a-4.R-2 + … + a-m.R-m+2 = a-2 + F2
Tieáp tuïc quaù trình cho ñeán khi xaùc ñònh heát caùc heä soá a-m
Biến đổi giữa 2 cơ số không phải là cơ số 10 có thể thực hiện dễ dàng bằng cách
đầu tiên biến đổi sang cơ số 10 rồi biến đổi tiếp từ cơ số 10 sang cơ số mới.
1.1.2. Hệ thập phân (hệ cơ số 10)

Heä thaäp phaân ñöôïc keát hôïp bôûi 10 chöõ soá: 0, 1, 2, 3, 4, 5, 6, 7, 8, 9


Moät chöõ soá trong heä thaäp phaân ñöôïc bieåu dieãn theo caùc soá muõ cuûa 10.
Troïng soá 103 102 101 100 10-1 10-2

5 3 4 6 , 7 2

MSD Ñieåm thaäp LSD


phaân

Soá mang troïng soá lôùn nhaát goïi laø MSD (most significant digit)
Soá mang troïng soá nhoû nhaát goïi laø LSD (least significant digit)
Ví dụ: Soá 5346,72 bieåu dieãn nhö sau:
5346,72 = 5.103 + 3.102 + 4.10 + 6 + 7.10-1 + 2.10-2

GV: Nguyễn Trọng Hải Trang 5


Bài Giảng Kỹ Thuật Số Chương 1

• Ñeám trong heä thaäp phaân:


0 10 100
1 11 101
2 12 102
3 13 103
4 14 104
5 105
6 106
7 107
8 108
9 99 109
Toång quaùt vôùi N chöõ soá coù theå ñeám ñöôïc 10N soá khaùc nhau, bao goàm caû soá 0. Soá
thaäp phaân lôùn nhaát laø 10N – 1.
1.1.3. Hệ nhị phân (hệ cơ số 2)

Heä nhò phaân duøng hai chöõ soá 0, 1.


Moät soá trong heä nhò phaân ñöôïc bieåu dieãn theo soá muõ cuûa 2.
Troïng soá 23 22 21 20 2-1 2-2 2-3

1 0 1 1 1 0 1

MSB Ñieåm nhò LSB


phaân

Moät chöõ soá nhò phaân goïi laø bit.


Chuoãi 4 bit nhò phaân goïi laø nibble.
Chuoãi 8 bit goïi laø byte.
Chuoãi 16 bit goïi laø word.
Chuoãi 32 bit goïi laø double word.
Chöõ soá nhò phaân beân phaûi nhaát cuûa chuoãi bit goïi laø bit coù yù nghóa nhoû nhaát (least
significant bit – LSB)
Chöõ soá nhò phaân beân traùi nhaát cuûa chuoãi bit goïi laø bit coù yù nghóa lôùn nhaát (most
significant bit – MSB).
Thöôøng duøng chöõ B cuoái chuoãi bit ñeå xaùc ñònh ñoù laø soá nhò phaân.
Ví dụ: Soá 1011,101B bieåu dieãn giaù trò soá:
1011,101B = 1.23 + 0.22 + 1.21 +1.20 + 1.2-1 + 0.2-2 + 1.2-3

GV: Nguyễn Trọng Hải Trang 6


Bài Giảng Kỹ Thuật Số Chương 1

• Ñeám trong heä nhò phaân


Xeùt boä ñeám 4 bit, baét ñaàu vôùi taát caû caùc bit = 0

Troïng soá 23 = 8 22 = 4 21 = 2 20 = 1
0 0 0 0
0 0 0 1
0 0 1 0
0 0 1 1
0 1 0 0
0 1 0 1
0 1 1 0
0 1 1 1
1 0 0 0
1 0 0 1
1 0 1 0
1 0 1 1
1 1 0 0
1 1 0 1
1 1 1 0
1 1 1 1

Cuõng nhö trong heä thaäp phaân, neáu duøng N bit seõ ñeám ñöôïc 2N laàn.
• Chuyeån soá nhò phaân thaønh soá thaäp phaân:
Phương pháp: Coäng troïng soá caùc bit 1
Ví dụ: 1011,11B = 1.23 + 0.22 + 1.21 + 1 + 1.2-1 + 1.2-2 = 11,75
• Chuyeån soá thaäp phaân thaønh soá nhò phaân:
Phương pháp:
Phaàn nguyeân: Chia 2, nhôù laïi soá dö
Phaàn thaäp phaân: Nhaân 2, nhôù laïi phaàn nguyeân
Ví dụ: Chuyeån (25)10 ra soá nhò phaân

25
= 12 + soá dö 1
2
12
=6 + soá dö 0
2
6
=3 + soá dö 0
2
3
=1 + soá dö 1
2
1
=0 + soá dö 1
2 MSB LSB

25 = 1 1 0 0 1

GV: Nguyễn Trọng Hải Trang 7


Bài Giảng Kỹ Thuật Số Chương 1

Ví dụ: Chuyeån (0,625)10 thaønh soá nhò phaân


0,625 × 2 = 1,25
0,25 × 2 = 0,5
0,5 × 2 = 1,0
0,625 = 0,101B

1.1.4. Các phép toán số học trên số nhị phân

Caùc pheùp toaøn soá hoïc treân soá nhò phaân chuû yeáu vaãn gioáng caùc pheùp toaùn treân soá
thaäp phaân, ngoaïi tröø pheùp coäng vaø pheùp nhaân thì ñôn giaûn hôn.
Baûng pheùp coäng cho soá nhò phaân
0+0=0
0+1=1
1+0=1
1+1=0 nhôù 1 cho soá haïng keá tieáp
Ví dụ: coäng 1310 vôùi 1110 döôùi daïng nhò phaân
1111 ← caùc soá nhôù
1310 = 1101
1110 = 1011
11000 =2410
Baûng pheùp tröø cho soá nhò phaân
0-0=0
0-1=1 möôïn 1 töø soá haïng keá tieáp
1-0=1
1-1=0
Möôïn1 töø 1 coät töông ñöông vôùi vieäc tröø 1 taïi coät ñoù
Ví dụ:
(a) 1 ← (möôïn 1 töø coät thöù 3) (b) 1111 ← (möôïn)
11101 10000
- 10011 - 11
1010 1101
Baûng pheùp nhaân cho soá nhò phaân
0x0=0
0x1=0
1x0=0

GV: Nguyễn Trọng Hải Trang 8


Bài Giảng Kỹ Thuật Số Chương 1

1x1=1
Ví dụ: Nhaân 1310 vôùi 1110 ôû daïng nhò phaân
1101
1011
1101
1101
0000
1101
10001111 =14310
Ñoái vôùi maùy tính, pheùp nhaân ñöôïc thöïc hieän baèng phöông phaùp coäng vaø dòch
traùi:
- Thaønh phaàn ñaàu tieân cuûa toång seõ chính laø soá bò nhaân neáu nhö LSB cuûa soá
nhaân laø 1. Ngöôïc laïi, LSB cuûa soá nhaân baèng 0 thì thaønh phaàn naøy baèng 0.
- Moãi thaønh phaàn thöù i keá tieáp seõ ñöôïc tính töông töï vôùi ñieàu kieän laø phaûi dòch
traùi soá bò nhaân i bit.
- Keát quaû caàn tìm chính laø toång caùc thaønh phaàn noùi treân.
Pheùp chia cho soá nhò phaân
Pheùp chia caùc soá nhò phaân cuõng töông töï nhö ñoái vôùi caùc soá thaäp phaân.
Ví dụ: 30/6
11110 110
110 101
011
000
110
110
0
Töông töï nhö ñoái vôùi pheùp nhaân, ta coù theå duøng pheùp tröø vaø pheùp dòch phaûi cho
ñeán khi khoâng theå thöïc hieän pheùp tröø ñöôïc nöõa.
1.1.5. Số có dấu - không dấu

Heä thoáng soá ñöôïc chia laøm 2 loaïi: khoâng daáu vaø coù daáu.
Trong heä thoáng coù daáu: ñeå bieåu thò soá nhò phaân coù daáu thöôøng söû duïng bit MSB
ñeå chæ daáu: bit 0 chæ soá döông, bit 1 chæ soá aâm, caùc bit coøn laïi ñeå chæ ñoä lôùn
Nhö vaäy, neáu ta duøng 8 bit ñeå bieåu dieãn thì seõ thu ñöôïc 256 toå hôïp öùng vôùi caùc
giaù trò 0..255 (soá khoâng daáu) hay –127.. –0 +0 … +127 (soá coù daáu).
Tuy nhieân, khoâng ñôn giaûn laø cöù thay ñoåi bit MSB baèng 1 ñeå bieåu dieãn giaù trò
aâm, ví duï nhö 01000001 (+65) thaønh 11000001 (-65), caùc pheùp tính soá hoïc seõ
khoâng coøn ñuùng.
Giaù trò aâm ñöôïc moâ taû döôùi daïng soá buø 2.
GV: Nguyễn Trọng Hải Trang 9
Bài Giảng Kỹ Thuật Số Chương 1

Soá buø 2 (2’s component)


Soá buø 2 cuûa moät soá nhò phaân xaùc ñònh baèng caùch laáy ñaûo caùc bit roài coäng theâm 1.
Ví dụ: Trong heä thoáng coù daáu 8bit
Soá +65 bieåu dieãn laø: 0100 0001
Soá buø 2 cuûa +65 laø: 1011 1110 + 1 = 1011 1111. (– 65)
Nhöng neáu ñoåi ngöôïc 1011 1111 sang thaäp phaân seõ khoâng nhaän ñöôïc -65. Ñeå
xaùc ñònh giaù trò tuyeät ñoái cuûa moät soá nhò phaân aâm, thöïc hieän laïi caùc böôùc treân
-65 10111111
ñaûo bit 01000000
coäng 1 1
+65 01000001
Thöû laïi baèng caùch laáy toång cuûa +65 vaø –65, keát quaû phaûi baèng 0
+65 01000001
-65 +10111111
00 (1)00000000
Trong pheùp coäng vôùi soá buø 2, ta boû qua bit nhôù cuoái cuøng bôûi vì coù moät bit gaùn
cho bit daáu neân keát quaû vaãn ñuùng
Khi bieåu dieãn theo soá buø 2, neáu söû duïng 8 bit ta seõ coù caùc giaù trò soá thay ñoåi töø
-128 ..127.
Pheùp tröø thoâng qua soá buø 2
Ngoaøi caùch tröø nhö treân, ta cuõng coù theå thöïc hieän pheùp tröø thoâng qua soá buø 2 cuûa
soá tröø: A-B=A+(-B)
VD: 0110 1101 0110 1101
- 0011 0001 → + 1100 1111
1 0011 1100
Soá buø 1 Nhôù

1100 1110 + 1 = 1100 1111 (Soá buø 2)

Keát quaû 0011 1100, Bit MSB = 0 cho bieát keát quaû laø soá döông.
Xeùt khoaûng thay ñoåi sau
+3 00000011
+2 00000010
+1 00000001
0 00000000
-1 11111111
-2 11111110
-3 11111101

GV: Nguyễn Trọng Hải Trang 10


Bài Giảng Kỹ Thuật Số Chương 1

Thaáy raèng caùc bit 0 ôû soá nhò phaân aâm bieåu thò giaù trò thaäp phaân cuûa noù: tính giaù
trò cuûa caùc bit 0 theo vò trí gioáng nhö vôùi bit 1, coäng caùc giaù trò laïi vaø coäng 1.
1.1.6. Hệ bát phân (hệ cơ số 8)

Heä baùt phaân ñöôïc keát hôïp bôûi 8 chöõ soá: 0, 1, 2, 3, 4, 5, 6, 7.


Vò trí cuûa moãi chöõ soá coù troïng soá nhö sau:

84 83 82 81 80 8-1 8-2 8-3 8-4 8-5


Ñieåm baùt phaân

¾ Ñeám trong heä baùt phaân


0 10 70
1 11 71
2 12 72
3
4
5
6 66 277
7 67 300
Vôùi N chöõ soá baùt phaân, ta coù theå ñeám töø 0 ñeán 8N-1, 8N laàn ñeám khaùc nhau.
¾ Chuyeån soá baùt phaân sang soá thaäp phaân:
Ví dụ: (24.6)8 = 2.81 + 4.80 + 6.8-1 =(20.75)10
¾ Chuyeån soá thaäp phaân sang baùt phaân:
Ví dụ: ñoåi (266)10 sang heä baùt phaân
266
= 33 + soá dö 2
8
33
= 4 + soá dö 1
8
4
= 0 + soá dö 4
8
26610 = 4128

Ví dụ: Chuyeån 0,3125 thaønh soá baùt phaân


0,3125 × 8 = 2.5
0,5 × 8 = 4.0
( 0,3125 = 0,248 )

GV: Nguyễn Trọng Hải Trang 11


Bài Giảng Kỹ Thuật Số Chương 1

¾ Chuyeån soá baùt phaân sang soá nhò phaân:


Phương pháp: Bieán ñoåi moãi chöõ soá baùt phaân sang 3 bit nhò phaân töông öùng
Soá Octal 0 1 2 3 4 5 6 7
Soá nhò phaân 000 001 010 011 100 101 110 111
töông ñöông
Ví dụ: Bieán ñoåi (472)8 sang soá nhò phaân nhö sau:
4 7 2
↓ ↓ ↓
100 111 010
Vaäy (472)8 chuyeån sang nhò phaân laø 100111010B.
¾ Chuyeån soá nhò phaân sang soá baùt phaân.
Phương pháp: nhoùm töøng 3 bit baét ñaàu taïi LSB, sau ñoù chuyeån moãi nhoùm
naøy sang soá baùt phaân töông öùng (theo baûng chuyeån ñoåi ôû treân).
Ví dụ: chuyeån 100111010B sang soá baùt phaân
100111010

↓ ↓ ↓
(4 7 2)8
Tröôøng hôïp caùc soá nhò phaân khoâng ñuû thaønh 1 nhoùm 3 bits, ta theâm 1 hoaëc 2
soá 0 veà beân traùi cuûa MSB.
Ví dụ: chuyeån 11010110 sang soá baùt phaân
011010110
↓ ↓ ↓
(3 2 6)8
¾ Lôïi ích cuûa heä baùt phaân
Vieäc deã daøng chuyeån töø heä baùt phaân sang nhò phaân vaø ngöôïc laïi laøm cho heä
baùt phaân raát coù lôïi trong vieäc ruùt ngaén caùc soá nhò phaân lôùn. Trong maùy tính,
caùc soá nhò phaân naøy khoâng phaûi luoân luoân bieåu hieän moät con soá maø thöôøng
bieåu thò döôùi daïng maõ mang thoâng tin, ví duï:
• döõ lieäu baèng soá thöïc
• caùc soá töông öùng vôùi caùc vò trí (ñòa chæ) trong boä nhôù
• maõ leänh
• maõ bieåu thò soá hoïc vaø caùc ñaëc ñieåm khaùc
• moät noùm caùc bit bieåu hieän traïng thaùi cuûa caùc thieát bò trong vaø ngoaøi
maøy tính
Khi giaûi quyeát moät löôïng lôùn caùc soá nhò phaân vôùi nhieàu bit, thöôøng duøng caùc
soá döôùi daïng baùt phaân hôn laø nhò phaân ñeå taêng ñoä tieän lôïi, maëc duø caùc maïch
soá vaø caùc heä thoáng soá laøm vieäc hoaøn toaøn treân soá nhò phaân.
GV: Nguyễn Trọng Hải Trang 12
Bài Giảng Kỹ Thuật Số Chương 1

Ví dụ: Chuyeån soá 11710 sang heä baùt phaân roài chuyeån sang heä nhò phaân
177
Giaûi = 22 + soá dö 1
8
22
=2 + soá dö 6
8
2
=0 + soá dö 2
8
Vaäy (177)10 =(261)8 = (10110001)2
Phöông phaùp chuyeån soá thaäp phaân thaønh soá nhò phaân naøy thöôøng nhanh hôn
vieäc chuyeån thaúng töø thaäp phaân sang nhò phaân, ñaëc bieät ñoái vôùi caùc soá lôùn.
Cuõng nhö vaäy ñoái vôùi vieäc chuyeån ngöôïc laïi töø nhò phaân sang thaäp phaân
baèng caùch chuyeån sang soá baùt phaân
1.1.7. Hệ thập lục phân (hệ cơ số 16)

Trong heä thoáng naøy, ta duøng caùc soá 0..9 vaø caùc kí töï A..F ñeå bieåu dieãn cho moät
giaù trò soá (töông öùng vôùi 10 ñeán 15 trong heä 10). Thoâng thöôøng, ta duøng chöõ H ôû
cuoái ñeå xaùc ñònh ñoù laø soá thaäp luïc phaân.
Heä thaäp luïc phaân Heä thaäp phaân Heä nhò phaân
0 0 0000
1 1 0001
2 2 0010
3 3 0011
4 4 0100
5 5 0101
6 6 0110
7 7 0111
8 8 1000
9 9 1001
A 10 1010
B 11 1011
C 12 1100
D 13 1101
E 14 1110
F 15 1111
¾ Ñeám trong heä thaäp luïc phaân (hex)
Khi ñeám trong heä thaäp luïc phaân moãi chöõ soá taêng töø 0 ñeán F sau ñoù veà 0 vaø
chöõ soá coù troïng soá lôùn hôn keá tieáp seõ taêng leân 1.

GV: Nguyễn Trọng Hải Trang 13


Bài Giảng Kỹ Thuật Số Chương 1

0 10 20

9 19 FF
A 1A 100
B
C
D
E 1E 6FF
F 1F 700

¾ Chuyeån soá hex sang thaäp phaân


Ví dụ: 35616 = 3.162 + 5.161 + 6.160 = 85410
¾ Chuyeån soá hex sang nhò phaân
Phương pháp: moãi chöõ soá hex ñöôïc bieán ñoåi thaønh soá nhò phaân 4 bit töông
öùng.
Ví dụ: 9F216 = 9 F 2
↓ ↓ ↓
1001 1111 0010
¾ Chuyeån ñoåi soá nhò phaân sang soá hex
Phương pháp: caùc bit nhò phaân ñöôïc nhoùm vaøo nhoùm 4 bit töø LSB, moãi
nhoùm 4 bit ñöôïc bieán ñoåi sang soá hex töông öùng. Neáu soá bit khoâng ñuû 4, thì
coäng theâm bit 0 vaøo MSB.
Ví dụ: 11101001102 = 0 0 1 1 1 0 1 0 0 1 1 0 = 3A616
3 A 6
Ví dụ: Chuyeån (378)10 sang soá hex roài chuyeån sang soá nhò phaân, nhaän xeùt
Ví dụ: Chuyeån B2F16 sang baùt phaân

1.2. CÁC BỘ MÃ HÓA SỐ HỆ MƯỜI THÔNG DỤNG


Khi caùc soá, maãu töï hoaëc caùc töø words ñöôïc bieåu thò döôùi daïng moät nhoùm caùc kyù
hieäu khaùc, ta noùi raèng chuùng ñöôïc maõ hoùa vaø nhoùm kyù töï ñoù ñöôïc goïi laø moät
maõ.
Moät trong nhöõng maõ thoâng duïng nhaát laø maõ Morse, chuùng bao goàm caùc chaám vaø
gaïch ñeå bieåu hieän caùc maãu töï hay caùc chöõ caùi.
Baát cöù soá thaäp phaân naøo cuõng coù theå ñöôïc moâ taû baèng soá nhò phaân töông öùng,
moät nhoùm caùc soá nhò phaân 0 vaø 1 coù theå ñöôïc xem laø moät maõ cho soá thaäp phaân.
Khi moät soá thaäp phaân ñöôïc moâ taû baèng soá nhò phaân töông öùng vôùi noù, ngöôøi ta
goïi laø maõ nhò phaân tröïc tieáp (straight binary code)

GV: Nguyễn Trọng Hải Trang 14


Bài Giảng Kỹ Thuật Số Chương 1

Taát caû caùc heä thoáng soá duøng moät soá daïng caùc soá nhò phaân cho vieäc thöïc thi beân
trong, nhöng caùc töø beân ngoaøi thì thöôøng laø thaäp phaân, nghóa laø coù moät söï bieán
ñoåi thöôøng xuyeân töø thaäp phaân sang nhò phaân, söï bieán ñoåi töø thaäp phaân sang nhò
phaân coù theå chieám moät khoaûng thôøi gian laâu vaø phöùc taïp ñoái vôùi moät soá lôùn. Vì
lyù do ñoù, vieäc maõ hoùa caùc soá thaäp phaân baèng caùch keát hôïp moät vaøi chöùc naêng
cuûa caû heä thoáng thaäp phaân vaø nhò phaân ñöôïc söû duïng trong caùc tình huoáng.
1.2.1. Mã BCD (Binary-Coded-Decimal Code)

Neáu moãi chöõ soá cuûa soá thaäp phaân ñöôïc moâ taû baèng soá nhò phaân töông öùng vôùi
noù, keát quaû ta ñöôïc 1 maõ goïi laø maõ BCD, vì chöõ soá thaäp phaân lôùn nhaát laø 9, caàn
4 bit ñeå maõ hoùa.
Caùc soá 8,4,2,1 ñöôïc goïi laø troïng soá cuûa maõ vaø ñöôïc goïi laø maõ BCD 8-4-2-1.
Ñoâi khi troïng soá 8-4-2-1 toû ra khoâng thuaän tieän trong tính toaùn, moät soá troïng soá
khaùc cuõng ñöôïc söû duïng nhö 2-4-2-1, 5-4-2-1, 7-4-2-1…

MÃ BCD
Thập
phân Trọng số Trọng số Trọng số Trọng số
8 4 2 1 7 4 2 1 2 4 2 1 5 1 2 1
0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1
2 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0
3 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1
4 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0
5 0 1 0 1 0 1 0 1 1 0 1 0 1 0 0 0
6 0 1 1 0 0 1 1 0 1 1 0 0 1 0 0 1
7 0 1 1 1 1 0 0 0 1 1 0 1 1 0 1 0
8 1 0 0 0 1 0 0 1 1 1 1 0 1 0 1 1
9 1 0 0 1 1 0 1 0 1 1 1 1 1 1 1 1
Löu yù raèng caùc loaïi maõ 5-1-2-1 vaø 2-4-2-1 laø khoâng duy nhaát trong khi maõ 8-4-
2-1 vaø 7-4-2-1 laïi duy nhaát
Ví dụ: Soá thaäp phaân 874 chuyeån sang töông ñöông nhò phaân nhö sau:
8 7 3 (thaäp phaân)
↓ ↓ ↓
1000 0111 0011 (BCD 8-4-2-1)
1011 1010 0011 (BCD 5-1-2-1)
hoaëc 1011 1101 0110 (BCD 5-1-2-1)
Moät laàn nöõa, moãi chöõ soá thaäp phaân ñöôïc bieán ñoåi tröïc tieáp sang soá nhò phaân
töông öùng, löu yù raèng 4 bit luoân ñöôïc duøng cho moãi chöõ soá thaäp phaân

GV: Nguyễn Trọng Hải Trang 15


Bài Giảng Kỹ Thuật Số Chương 1

Trong baøi giaûng naøy laáy maõ BCD 8-4-2-1 laøm ví du. Maõ BCD bieåu thò moãi chöõ
soá cuûa soá thaäp phaân baèng soá nhò phaân 4 bit, söû duïng caùc soá nhò phaân 4 bit töø
0000 ñeán 1001, khoâng söû duïng caùc soá 1010, 1011, 1100, 1101, 1110 vaø 1111.
Ví dụ: Bieán ñoåi 0110100000111001 (BCD 8-4-2-1) sang giaù trò thaäp phaân
Giải
Chia soá BCD thaønh caùc nhoùm 4 bit vaø bieán ñoåi sang thaäp phaân
0110 1000 0011 1001 = 6839
So saùnh BCD vaø nhò phaân. Moät ñieàu quan troïng laø maõ BCD khoâng gioáng nhö
maõ nhò phaân tröïc tieáp. Maõ nhò phaân tröïc tieáp bieán ñoåi soá thaäp phaân sang nhò
phaân trong khi maõ BCD bieán ñoåi moãi chöõ soá trong soá thaäp phaân sang nhò phaân.
Xeùt ví duï bieán ñoåi 137 sang maõ nhò phaân tröïc tieáp vaø sang BCD 8-4-2-1 nhö sau:
13710 = 100010012 (nhò phaân)
13710 = 0001 0011 0111 (BCD 8-4-2-1)
Maõ BCD caàn 12 bit trong khi maõ nhò phaân tröïc tieáp chæ caàn 8 bit ñeå bieåu thò soá
137. Maõ BCD caàn nhieàu bit hôn laø bôûi vì BCD khoâng duøng heát caùc khaû naêng cuûa
caùc nhoùm 4 bit vaø vì vaäy coù phaàn naøo ñoù khoâng hieäu quaû.
Öu ñieåm chính cuûa BCD laø deã daøng chuyeån sang thaäp phaân. Chæ phaûi nhôù caùc
nhoùm maõ 4bit cho caùc soá thaäp phaân töø 0 ñeán 9. Söï deã daøng chuyeån ñoåi naøy ñaëc
bieät quan troïng theo quan ñieåm veà phaàn cöùng vì trong moät heä thoáng soá, noù laø
caùc maïch logic ñeå taïo neân söï chuyeån ñoåi sang vaø töø thaäp phaân
1.2.2. Các phép toán số học với mã BCD

Cộng BCD
Coäng hai soá BCD coù ñieåm khaùc so vôùi coäng hai soá nhò phaân. Khi toång cuûa moãi
soá haïng BCD ≤ 9 thì toång ñoù laø keát quaû cuoái cuøng
Ví dụ,
01010011 (53)
00100101 (25)
01111000 (78)
Khi toång hai soá nhò phaân ≥ 9 thì toång phaûi ñöôïc coäng theâm 6 vaø nhôù 1 leân haøng
BCD coù nghóa cao hôn
Ví dụ,
0001 0111 (17)
0010 0101 (25)
0011 1100 Keát quaû ≥ 9
0110 (6)
0100 0010 (42)

GV: Nguyễn Trọng Hải Trang 16


Bài Giảng Kỹ Thuật Số Chương 1

Trừ BCD
Gioáng quy luaät tröø soá nhò phaân nhieàu bit, neáu soá bò tröø nhoû hôn soá tröø thì phaûi
möôïn 1 ôû haøng coù nghóa lôùn hôn (gioáng tröø thaäp phaân)

0101 0101 (55) 0100 1111 Möôïn 1


0001 1000 (18) ⇒ 0001 1000
0011 0111 (37)
Biểu diễn số BCD âm
Gioáng heä nhò phaân, ñeå bieåu dieãn soá aâm trong heä nhò phaân thöôøng duøng soá buø 2,
ñeå bieåu dieãn soá BCD aâm thöôøng duøng soá buø 10.
Soá buø 10 baèng soá buø 9 coäng 1
Bit taän cuøng beân traùi laø bit daáu: 1 cho soá aâm vaø 0 cho soá döông
Ví dụ,
+ 342 0 342 Soá döông
1 657 Soá buø 9 cuûa 342
+ 1
- 342 1 658 Soá buø 10 cuûa 342

1.2.3. Mã quá 3 (excess-3code)


Maõ quaù 3 ñöôïc hình thaønh baèng caùch coäng theâm 3 ñôn vò vaøo maõ BCD 8421.
Ví dụ: bieán ñoåi 48 sang maõ quaù 3
4 8
+3 +3 Coäng 3 cho moãi chöõ soá
7 11
↓ ↓
0111 1011 Chuyeån sang maõ nhò phaân 4 bit
Baûng lieät keâ maõ BCD vaø maõ quaù 3 töông öùng vôùi caùc chöõ soá thaäp phaân.
Thaäp phaân BCD Maõ quaù 3
0 0000 0011
1 0001 0100
2 0010 0101
3 0011 0110
4 0100 0111
5 0101 1000
6 0110 1001
7 0111 1010
8 1000 1011
9 1001 1100

GV: Nguyễn Trọng Hải Trang 17


Bài Giảng Kỹ Thuật Số Chương 1

1.2.4. Mã Gray

Maõ Gray naèm trong nhoùm maõ thay ñoåi cöïc tieåu minimun-change codes, ôû ñoù chæ
1 bit trong nhoùm maõ thay ñoåi ôû khi ñi töø böôùc naøy qua böôùc khaùc.
Maõ Gray laø maõ khoâng coù troïng soá, nghóa laø moïi vò trí cuûa bit trong nhoùm maõ
khoâng ñöôïc gaùn troïng soá naøo. Vì vaäy, maõ Gray khoâng phuø hôïp vôùi caùc bieåu thöùc
soá hoïc nhöng phuø hôïp vôùi caùc thieát bò öùng duïng vaøo/ra & moät soá daïng bieán ñoåi
analog - digital
Baûng chuyeån ñoåi maõ Gray töø soá thaäp phaân (0 ñeán 15) vôùi maõ nhò phaân tröïc tieáp
Thaäp phaân Nhò phaân Maõ Gray Thaäp phaân Nhò phaân Maõ Gray
0 0000 0000 8 1000 1100
1 0001 0001 9 1001 1101
2 0010 0011 10 1010 1111
3 0011 0010 11 1011 1110
4 0100 0110 12 1100 1010
5 0101 0111 13 1101 1011
6 0110 0101 14 1110 1001
7 0111 0100 15 1111 1000
1.2.5. Mã Johnson
Maõ naøy söû duïng naêm chöõ soá nhò phaân ñeå bieåu dieãn caùc chöõ soá heä möôøi.
Phương pháp: Khi chuyeån sang soá tieáp theo maõ seõ thay chöõ soá 0 baèng chöõ soá
1, baét ñaàu töø phaùi sang traùi, cho ñeán khi ñaït 11111 thì seõ baét ñaàu thay theá daàn
chöõ soá 1 baèng chöõ soá 0 vaø cuõng theo chieàu töø phaûi sang traùi
Hệ 10 Mã Johnson
J4 J3 J2 J1 J0
0 0 0 0 0 0
1 0 0 0 0 1
2 0 0 0 1 1
3 0 0 1 1 1
4 0 1 1 1 1
5 1 1 1 1 1
6 1 1 1 1 0
7 1 1 1 0 0
8 1 1 0 0 0
9 1 0 0 0 0
Ngoaøi ra coøn duøng caùc loaïi maõ coù chöõ soá lôùn hôn nhö 8 hoaëc 10 chöõ soá, nhöôïc
ñieåm cuûa caùc loaïi maõ naøy laø ñoä daøi töø maõ lôùn neân chieám nhieàu thôøi gian trong
keânh thoâng tin nhöng öu ñieåm laø coù theå phaùt hieän sai vaø trong nhieàu tröôøng hôïp
coøn coù theå söûa sai, vì vaäy thöôøng goïi laø maõ choáng nhieãu (noäi dung naøy naèm
trong lyù thuyeát thoâng tin)

GV: Nguyễn Trọng Hải Trang 18


Bài Giảng Kỹ Thuật Số Chương 1

1.2.6. Các mã Alphanumeric (mã theo chữ cái và con số)

Ngoaøi caùc döõ lieäu soá, moät maùy tính phaûi coù khaû naêng xöû lyù caùc coâng tin khoâng
soá. Noùi caùch khaùc, moät maùy tính phaûi nhaän ra caùc maõ bieåu thò caùc kyù töï cuûa chöõ
caùi, caùc daáu chaám, vaø caùc kyù töï ñaëc bieät khaùc. Caùc maõ naøy ñöôïc goïi laø maõ
alphanumeric. Moät maõ alphanumeric hoaøn taát bao goàm 26 chöõ caùi thöôøng, 26
chöõ caùi hoa, 10 chöõ soá, 7 chaám caâu, vaø töø 20 ñeán 40 kyù töï khaùc, nhö +, /, #, %,
*,v.v…. Coù theå noùi raèng maõ alphanumeric bieåu thò taát caû caùc kyù töï khaùc nhau vaø
caùc haøm tuøy thuoäc vaøo chuaån baøn phím cuûa maùy tính hay maùy ñaùnh chöõ
Maõ ASCII. Maõ alphanumeric duøng roäng raõi hieän nay laø maõ ASCII (American
Standard Code for Information Interchange), söû duïng haàu heát trong caùc maùy vi
tính, trong caùc theá heä maùy tính lôùn (mainframe). Maõ ASCII laø moät maõ 7 bit vaø vì
vaäy noù coù 27 = 128 nhoùm maõ. Ñieàu naøy thì ñuû ñeå bieåu thò taát caû caùc kyù töï baøn
phím chuaån cuõng nhö caùc haøm ñieàu khieån nhö (RETURN) vaø (LINEFEED)
Kyù töï ASCII Octal Hex Kyù töï ASCII Octal Hex
A 100 0001 101 41 Y 101 1001 131 59
B 100 0010 102 42 Z 101 1010 132 5A
C 100 0011 103 43 0 011 0000 060 30
D 100 0100 104 44 1 011 0001 061 31
E 100 0101 105 45 2 011 0010 062 32
F 100 0110 106 46 3 011 0011 063 33
G 100 0111 107 47 4 011 0100 064 34
H 100 1000 110 48 5 011 0101 065 35
I 100 1001 111 49 6 011 0110 066 36
J 100 1010 112 4A 7 011 0111 067 37
K 100 1011 113 4B 8 011 1000 070 38
L 100 1100 114 4C 9 011 1001 071 39
M 100 1101 115 4D Blank 010 0000 040 20
N 100 1110 116 4E . 010 1110 056 2E
O 100 1111 117 4F ( 010 1000 050 28
P 101 0000 120 50 + 010 1011 053 2B
Q 101 0001 121 51 $ 010 0100 044 24
R 101 0010 122 52 * 010 1010 052 2A
S 101 0011 123 53 ) 010 1001 051 29
T 101 0100 124 54 - 010 1101 055 2D
U 101 0101 125 55 / 0101111 057 2F
V 101 0110 126 56 010 1100 054 2C
W 101 0111 127 57 = 011 1101 075 3D
X 101 1000 130 58 RETURN 000 1101 015 0D
LINEFEED 0001010 012 0A
Ví dụ: Thoâng ñieäp ñöôïc maõ hoùa trong ASCII nhö sau
1001000 1000101 1001100 1010000
Giải Keát quaû theo HEX laø 48 45 4C 50
Theo baûng ASCII, bieán ñoåi HEX sang kyù töï laø H E L P

GV: Nguyễn Trọng Hải Trang 19


Bài Giảng Kỹ Thuật Số Chương 1

Maõ ASCII thöôøng duøng trong caùc boä truyeàn caùc thoâng tin alphanumeric giöõa
moät maùy tính vaø caùc thieát bò vaøo ra nhö thieát bò video hay printer. Maùy tính cuõng
söû duïng noù ñeå löu tröõ caùc thoâng tin nhö caùc daïng leänh.
Ñeå coù theå bieåu dieãn theâm nhieàu kyù töï khaùc (kyù hieäu khoâng phaûi laø chöõ La Ting
nhö α, β, χ, δ…) maõ ASCII ñöôïc theâm 1bit nöõa ñeå coù maõ ASCII 8bit dieãn taû ñöôïc
256 kyù töï, ñaây laø maõ ASCII môû roäng (Extended ASCII)

GV: Nguyễn Trọng Hải Trang 20


Bài Giảng Kỹ Thuật Số Chương 1

Bài tập chương 1


1.1. Đổi các số nhị phân sau sang số thập phân:
a. 10110
b. 10001101
c. 100100001001
d. 1111010111
e. 10111111
1.2. Đổi các giá trị thập phân sau sang nhị phân:
a. 37
b. 14
c. 189
d. 205
e. 2313
f. 511
1.3. Giá trị thập phân lớn nhất của số nhị phân 8 bit là bao nhiêu,16 bit là bao
nhiêu
1.4. Đổi các số bát phân sang số thập phân tương ứng:
a. 743
b. 36
c. 3777
d. 257
e. 1204
1.5. Đổi các số thập phân sau sang số bát phân:
a. 59
b. 372
c. 919
d. 65,535
e. 255
1.6. Đổi các số bát phân ở 1.4 thành số nhị phân.
1.7. Đổi các số nhị phân ở 1.1 thành số bát phân.
1.8. Hãy liệt kê các số bát phân liên tục từ 1658 đến 2008.
1.9.Khi các số thập phân lớn, để đổi sang nhị phân, trước tiên ta đổi sang bát
phân, sau đó đổi số bát phân thành số nhị phân. Hãy dùng cách này đổi số
231310 thành số nhị phân và so sánh với cách đổi dùng ở bài 1.2.
1.10. Đổi các giá trị hex sau thành số thập phân:
a. 92

GV: Nguyễn Trọng Hải Trang 21


Bài Giảng Kỹ Thuật Số Chương 1

b. 1A6
c. 37FD
e. 2C0
f. 7FF
1.11. Đổi các giá trị thập phân sau sang Hex:
a. 75
b. 314
c. 2048
d. 25,619
e. 4095
1.12. Đổi các giá trị nhị phân ở bài 1.1sang thập lục phân.
1.13. Đổi các giá trị Hex ở bài 1.10 sang nhị phân.
1.14.Trong máy vi tính, địa chỉ các vị trí ô nhớ được biểu diễn ở dạng thập lục
phân. Địa chỉ này là những số liên tục mà nó nhận dạng mỗi mạch nhớ
a. Một máy tính cụ thể có thể chứa 1 số 8 bit trong mỗi vị trí nhớ. Nếu các
địa chỉ ô nhớ nằm trong khoảng từ 000016 đến FFFF16, có bao nhiêu vị
trí nhớ?
b. Một bộ vi xử lý có 4096 vị trí nhớ thì khoảng địa chỉ Hex mà bộ vi xử lý
này dùng là gì ?.
1.15. Hãy liệt kê những số hex trình tự từ 280 đến 2A0.
1.16. Hãy mã hóa các số thập phân sau thành số BCD:
a. 47
b. 962
c. 187
d. 42,689.627
e. 1204
1.17. Cần bao nhiêu bit để thể hiện các số thập phân trong khoảng từ 0 đến 999
dùng mã nhị phân chuẩn, mã BCD?
1.18. Đổi những số BCD sau thành số thập phân:
a. 10010110101010010
b. 000110000100
c. 0111011101110101
d. 010010010010
1.19. Hãy thể hiện phát biểu sau "X = 25/Y" bằng mã ASCII, có kèm theo một bit
chẵn lẻ.
1.20. Hãy thêm bit chẵn lẻ vào mã ASCII ở bài 1.19 và đưa kết quả về số Hex.
1.21. Các nhóm mã dưới đây được truyền đi, hãy thêm bit chẵn lẻ cho mỗi nhóm:

GV: Nguyễn Trọng Hải Trang 22


Bài Giảng Kỹ Thuật Số Chương 1

a. 10110110
b. 00101000
c. 11110111
1.22. Hãy đổi số thập phân sau thành mã BCD, sau đó đổi sang số BCD âm:
a. 74
b. 38
c. 165
d. 9201
1.23. Trong hệ thống số, số thập phân từ 000 đến 999 được thể hiện ở dạng mã
BCD. Một bit chẵn lẻ lẻ cũng được đích kèm ở cuối mỗi nhóm mã. Hãy kiểm tra
các nhóm mã bên dưới và giả sử rằng mỗi một mã được truyền từ vị trí này đến vị
trí khác. Một vài nhóm có chứa lỗi. Giả sử không quá 2 lỗi trong mỗi nhóm. Hãy
xác định nhóm mã nào có 1 lỗi đơn và nhóm mã nào có 1 lỗi kép:
a. 100101011000
b. 0100011101100
c. 011110000011
d. 1000011000101
1.24. Thực hiện các sự chuyển đổi dưới đây. Trong số đó, có thể thử 1 cách tốt
nhất trong nhiều cách đã khảo sát.
a. (1417)10 = (……………………….……..)2
b. (-255)10 = (………………………………)2
c. (1110101000100111)2 =(……………………………)10
e. (2497)10 = (……………………………..)8
g. (235)8 = (……………………………)10
i. (7A9)16 = (…………………………….)10
k. (1600,123)10 = (………………………………………)16
m. (865)10 =( …………………………………….)BCD
n. (100101000111)BCD = (……………………………….)10
1.25. Thể hiện giá trị thập phân 37 theo những cách sau:
a. Biến đổi thẳng nhị phân
b. BCD
c. ASCII
d. Bát phân
e. Hex

GV: Nguyễn Trọng Hải Trang 23

You might also like