You are on page 1of 3

LIBRARY IEEE ;

USE IEEE.std_logic_1164.all;

ENTITY dec3to8 IS
PORT (w : IN STD_LOGIC_VECTOR (2 DOWNTO 0);
En : IN STD_LOGIC ;
y : OUT STD_LOGIC_VECTOR (0 TO 7);
LED_COM : OUT STD_LOGIC);

END dec3to8 ;

ARCHITECTURE Structural OF dec3to8 IS


COMPONENT dec2to4
PORT (w : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
En : IN STD_LOGIC ;
y : OUT STD_LOGIC_VECTOR (0 TO 3);
LED_COM : OUT STD_LOGIC);
END COMPONENT ;

BEGIN
D1 : dec2to4 PORT MAP (w(1 downto 0), not w(2) and En, y(0 to 3)) ;
D2 : dec2to4 PORT MAP (w(1 downto 0), w(2) and En, y(4 to 7)) ;
LED_COM <= '1' ;
END Structural ;

You might also like