You are on page 1of 1

entity neuron is

generic(nb_bits :integer) ; -- word size


port (in nenr :in unsigned(nb_bits- I downto O) ;
out_neur : out std_logic_v~tor((nb_bits - L) downlo O
rend_en,rst,clk,rcady : in std_logic) ;
end neuron ;
,lrchileclnrc nenron_dc,~tiplion of neuron is
zompoucm MAC
generic (nb_bits : integer) ;
port (x, w : i n std_logic_vcctor((nb_bits-I) downto 0) ;
elk. rsl : in sld_logic ;
q : out std_logic_vector ((2*nb bits) -I) downto 0)) ;
gild cotnponen[ ;
component ROM
generic {nb add : integer : ni',_bits :integer) ;
port ( add : in unsigl~'d ((nb_addr -1) downto 0) ;
out_tom : out ~d logic vector((nb_bits - I) downto 0)
read en : in ~d_logic) ;
end colnponeflt ;
[,'O Illpone n.I LUT
generic(nb_ad(h" :integer ; nb_bits :integer) ;
port (addr : in tad Iogie_vectoc((nb_bits - I) downto 0));
out lut : out sld_logic_vector((2*nb bits -I) downto 0
read en : in std_logic) ;
end component ;
begin
rein_wight : ROM generic inap (). port nrmp (read en, add, w)
molt ace : MAC genetic map(), port map (x.w,clk.rea,q) ;
result : LUT generic map O. port map (rend_en. q. out_lut );
end neuron_de~ription ;
(a)

You might also like