You are on page 1of 132

Dr Miodrag Popovi

Osnovi elektronike
za studente Odseka za softversko inenjerstvo

Elektrotehniki fakultet Beograd, 2004

Sadraj
1. Uvod ............................................................................................................................................................................. 1 1.1 ta je to elektrotehnika?..................................................................................................................................... 1 1.2 Oblasti elektrotehnike:....................................................................................................................................... 1 1.3 ta je to elektronika?.......................................................................................................................................... 2 2. Osnovni pojmovi o elektricitetu................................................................................................................................ 4 2.1 Elektrino optereenje ....................................................................................................................................... 4 2.2 Sila izmeu dva takasta elektrina optereenja ............................................................................................... 4 2.3 Provodnici, izolatori i poluprovodnici............................................................................................................... 4 2.4 Elektrina struja ................................................................................................................................................. 5 2.5 Napon ................................................................................................................................................................. 6 2.6 Referentni smerovi i polariteti ........................................................................................................................... 6 2.7 Energija i snaga.................................................................................................................................................. 7 2.8 Modelovanje elektrinih sistema ....................................................................................................................... 7 2.9 Idealni elektrini elementi ................................................................................................................................. 8 2.10 Idealni pasivni elektrini elementi..................................................................................................................... 8 2.11 Idealni nezavisni elektrini izvori...................................................................................................................... 9 2.12 Idealni zavisni (kontrolisani) elektrini izvori .................................................................................................. 9 3. Kola sa stalnim jednosmernim strujama............................................................................................................... 11 3.1 Omov zakon ..................................................................................................................................................... 11 3.2 Elektrino kolo................................................................................................................................................. 12 3.3 Prvi (strujni) Kirhofov zakon........................................................................................................................... 13 3.4 Drugi (naponski) Kirhofov zakon.................................................................................................................... 13 3.5 Paralelna i serijska veza otpornika .................................................................................................................. 13 3.5.1 Serijska (redna) veza otpornika ........................................................................................................... 13 3.5.2 Paralelna veza otpornika...................................................................................................................... 14 3.6 Transformacije trougao zvezda i zvezda - trougao ...................................................................................... 16 3.7 Sistem jednaina napona vorova.................................................................................................................... 17 3.8 Linearna kola: principi superpozicije i homogenosti ...................................................................................... 17 3.9 Transformacija izvora ...................................................................................................................................... 18 3.10 Tevenenova i Nortonova teorema.................................................................................................................... 19 4. Kola sa promenljivim strujama .............................................................................................................................. 21 4.1 Kondenzator ..................................................................................................................................................... 21 4.2 Kalem ............................................................................................................................................................... 22 4.3 Kola prvog reda sa kondenzatorima i kalemovima......................................................................................... 23 4.4 Kola drugog reda sa kondenzatorima i kalemovima....................................................................................... 26 5. Kola sa naizmeninim strujama ............................................................................................................................. 30 5.1 Osnovni pojmovi.............................................................................................................................................. 30 5.2 Predstavljanje sinusoidalnih veliina kompleksnim brojevima...................................................................... 31 5.3 Opis elemenata kola pomou fazora................................................................................................................ 33 5.4 Uopteni Omov zakon: impedansa i admitansa .............................................................................................. 34 5.5 Snaga naizmenine struje................................................................................................................................. 37 5.6 Kirhofovi zakoni u kolima sa naizmeninim strujama ................................................................................... 38 5.7 Osnovne transformacije u kolima sa naizmeninim strujama ........................................................................ 39 5.7.1 Serijska (redna) veza impedansi.......................................................................................................... 39 5.7.2 Paralelna veza impedansi..................................................................................................................... 40 5.7.3 Transformacije trougao zvezda i zvezda - trougao .......................................................................... 41 5.7.4 Transformacije izvora u kolima sa naizmeninim strujama ............................................................... 42 5.8 Sistem jednaina napona vorova za kola sa naizmeninim strujama ........................................................... 43 5.9 Tevenenova i Nortonova teorema za kola sa naizmeninim strujama ........................................................... 43 5.10 Kola sa jednim i dva pristupa .......................................................................................................................... 44 5.11 Analiza kola sa sloenoperiodinim strujama................................................................................................. 46

ii

6. Osnovi fizike poluprovodnika ................................................................................................................................. 49 6.1 Osnovni pojmovi o provodnosti materijala ..................................................................................................... 49 6.2 Elektronska struktura materijala...................................................................................................................... 50 6.3 Silicijum kao poluprovodnik ........................................................................................................................... 51 6.4 Dopiranje silicijuma primesama...................................................................................................................... 52 7. pn spoj........................................................................................................................................................................ 55 7.1 Nepolarisani pn spoj ........................................................................................................................................ 55 7.2 Direktno polarisani pn spoj.............................................................................................................................. 56 7.3 Inverzno polarisani pn spoj.............................................................................................................................. 57 7.4 Proboj pn spoja i Zener dioda.......................................................................................................................... 58 7.5 Modeli diode .................................................................................................................................................... 58 7.5.1 Karakteristika diode............................................................................................................................. 58 7.5.2 Idealna dioda........................................................................................................................................ 59 7.5.3 Izlomljeno linearni model diode.......................................................................................................... 59 7.5.4 Model diode sa konstantnim padom napona....................................................................................... 60 7.5.5 Model diode za male signale ............................................................................................................... 60 7.6 Radna taka diode ............................................................................................................................................ 61 7.7 Primene i vrste dioda ....................................................................................................................................... 62 8. Bipolarni tranzistor .................................................................................................................................................. 63 8.1 Struktura i simboli bipolarnog tranzistora....................................................................................................... 63 8.2 Rad bipolarnog tranzistora u aktivnom reimu ............................................................................................... 64 8.2.1 Model npn tranzistora za velike signale.............................................................................................. 65 8.2.2 Model tranzistora za male signale ....................................................................................................... 66 8.3 Ulazne i izlazne karakteristike tranzistora....................................................................................................... 67 8.4 Polarizacija tranzistora..................................................................................................................................... 67 8.5 Osnovna pojaavaka kola sa jednim tranzistorom ........................................................................................ 69 8.5.1 Pojaava sa zajednikim emitorom ................................................................................................... 69 8.5.2 Pojaava sa zajednikim kolektorom ................................................................................................ 70 8.5.3 Pojaava sa zajednikom bazom ....................................................................................................... 72 9. MOS tranzistor (MOSFET) .................................................................................................................................... 74 9.1 Struktura i simboli MOS tranzistora................................................................................................................ 74 9.2 Princip rada NMOS tranzistora ....................................................................................................................... 75 9.2.1 Ponaanje NMOS tranzistora pri malim naponima VDS ..................................................................... 76 9.2.2 Ponaanje NMOS tranzistora pri veim naponima VDS...................................................................... 76 9.3 PMOS tranzistor i komplementarni MOS (CMOS)........................................................................................ 77 9.4 Model NMOS tranzistora za velike signale .................................................................................................... 77 9.4.1 NMOS tranzistor u zakoenju ............................................................................................................. 78 9.4.2 NMOS tranzistor u triodnoj oblasti ..................................................................................................... 78 9.4.3 NMOS tranzistor u zasienju............................................................................................................... 78 9.5 Model NMOS tranzistora za male signale ...................................................................................................... 79 9.6 Osnovna pojaavaka kola sa NMOS tranzistorom........................................................................................ 81 9.6.1 Pojaava sa zajednikim sorsom ....................................................................................................... 81 9.6.2 Pojaava sa zajednikim drejnom...................................................................................................... 81 9.6.3 Pojaava sa zajednikim gejtom........................................................................................................ 82 10. Sloena pojaavaka kola ...................................................................................................................................... 84 10.1 Strujni izvori .................................................................................................................................................... 84 10.2 Pojaava sa dinamikim optereenjem.......................................................................................................... 86 10.3 Diferencijalni pojaava .................................................................................................................................. 87 10.4 Operacioni pojaava....................................................................................................................................... 90 10.5 Primene operacionog pojaavaa .................................................................................................................... 91 10.5.1 Invertorski pojaava........................................................................................................................... 91 10.5.2 Neinvertorski pojaava ...................................................................................................................... 92 10.5.3 Jedinini pojaava.............................................................................................................................. 92 10.5.4 Kolo za sabiranje ................................................................................................................................. 93 10.5.5 Kolo za integraljenje............................................................................................................................ 93 10.5.6 Kolo za diferenciranje.......................................................................................................................... 94 11. Digitalna elektronska kola..................................................................................................................................... 96 11.1 Analogni i digitalni signali i kola..................................................................................................................... 96 iii

11.2 Logike funkcije idealnih logikih kola i Bulova algebra .............................................................................. 97 11.2.1 I operacija (logiko mnoenje)............................................................................................................ 98 11.2.2 ILI operacija (logiko sabiranje) ......................................................................................................... 98 11.2.3 NE operacija (komplementiranje) ....................................................................................................... 98 11.2.4 Pravila Bulove algebre......................................................................................................................... 99 11.2.4.1 Identiteti Bulove algebre ....................................................................................................... 99 11.2.4.2 Zakoni Bulove algebre .......................................................................................................... 99 11.2.4.3 Teoreme Bulove algebre ..................................................................................................... 100 11.2.5 NI operacija........................................................................................................................................ 100 11.2.6 NILI operacija.................................................................................................................................... 101 11.2.7 Iskljuivo-ILI operacija ..................................................................................................................... 101 11.2.8 Operacija koincidencije (iskljuivo-NILI)........................................................................................ 102 11.2.9 Predstavljanje logikih funkcija ........................................................................................................ 102 11.3 Karakteristike realnih logikih kola .............................................................................................................. 102 11.3.1 Karakteristika prenosa ....................................................................................................................... 103 11.3.2 Margine uma .................................................................................................................................... 104 11.3.3 Faktor grananja na izlazu i ulazu....................................................................................................... 105 11.3.4 Dinamike karakteristike................................................................................................................... 105 11.3.5 Disipacija (potronja) logikog kola i proizvod snage i kanjenja................................................... 106 11.4 Realizacija invertora sa MOS tranzistorima.................................................................................................. 107 11.4.1 Karakteristika prenosa ....................................................................................................................... 108 11.4.2 Dinamike karakteristike................................................................................................................... 111 11.4.3 Disipacija CMOS kola....................................................................................................................... 112 11.5 Logika kola sa MOS tranzistorima .............................................................................................................. 113 11.6 Bistabilna kola................................................................................................................................................ 114 11.6.1 SR le ................................................................................................................................................. 115 11.6.2 D le ................................................................................................................................................... 117 11.6.3 D flipflop............................................................................................................................................ 118 11.7 Multivibratorska kola..................................................................................................................................... 119 11.7.1 Monostabilni multivibrator................................................................................................................ 119 11.7.2 Astabilni multivibrator ...................................................................................................................... 121 11.8 Digitalno-analogna i analogno-digitalna konverzija..................................................................................... 123 11.8.1 Digitalno-analogna konverzija .......................................................................................................... 123 11.8.2 Analogno-digitalna konverzija .......................................................................................................... 124 11.9 Osnovna memorijska kola ............................................................................................................................. 125 11.9.1 Statike memorije .............................................................................................................................. 126 11.9.2 Dinamike memorije ......................................................................................................................... 127

iv

1. Uvod
Savremeni tehnoloki problemi su veoma sloeni i njihovo reavanje zahteva uee inenjera i istraivaa iz raznih oblasti nauke i tehnike, koji se organizuju u razvojne ili istraivake timove. U takvim uslovima inenjer, koji je specijalizovan za odreenu oblast, esto treba da radi sa strunjacima drugih specijalnosti. Da bi se olakala saradnja inenjera razliitih specijalnosti potrebno je da svaki od njih bar delimino poznaje srodne oblasti tehnike, kako bi razumeo probleme i ogranienja u reavanju problema u celini. Zbog toga se u svetu, prilikom obrazovana inenjera uvek prouavaju u oblasti koje nisu direktno u vezi sa odabranom specijalizacijom. U savremenom svetu svedoci smo da elektrini ili elektronski ureaji prodiru u sve oblasti ivota. Automobili imaju eletronske ureaje za nadzor i upravljanje, ureaji bele tehnike u domainstvu imaju sve vie elektronskih funkcija, mobilni telefoni su napravili revoluciju u telekomunikacijama, uvoenje raunara u kue menja nain ivota, itd. Ovaj predmet upravo ima za cilj da studente, kojima e primarna specijalizacija biti pisanje softvera za razne vrste raunara, upozna sa osnovima elektrotehnike i elektronike kako bi razumeli kako takvi elektronski sistemi funkcioniu i kako bi mogli da efikasno komuniciraju sa ekspertima iz drugih struka sa kojima e saraivati. 1.1 ta je to elektrotehnika?

Oblast elektrotehnike obuhvata primene elektriciteta za zadovoljavanje potreba drutva. Postoje dve glavne primene elektriciteta: za prenos elektrine energije sa jednog mesta na drugo ili za prenos informacija. Elektrotehnika je oblast koja se izdvojila iz fizike i poslednjih 150 godina se stalno i dinamino razvijala. O razvoju elektrotehnike svedoi stalna pojava novih podoblasti kao i broj naunih i strunih publikacija iz elektrotehnike koji u velikoj meri prevazilazi obim slinih publikacija iz drugih oblasti tehnike. 1.2 Oblasti elektrotehnike:

Osnovno jezgro elektrotehnike se tradicionalno deli na sedam specijalizovanih podoblasti: 1. 2. 3. 4. 5. 6. 7. Elektroenergetika Elektromagnetika Komunikacije Raunarsko inenjerstvo Sistemi Upravljanje Elektronika

Elektroenergetika se bavi proizvodnjom i prenosom elektrine energije sa jedne lokacije na drugu i najstarija je elektrotehnika specijalnost. Ceo razvoj savremenog drutva zavisi u kritinoj meri od potreba za elektrinom energijom za napajanje elektrinih ureaja i kui i industriji. Zato su za proizvodnju elektrine energije razvijeni razni sistemi za pretvaranje drugih

oblika energije (toplotne, hidromehanike, nuklearne, solarne, energije vetra, elektrohemijske, ...) u elektrinu energiju. Elektromagnetika premoava jaz izmeu primena elektrotehnike za prenos energije i ostalih disciplina koje su uglavnom vezane za prenos informacija. Ona se bavi prouavanjem i primenom elektrinog polja, magnetskog polja i struje. Elektrina struja moe biti uvek istog smera (jednosmerna struja) ili promenljivog smera (naizmenina struja). Kod naizmeninih struja definie se pojam uestanosti ili frekvencije, koja predstavlja broj promena smera struje u sekundi. Jedinica za frekvenciju je Herc (Hz). Opseg uestanosti koji se sree u praksi je veoma irok. U elektroenergetici se koriste naizmenine struje uestanosti 50 Hz ili 60 Hz, dok se u drugim oblastima koriste znatno vie uestanosti, ak do 1011 Hz. Na viim uestanostima energija poinje da zrai iz kablova, i kroz atmosferu se prostiru elektromagnetski talasi. Ovakvi talasi su omoguili pojavu radija, televizije, beinih komunikacija, radara, itd. Komunikacije ili telekomunikacije su podoblast elektrotehnike koja se bavi prenosom informacija sa jednog mesta na drugo. Informacije se prenose pomou elektrinih provodnika, elektromagnetskih talasa, klasinim kablovima, optikim kablovima, itd. Jedan od vanih problema koji se reava u komunikacijama je nain na koji se informacije utiskuju u elektrini signal. Taj proces se naziva modulacija ili kodovanje i obavlja se na predajnon strani, dok se na prijemnoj strani obavlja inverzni proces koji se naziva demodulacija ili dekodovanje. U procesu prenosa nastaje i degradacija signala sbog dejstva smetnji ili uma pa se u komunikacijama velika panja posveuje metodima za izvlaenje korisnih informacija iz uma i metodima za zatitu informacija. Veina ovih metoda zahteva upotrebu raunara. Raunarsko inenjerstvo je jedna od podoblasti elektrotehnike koje se bavi razvojem i projektovanjem raunarskog hardvera i softvera koji kontrolie njegov rad. Savremeni raunarski sistemi mogu biti veoma razliiti, poev od jednostavnih mikrokontrolera koji obavljaju jednostavne nadzorne funkcije, preko personalnih raunara i radnih stanica koji se koriste za obavljanje raznovrsnih aplikacija, sluanja muzike, gledanje filmova i igru, pa do monih superraunara za izvravanje kompleksnih prorauna u fizici, meteorologiji i istraivanju svemira. Oblast sistemskog inenjerstva se bavi modelovanjem kompleksnih sistema matematikim modelima u cilju njihovog jednostavnijeg opisa i predvianja njihovog ponaanja. Primeri takvih sistema su, na primer, modelovanje saobraaja ili modelovanje leta aviona. Takav matematiki opis sistema omoguava jednostavniju analizu ponaanja sistema u raznim uslovima bez izvoenja eksperimenta. Upravljanje sistemima je takoe jedna od vanih oblasti elektrotehnike koja se bavi upravljanjem raznim elektromehanikim i drugim sloenim sistemima uz pomo odgovarajuih modela i algoritama za reagovanje u razliitim situacijama. 1.3 ta je to elektronika?

Oblast elektronike se bavi korienjem razliitih materijala u specijalnim konfiguracijama ili strukturama radi dobijanja elemenata u kojima se moe kontrolisati tok struje i povezivanjem takvih elemenata u sloena kola. Osnovni elementi savremene elektronike su diode i tranzistori koji su povezani u integrisana kola. Pored toga, elektronika se bavi i projektovanjem elektronskih kola za odreene namene, razvojem algoritama za projektovanje, implementacijom elektronskih kola koja realizuju razne metode potrebne u ostalim oblastima elektrotehnike. Mada je oblast elektronike stara oko 100 godina, ona je u toku istorije imala izuzetno dinamian razvoj a takva je i danas. Poto se usled razvoja tehnologije stalno pronalaze novi materijali, stalno se stvaraju nove komponente, to u velikoj meri utie na razvoj postupaka projektovanja. Ve dvadesetak godina je prisutan trend minijaturizacije komponenata i trend

integracije velikog broja komponenata u jedno integrisano kolo. To je omoguilo drastino smanjenje dimenzija elketronskih ureaja, smanjenje njihove potronje, poveanje brzine rada i poveanje pouzdanosti ureaja. Na primer, jedan od prvih elektronskih raunara ENIAC iz 1947. godine koji je imao oko 17000 elektronskih cevi i memoriju od svega nekoliko kB, bio je smeten u prostoriju veliine sportske sale, a njegova potronja se merila desetinama kW. Dananji raunari imaju sve vane performanse najmanje 1000 do 10000 puta bolje. Drugi karakteristian primer je mobilni telefon koji je pre samo dvadesetak godina, za neuporedivo loije performanse, imao veliinu koja je jedva mogla da stane u automobil.

2. Osnovni pojmovi o elektricitetu


Elektrotehnika se prvenstveno bavi elektrinim optereenjem (naelektrisanjem), njegovim kretanjem i efektima tog kretanja. Za nepokretno naelektrisanje esto se koristi termin statiko naelektrisanje, a za pokretno naelektrisanje termin elektrina struja. 2.1 Elektrino optereenje

Elektrino optereenje je fundamentalno svojstvo materije koje se ne moe se stvoriti ili unititi. To znai da ako se naelektrisanje odstrani sa nekog mesta ono se mora pojaviti na drugom mestu. Postoje dva tipa naelektrisanja: pozitivno i negativno naelektrisanje. Dva nelektrisanja se meusobno privlae ako su suprotnog tipa ili meiusobno odbijaju ako su istog tipa. Uproena struktura atoma se sastoji od pozitivno naelektrisanog jezgra i elektrona koji krue oko jezgra po razliitim orbitama. Poto je pozitivno naelektrisanje kompenzovano istom koliinom negativnog naelektrisanja, atom je elektriki neutralan. Meutim, poto se elektroni iz najudaljenijih orbita mogu na razne naine odvojiti od atoma, atom moe postati naelektrisan (tada se naziva jon), a elektroni se mogu kretati i formirati struju. Uobiajena simbol za optereenje je q (Q) a jedinica Kulon (C). Elektrino optereenje jednog elektrona je -1.60210-19 C i to je najmanje naelektrisanje koje postoji. esto se za optereenje jednog elektrona kae da je to elementarno naelektrisanje ili kvant naelektrisanja. 2.2 Sila izmeu dva takasta elektrina optereenja

Sila izmeu dva naelektrisanja, koja su dovoljno mala u odnosu na njihovo rastojanje, opisana je sledeom jednainom:
F =k q1q2 d2

gde je konstanta k = 8.99109 Nm2/C2, q1 i q2 predstavljaju veliine naelektrisanja (u C), a d njihovo meusobno rastojanje (u m). Ova relacija se naziva Kulonov zakon. Ako su naelektrisanja istog znaka sila je pozitivna i naelektrisanja se odbijaju, ako su naelektrisanj suprotnog znaka sila je negativna i naelektrisanja se privlae. 2.3 Provodnici, izolatori i poluprovodnici

Materijali koji omoguavaju laku pokretljivost elektrona nazivaju se provodnici. Tipini provodnici su metali: srebro, zlato, bakar, aluminijum, ... Kod njih elektroni iz spoljanjih orbita atoma mogu lako napustiti atome. Takvi elektroni se nazivaju slobodni elektroni i oni omguavaju lako uspostavljanje elektrine struje. Materijali koji nemaju sposobnost lake pokretljivosti elektrona nazivaju se izolatori. Tipini izolatori su nemetali: staklo, plastine mase, keramika, guma, ... Naelektrisanje koje se

dovede na izolator ostaje nepokretno i naziva se statiki elektricitet. Izolacioni materijali se esto koriste za izolovanje provodnika da bi se spreio neeljeni dodir dva provodnika i uspostavljanje struje izmeu njih. Poluprovodnici su po svojim osobinama negde izmeu provodnika i izolatora i umereno se suprostavljaju kretanju nosilaca elektriciteta. Najvaniji poluprovodnici su silicijum, germanijum, galijum arsenid, ... Poluprovodniki materijali su osnov savremene elektronike. Otpornost je mera suprostavljanja kretanju nosilaca elektriciteta i bie kasnije kvantitativno definisana. Provodnici imaju malu otpornost, dok izolatori imaju veliku otpornost. Na primer, otpornost bakra je oko 1025 puta manja od otpornosti kvarca istih dimenzija. 2.4 Elektrina struja

Elektrina struja je jedan od osnovnih pojmova u elektrotehnici i predstavlja meru koliine elektriciteta koja se pomerila u jedinici vremena. Pomeraj naelektrisanja moe se vriti na razliite naine. Kod metalnih provodnika, mehanizam pomeranja je kretanje slobodnih elektrona. U rastvorima mehanizam pomeranja je kretanje pozitivno ili negativno naelektrisanih jona, kao to je to sluaj u elektrohemijskim baterijama ili u postupku galvanizacije. U poluprovodnicima naelektrisanje se kree kretanjem slobodnih elektrona ili upljina koje su nosioci pozitivnog naelektrisanja. Uobiajena oznaka za struju je I ili i. Jedinica za struju je Amper (A) i predstavlja pomeraj od 1 C/s. Po konvenciji se uzima da smer struje odgovara smeru kretanja pozitivnog naelektrisanja. Prosena (srednja) struja I se definie kao kolinik ukupnog pomerenog naelektrisanja q i vremenskog intervala u kome se vri taj pomeraj t:
I= q t

S druge strane, trenutna struja i se definie kao brzina promene naelektrisanja, odnosno prvi izvod koliine elektriciteta po vremenu:
i= dq dt

U sluajevima kada se struja sastoji od kretanja dva tipa nosilaca, trenutna struja se moe izraziti i na sledei nain:
i= dq dq + + dq = dt dt

gde je dq+ pomereno inkrementalno pozitivno naelektrisanje dok je dq- pomereno inkrementalno negativno naelektrisanje. U elektrotehnici se sreu vrlo razliite vrednsti struje. Struja kod munja i gromova je reda nekoliko desetina hiljada ampera. U industrijskim pogonima i elektrinim vozilima struje su reda stotinu ampera. Kuni ureaji obino rade sa strujama u opsegu od 0.5 A do 16 A. U elektronskim kolima struje su reda mA, A ili nA. U raznim mernim ureajima u fizici struje mogu biti reda pA (10-12 A), kolike su i struje izmeu nervnih elija kod ivih bia.

2.5

Napon

Napon predstavlja potencijalnu energiju. Razlika potencijla predstavlja sposobnost prenosa naelektrisanja u toku struje. Jedinica za napon je Volt (V) i predstavlja energiju od 1 J, koja je potrebna za pomeraj pozitivnog naelektrisanja od 1 C. Uobiajena oznaka za napon je V ili v. Posmatrajui inkrementalne promene energije i naelektrisanja, trenutni napon se moe definisati kao:

v=

dw dq

2.6

Referentni smerovi i polariteti

Prilikom analize mehanikih sistema uvek se koristi neki koordinatni sistem, koji definie ta se podrazumeva pod pozitivnim smerom. Slina situacija je i u analizi elektrinih pojava, gde je vrlo vano da naponi i struje u kolu budu tako definisani da se lako moe odrediti koja je od dve take na viem potencijalu, ili koji je stvarni smer neke struje. Na sl. 2.1a sa V je oznaen napon izmeu taaka A i B. Znaci + i oznaavaju referentni smer napona V. Ako je V > 0, onda je taka sa oznakom + (A) na viem potencijalu od take sa oznakom (B), ako je V < 0, onda je taka sa oznakom + (A) na niem potencijalu od take sa oznakom (B). Znak se ne mora pisati, tada se on implicitno podrazumeva. Referentni smer napona se moe proizvoljno usvojiti. Neka je, na primer, na slici 2.1a vrednost napona V = 3 V, to znai da je potencijal take A vei za 3 V od potencijala take B. Ako bi se referentni smer usvojio tako da + bude kod take B, onda bi vrednost napona V bila V = -3 V, to ima isto znaenje kao u prethodnom sluaju.
A + V B Kolo Kolo B A I

Slika 2.1: Oznaavanje polariteta napona i referentnog smera za struju.

Na slici 2.1b je strelicom oznaen referentni smer za struju I, tako da ona protie od take A, kroz element kola, do take B. Ako je I > 0, onda je stvarni smer struje isti sa referentnim smerom, ako je I < 0, onda je stvarni smer struje suprotan referentnom smeru. Neka je I = 4 A. Onda je stvarni smer struje identian sa nacrtanim referentnim smerom, a amplituda struje je 4 A. Ako bi pretpostavljeni referentni smer bio suprotan nacrtanom na sl. 2.1b, tada bi vrednost struje bila I = -4 A, pa bi stvarni smer struje bio suprotan referentnom, odnosno isti kao u prvom sluaju. Kao to se vidi, neophodno je potrebno specificirati vrednost i referentni smer bilo kog napona ili struje u kolu. Vrednosti veliina date bez referentnog smera su nekompletne, jer definiu samo amplitude odgovarajuih veliina, a ne i njihove smerove.

2.7

Energija i snaga

Energija je vaan pojam u analizi elektrinih kola. Smer prenosa energije zavisi od znakova napona i struje.
A + Kolo v(t) B
Slika 2.2: Konvencija za oznaavanje polariteta pri izraunavanje snage.

i(t)

Na primer, na sl. 2.2 energija iz kola se predaje elementu vezanom izmeu taaka A i B ako je v(t) > 0 i i(t) > 0. Za takav element se kae da prima energiju i on se naziva pasivni element. Kod pasivnih elemenata pozitivna struja ulazi u pozitivni naponski terminal. Ako je pak, v(t) > 0 i i(t) < 0, element predaje energiju kolu. Takav element se naziva aktivni element ili izvor. Kod aktivnih elemenata pozitivna struja ulazi u negativni naponski terminal. Snaga se definie kao brzina promene energije:

p=

dw dw dq = = vi dt dq dt

Gornja jednaina pokazuje da se snaga na elementu kola moe predstaviti proizvodom napona na elementu i struje kroz element. Poto napon i struja mogu biti vremenski promenljivi, snaga se takoe moe menjati sa vremenom i onda se oznaava sa p(t). Promena energije od trenutka t1 do trenutka t2 moe se odrediti integracijom jednaine za snagu kao:

w = p dt = vi dt
t1 t1

t2

t2

Izraunavanje snage zahteva konsistentno korienje konvencije o smerovima napona na elementi i struje kroz element. Referentni polaritet napona na elementu v(t) i referentni smer struje kroz element i(t), moraju biti tako definisani da pozitivni terminal napona bude kod one take elementa u koju ulazi referentni smer stuje, kao to je prikazano na sl. 2.2. Onda e proizvod napona i struje odrediti znak snage. Ako je p(t) > 0, element je pasivan, ako je p(t) < 0, element je aktivan. 2.8 Modelovanje elektrinih sistema

Modelovanje je proces uproenog predstavljanja realnog fizikog sistema na nain koji omoguava primenu matematikih tehnika za analizu takvog sistema. Uproavanje predstave sistema se izvodi usvajanjem izvesnih pretpostavki kojima se zanemaruju nebitna svojstva.

U analizi elektrinih kola jedna od najvanijih uproavajuih pretpostavki je da su osnovne karakteristike kola koncentrisane u pojedinane blokove (elemente), koji su povezani idealnim provodnicima. Takva pretpostavka je opravdana sve dok uestanost signala nije suvie visoka, tj. manja je od mikrotalasnih uestanosti. 2.9 Idealni elektrini elementi

Idealni elektrini elementi su kompletno opisani matematikom relacijom izmeu napona na elementu i struje kroz element. Idealni elektrini elementi se mogu podeliti na aktivne ili pasivne zavisno od toga da li predaju energiju ostatku kola ili primaju energiju iz kola. 2.10 Idealni pasivni elektrini elementi

Idealni pasivni elektrini elementi su otpornik, kalem i kondenzator. Oni su predstavljeni simbolima i opisani matematikim relacijama kao na slici:
Otpornik + v i Kalem i + v Kondenzator + v i

v = Ri

v=L

di dt

v=

1 i dt C
dv dt

ili
i= 1 v R
1 i = v dt L
i =C

Slika 2.3: Idealni pasivni elektrini elementi.

Otpornik predstavlja komponentu kod koje se energija koja se predaje elementu pretvara u toplotu ili svetlosnu energiju. Konstanta R u definicionim relacijama predstavlja otpornost otpornika (jedinica Om - ). Kalem predstavlja komponentu kod koje se energija koja se predaje elementu pretvara u magnetsko polje. Konstanta L u definicionim relacijama predstavlja induktivnost kalema (jedinica Henri - H). Kondenzator predstavlja komponentu kod koje se energija koja se predaje elementu pretvara u elektrino polje. Konstanta C u definicionim relacijama predstavlja kapacitivnost kondenzatora (jedinica Farad - F). Ova tri pasivna elementa, zajedno sa izvorima koji e biti definisani u narednim odeljcima, omoguavaju da se predstavi i analizira vrlo irok krug elektrinih i elektronskih kola.

2.11

Idealni nezavisni elektrini izvori

Idealni nezavisni naponski izvor je aktivni element koji odrava napon izmeu pristupa nezavisno od struje kroz njega. Vrednost napona nezavisnog naponskog izvora moe biti konstantna V (kao kod elektrohemijskih baterija), ili neka funkcija vremena v(t). Simboli koji se koriste za predstavljanje idealnih naponskih izvora prikazani su na sl. 2.4. Znak + pored simbola oznaava referentni polaritet napona izvora.

+ v(t)

Slika 2.4: Idealni nezavisni naponski izvori.

Idealni nezavisni strujni izvor je aktivni element koji odrava struju izmeu pristupa nezavisno od napona izmeu pristupa. Vrednost struje nezavisnog strujnog izvora moe biti konstantna I, ili neka funkcija vremena i(t). Simbol koji se koristi za predstavljanje idealnog strujnog izvora prikazan je na sl. 2.5. Strelica u simbolu oznaava referentni smer struje izvora.

i(t)

Slika 2.5: Idealni nezavisni strujni izvor.

Na primerima modela nezavisnih izvora mogu se lako uoiti uproavanja prilikom modelovanja komponenti. Na primer, idealni naponski izvor odrava napon v(t) na svojim krajevima nezavisno od struje. Teorijski, struja bi mogla da bude i beskonano velika, to bi izazvalo da takav izvor moe generisati beskonanu snagu. To je naravno fiziki nemogue. Dakle, idealni modeli komponenata predstavljaju vaee aproksimacije realnih komponenata samo pod izvesnim uslovima.
2.12 Idealni zavisni (kontrolisani) elektrini izvori

Za razliku od nezavisnih izvora koji generiu neki napon (ili struju) nezavisno od toga ta se deava u ostatku kola, idealni zavisni izvori generiu napon (ili struju) koja zavisi od nekog drugog napona ili struje u kolu. Ovakvi izvori su vani jer omoguavaju modelovanje mnogih elektronskih elemenata, kao to su, na primer, tranzistori. Postoje 4 tipa idealnih zavisnih izvora, koji su prikazani na slikama 2.6 i 2.7. Kao to se vidi, zavisni izvori imaju etiri prikljuka. Ulazni krajevi (sa leve strane) predstavljaju veliinu koja kontrolie izvor, a izlazni krajevi (sa desne strane) predstavljaju izlaznu struju ili napon kontrolisanog izvora. Primetimo da su konstante i bezdimenzione konstante, jer se u prvom sluaju napon transformie u napon, a u drugom sluaju se struja transformie u struju.

Konstanta se esto naziva naponsko pojaanje, a konstanta strujno pojaanje. S druge strane, konstante r i g su dimenzione konstante. Konstanta r ima dimenziju otpornosti (transimpedansa), dok konstanta g ima dimenziju recipronu otpornosti (transkonduktansa).
+ + v0 v= v0 i0 + v=ri 0

Slika 2.6: Naponski kontrolisani naponski izvor (NKNI) i strujno kontrolisani naponski izvor (SKNI).

+ + v0 i=g v 0 i0 i= i0

Slika 2.7: Naponski kontrolisani strujni izvor (NKSI) i strujno kontrolisani strujni izvor (SKSI).

10

3. Kola sa stalnim jednosmernim strujama


Kola sa stalnim jednosmernim strujama sastoje se samo od otpornika i izvora konstantnog napona ili struje. Jednaine koje opisuju takvo kolo su linearne, tako da se takav sistem jednaina moe lako reiti. Zbog jednostavnosti opisa kola, kod kola sa stalnim jednosmernim strujama lako je objasniti osnovne zakone, kao to su Omov zakon, prvi i drugi Kirhofov zakon.
3.1 Omov zakon

Omov zakon definie naponsko strujnu zavisnost kod otpornika i glasi: Napon na otporniku je direktno proporcionalan struji kroz otpornik.
V = RI

+ V -

I R

Slika 3.1: Omov zakon.

Konstanta proporcionalnosti R predstavlja otpornost otpornika. Jedinica za otpornost je Om (). U praksi se otpornici prave nanoenjem metalnog ili ugljenog filma na keramiku podlogu, ili od ice velike specifine otpornosti. U integrisanim kolima se otpornici prave posebnim tehnikama koje su prilagoene proizvodnji ostalih poluprovodnikih komponenata. Tipine vrenosti otpornosti koje se sreu u elektrotehnici i elektronici se kreu od delova do nekoliko M. Provodnost otpornika G je reciprona vrednost otpornosti: G=
1 R

Jedinica za provodnost je Simens (S). Omov zakon izraen preko provodnosti glasi:
I = GV

Otpornik je pasivni element koji apsorbuje snagu i pretvara je u toplotu. Snaga razvijena na otporniku je proizvod struje i napona:
P = VI

11

Primenom Omovog zakona, snaga na otporniku se moe izraziti i sa ekvivalentnim izrazima:


P = RI 2 = V2 I2 = GV 2 = R G

Specijalni sluajevi otpornosti:


R = 0 (G = )

Ovaj sluaj se naziva kratak spoj. Napon izmeu pristupa kod kratkog spoja je jednak nuli, a struja moe imati ma kakvu vrednost.
G = 0 ( R = )

Ovaj sluaj se naziva otvorena veza. Napon izmeu pristupa kod otvorene veze moe imati ma kakvu vrednost, a struja je jednaka nuli.

3.2

Elektrino kolo

Elektrino kolo predstavlja interkonekciju dva ili vie elemenata. Povezivanje elemenata se vri provodnicima ija se otpornost moe zanemariti.
A R1 V B + R2 R3 C I

D
Slika 3.2: Primer jednog elektrinog kola.

Pre nego to formuliemo osnovne zakone koji opisuju ponaanje elektrinih kola, moramo se upoznati sa nekoliko definicija osnovnih termina: vor kola je taka spajanja dva ili vie elemenata kola (A, B, C, D, na sl. 3.2). Petlja predstavlja ma koji zatvoreni put kroz kolo kod koga se kroz jedan vor moe proi samo jednom (ACBA, BCDB, ACDBA, na sl. 3.2). Kontura predstavlja petlju koji ne sadri u sebi neku drugu petlju (ACBA, BCDB, na sl. 3.2). Grana je deo kola koji sadri samo jedan element i vorove na krajevima elementa (AB, AC, BC, BD, CD, na sl. 3.2).

12

3.3

Prvi (strujni) Kirhofov zakon

Nemaki fiziar Gustav Kirhof je jo sredinom 19. veka formulisao dva osnovna zakona koji opisuju ponaanje elektrinih kola. Prvi Kirhofov zakon se odnosi na struje u kolu i glasi: Algebarska suma struja koje utiu u ma koji vor kola jednaka je nuli.

I
j =1

=0

gde je I j struja j-te grane koja ulazi u vor, dok je N broj grana koje ulaze u vor. Po konvenciji se struje ija je referentna orijentacija ka voru uzimaju se sa pozitivnim predznakom, dok se struje ija je referentna orijentacija od vora uzimaju sa negativnim predznakom. Alternativna formulacija prvog Kirhofovog zakona glasi:
Suma struja koje utiu u ma koji vor kola jednaka je sumi struja koje istiu iz istog vora.

3.4

Drugi (naponski) Kirhofov zakon

Drugi Kirhofov zakon se odnosi na napone u kolu i glasi: Algebarska suma napona u bilo kojoj petlji kola jednaka je nuli.

V
j =1

=0

gde je V j napon na j-toj grani petlje koja ukupno ima N grana. Po konvenciji se naponi na granama ija je referentna orijentacija suprotna orijentaciji petlje uzimaju se sa pozitivnim predznakom, dok se naponi na granama ija je referentna orijentacija ista sa orijentacijom petlje uzimaju sa negativnim predznakom.

3.5

Paralelna i serijska veza otpornika

Prvi i drugi Kirhofov zakon opisuju stanje svakog elektrinog kola. Meutim, kada se primene na kola sa samo jednim parom vorova, ili na kola sa samo jednom petljom, oni daju neke vrlo korisne rezultate, koji se mogu primeniti za uproavanje elektrinih kola.
3.5.1 Serijska (redna) veza otpornika

Ako se N otpornika tako povee tako da se u svakom voru stiu samo po dva otpornika (osim kod prvog i poslednjeg vora), takva veza se naziva serijska ili redna veza otpornika i prikazana je na slici 3.3a. Za jedinu petlju u kolu se moe napisati jednaina po drugom Kirhofovom zakonu:

V = R1I s + R2 I s + L + RN I s = ( R1 + R2 + L + RN ) I s

13

dok se za ekvivalentnu petlju na slici 3.3b moe napisati:

V = Rs I s

Is + V

...
R1 R2 RN +

Is V Rs

Slika 3.3: Serijska (redna) veza otpornika.

Ako su napon izvora i struja kroz izvor u oba kola isti, onda se za ekvivalentnu otpornost Rs dobija:

Rs = R1 + R2 + L + RN
odnosno, ekvivalentna otpornost serijski vezanih otpornika jednaka je zbiru pojedinanih otpornosti. Posmatrajmo dva serijski vezana otpornika, kao na slici 3.4. Poto kroz oba otpornika protie ista struja i, naponi na serijski vezanim otpornicima su:
VR1 = R2 R1 V V , VR2 = R1 + R2 R1 + R2

odnosno, napon izvora V deli se izmeu otpornika R1 i R2 u direktnoj srazmeri sa njihovim otpornostima. Ovakvo kolo se naziva delitelj (razdelnik) napona i esto se primenjuje u elektronici.
I + V R2 Slika 3.4: Delitelj (razdelnik) napona.

R1

+ VR1 + VR2

3.5.2

Paralelna veza otpornika

Ako se N otpornika tako povee da svi imaju zajednike prikljuke, takva veza se naziva paralelna veza otpornika i prikazana je na slici 3.5a. Za vor u kome su povezani naponski izvor i svi otpornici se moe napisati jednaina po prvom Kirhofovom zakonu:
14

I p = G1V + G2V + L + GNV = (G1 + G2 + L + GN )V

dok se za ekvivalentni vor na slici 3.5b moe napisati:


I p = G pV
Ip + V R1 R2 Ip

...
RN

+ V Rp

Slika 3.5: Paralelna veza otpornika.

Ako su napon izvora i struja kroz izvor u oba kola isti, onda se za ekvivalentnu otpornost Gp dobija:
G p = G1 + G2 + L + GN

odnosno, ekvivalentna provodnost paralelno vezanih otpornika jednaka je zbiru pojedinanih provodnosti. Alternativni oblik prethodne jednaine je:

1 1 1 1 = + +L+ Rp R1 R2 RN Posmatrajmo dva paralelno vezana otpornika, kao na slici 3.6. Poto je napon na oba otpornika isti, struje kroz paralelno vezane otpornike su: I R1 = R2 R1 I , I R2 = I R1 + R2 R1 + R2

odnosno, struja izvora I deli se izmeu otpornika R1 i R2 u obrnutoj srazmeri sa njihovim otpornostima. Ovakvo kolo se naziva delitelj (razdelnik) struje i esto se primenjuje u elektronici.

IR1 I R1

IR2

...
R2

Slika 3.6: Delitelj (razdelnik) struje. 15

3.6

Transformacije trougao zvezda i zvezda - trougao

Jo dve esto koriene transformacije u reavanju elektrinih kola su transformacije trougla u zvezdu i obrnuto. Na slici 3.7 je prikazano vezivanje tri otpornika u trougao i zvezdu. U literaturi na engleskom jeziku ove transformacije su poznate kao Y, odnosno, Y.
A A

R1

R2 RC

RA RB B

C R3

Slika 3.7: Vezivanje otpornika u trougao () i zvezdu (Y).

Da bi ova dva kola bila ekvivalentna, otpornost izmeu ma koje dve take u oba kola, kada se trea taka ostavi nepovezana, mora biti ista. Dakle, korienjem pravila za paralelno i serijsko vezivanje otpornika, sa slike 3.7 se dobija:

RAB = RA + RB = RBC = RB + RC = RAC = RA + RC =

R2 ( R1 + R3 ) R1 + R2 + R3 R3 ( R1 + R2 ) R1 + R2 + R3 R1 ( R2 + R3 ) R1 + R2 + R3

Reavanjem ovog sistema jednaina po RA, RB i RC, dobija se:

RA = RB = RC =

R1R2 R1 + R2 + R3 R2 R3 R1 + R2 + R3 R1R3 R1 + R2 + R3

dok se reavanjem sistema jednaina po R1, R2 i R3, dobija:

R1 = R2 = R3 =

RA RB + RA RC + RB RC RB RA RB + RA RC + RB RC RC RA RB + RA RC + RB RC RA
16

3.7

Sistem jednaina napona vorova

U procesu reavanja elektrinog kola potrebno je odrediti struje kroz elemente kola i napone na elementima kola. Za njihovo odreivanje moemo napisati sistem linearnih jednaina, koji se sastoji od jednaina po prvom Kirhofovom zakonu, jednaina po drugom Kirhofovom zakonu i jednaina elemenata po Omovom zakonu. Prilikom odreivanja napona u kolu jedan vor u kolu se bira za referentni vor pa se preostali naponi raunaju u odnosu na njega. Referentni vor se najee naziva masa. Ovako formirani sistem ima veliki broj jednaina. Da bi se smanjio broj jednaina u sistemu moe se postupiti na dva naina. Prvi nain je da se prvo odrede svi naponi u kolu, a da se potom odrede struje kroz elemente na osnovu Omovog zakona, a drugi nain je da se prvo odrede struje u kolu pa tek onda naponi na elementima. U oba sluaja se broj jednaina u sistemu znaajno smanjuje. U elektronskim kolima je broj vorova obino znatno manji od broja elemenata, pa je prvi nain formiranja jednaina korisniji. Da bi se formirao takav sistem jednaina, prvo se za svaki vor (osim za referentni) napie odgovarajua jednaina po prvom Kirhofovom zakonu, a zatim se struje koje utiu u vor ili istiu iz vora izraze preko napona vorova i Omovog zakona. U sluaju kola sa N vorova, broj jednaina u sistemu je N-1. Takav sistem jednaina se naziva sistem jednaina napona vorova. Struja kroz element izmeu vorova m i n data je po Omovom zakonu:

i=

Vm Vn R

Ova struja se pojavljuje samo u jednainama po prvom Kirhofovom zakonu napisanom za vorove m i n. U sluaju kola sa N vorova, broj nepoznatih veliina (napona) u sistemu N-1, tj. isti je kao broj jednaina. Dakle, posle sreivanja napisanih jednaina, koje se sastoji u grupisanju lanova koji odgovaraju istim nepoznatim naponima i prebacivanja konstantnih lanova na desnu stranu jednaina, formirani sistem izgleda ovako:

G11V1 + G12V2 + L + G1N 1VN 1 = I1 G21V1 + G22V2 + L + G2 N 1VN 1 = I 2 M GN 11V1 + GN 12V2 + L + GN 1N 1VN 1 = I N 1
Ovaj sistem jednaina se moe i direktno napisati na osnovu posmatranja kola, bez prethodnog formiranja jednaina po prvom Kirhofovom zakonu. Koeficijenti van glavne dijagonale Gmn , gde je m n , predstavljaju zbir provodnosti svih grana izmeu vorova m i n i uvek imaju negativni predznak. Dijagonalni koeficijenti Gkk predstavljaju zbir provodnosti svih grana koje se stiu u vor k i uvek imaju pozitivni predznak.

3.8

Linearna kola: principi superpozicije i homogenosti

U elektrotehnici i elektronici veliku primenu ima klasa linearnih kola. Da bi kolo bilo linearno mora zadovoljiti principe superpozicije i homogenosti.

17

Princip superpozicije tvrdi da se u jednom linearnom kolu sa vie nezavisnih izvora, struja kroz ma koji element ili napon bilo kog vora u kolu, moe biti predstavljen kao algebarski zbir doprinosa pojedinanih izvora. Prilikom odreivanja doprinosa jednog izvora, preostali nezavisni naponski izvori moraju biti zamenjeni kratkim spojevima, a preostali nezavisni strujni izvori se moraju zameniti otvorenim vezama. Zavisni izvori ostaju neizmenjeni u kolu. Iako primena principa superpozicije zahteva viestruko reavanje sistema jednaina, sistemi jednaina koji se dobijaju posle anuliranja preostalih nezavisnih izvora su esto znatno jednostavniji, pa njihovo reavanje ne predstavlja problem. Princip homogenosti tvrdi da ako se u jednom linearnom kolu neki nezavisni izvor pomnoi (skalira) nekom konstantom, onda se njegovi doprinosi strujama i naponima u kolu mnoe istom konstantom. Dokaz ovih principa sledi iz linearnosti sitema jednaina koje opisuju kolo.
3.9 Transformacija izvora

U elektrinim kolima se retko sreu idealni naponski i strujni izvori. Realni naponski izvor, prikazan na slici 3.8, ima konanu unutranju otpornost RV . Realni strujni izvor, takoe prikazan na slici 3.8, ima konanu unutranju provodnost Gi = 1 Ri .
Rv + I Ri Rp Vp V Rp Vp -

Ip

Ip

Slika 3.8: Realni strujni izvor i realni naponski izvor.

U cilju uproenja kola, ponekad je pogodno pretvoriti strujni izvor u ekvivalentni naponski izvor i obrnuto. Do uslova ekvivalencije se lako moe doi posmatranjem slike 3.8. Ako se na realni strujni ili naponski izvor prikljui isti otpornik proizvoljne otpornosti Rp, onda u sluaju ekvivalentnih izvora struja kroz otpornik Rp mora biti isti u oba kola. Po Omovom zakonu, onda je isti i napon na otporniku Rp. Dakle, iz uslova jednakosti struja kroz Rp:

Ip =

Ri 1 V= I Rv + R p Ri + R p

direktno se dobijaju uslovi ekvivalencije realnog naponskog i strujnog izvora:

V = Ri I , Rv = Ri
Dakle, ako u kolu imamo strujni izvor struje I i njemu paralelno vezan otpornik R, onda se ova kombinacija moe zameniti ekvivalentnim naponskim izvorom napona V = RI i serijski vezanim otpornikom R. Takoe vai i obrnuto: ako u kolu imamo naponski izvor napona V sa serijski vezanim otpornikom R, onda se ova kombinacija moe zameniti ekvivalentnim strujnim

18

izvorom struje I = V R i njemu paralelno vezanim otpornikom R. Ostali parametri kola u kome se nalaze nezavisni izvori ostaju nepromenjeni. Transformacije izvora imaju veliku primenu u uproavanju elektrinih kola, kada je potrebno smanjiti broj vorova ili smanjiti broj petlji u kolu.
3.10 Tevenenova i Nortonova teorema

Pretpostavimo da imamo neko elektrino kolo i da elimo da odredimo struju, napon ili snagu na nekom otporniku, koji emo nazvati potroa i obeleiti sa Rp. Ova situacija je ilustrovana na slici 3.10a. Tevenenova i Nortonova teorema pokazuju kako se celo kolo, osim potroaa, moe zameniti ekvivalentnim realnim naponskim ili strujnim izvorom, tako da struja i napon potroaa ostanu nepromenjeni. Posmatrajmo kolo na sl. 3.10a. Ako se potroa iskljui iz kola, pristupni krajevi ostaju otvoreni, i na njima postoji napon, koji emo nazvati napon otvorene veze i obeleiti sa VOC , kao na slici 3.10b. Meutim, ako se posle iskljuenja potroaa pristupni krajevi kratkospoje, onda izmeu njih postoji struja kratkog spoja, koju emo obeleiti sa I SC , kao na slici 3.10c.
A + Kolo sa izvorima i otpornicima B Rp Kolo sa izvorima i otpornicima A + VOC B B Kolo sa izvorima i otpornicima A

ISC

Slika 3.10: Odreivanje napona otvorenih krajeva i struje kratkog spoja.

Za izvoenje Tevenenove teoreme posmatrajmo kolo na sl. 3.11a, u kome je kompletno kolo sa izvorima i otpornicima (bez potroaa) zamenjeno ekvivalentnim naponskim izvorom VT i serijski vezanim otpornikom RT . Poreenjem kola sa slike 3.10 i slike 3.11a, lako se vidi da su struja kroz potroa i napon na potroau isti ako je:

VT = VOC , RT =

VOC I SC

A + VT B
Slika 3.11: Tevenenova i Nortonova teorema.

A + Rp IN RN B Rp

RT

19

Ove relacije predstavljaju Tevenenovu teoremu koja glasi:


Svako elektrino kolo sa zavisnim i nezavisnim izvorima i otpornicima se moe zameniti ekvivalentnim kolom koje se sastoji od idealnog naponskog izvora VT , iji je napon jednak naponu kola sa iskljuenim potroaem VOC , i serijskog otpornika RT , ija je otpornost jednaka koliniku napona kola sa iskljuenim potroaem VOC i struje kroz kratkospojeni potroa I SC .

Za izvoenje Nortonove teoreme posmatrajmo kolo na sl. 3.11b, u kome je kompletno kolo sa izvorima i otpornicima (bez potroaa) zamenjeno ekvivalentnim strujnim izvorom I N i paralelno vezanim otpornikom RN . Poreenjem kola sa slike 3.10 i slike 3.11b, lako se vidi da su struja kroz potroa i napon na potroau isti ako je:

I N = I SC , RN =

VOC I SC

Ove relacije predstavljaju Nortonovu teoremu koja glasi:


Svako elektrino kolo sa zavisnim i nezavisnim izvorima i otpornicima se moe zameniti ekvivalentnim kolom koje se sastoji od idealnog strujnog izvora I N , ija je struja jednaka struji kroz kratkospojeni potroa I SC , i paralelnog otpornika RN , ija je otpornost jednaka koliniku napona kola sa iskljuenim potroaem VOC i struje kroz kratkospojeni potroa I SC .

Specijalni sluaj Tevenenove i Nortonove teoreme nastaje kada kolo iji se ekvivalent trai sadri samo nezavisne izvore, odnosno ne sadri zavisne izvore. Tada se izraunavanje ekvivalentne otpornosti RT ili RN moe uprostiti. Umesto potroaa na krajeve A i B prikljui se naponski generator VT , nezavisni izvori u kolu se anuliraju kratkospajanjem nezavisnih naponskih izvora i raskidanjem nezavisnih strujnih izvora, zatim se odredi struja kroz test generator I T , i na kraju ekvivalentna otpornost RT = VT I T . Isti postupak se moe sprovesti i prikljuivanjem strujnog test generatora, I T i odreivanjem napona na njemu, VT . Odluka o tome koji postupak treba primeniti zavisi od toga kolika uproenja donosi jedan ili drugi nain.

20

4. Kola sa promenljivim strujama


U elektronskim kolima se esto deava da se struktura kola menja otvaranjem ili zatvaranjem nekog prekidaa. Posle takve promene nastaje promena napona i struja u kolu koja se odvija po odreenim zakonitostima, koje emo prouiti u ovom poglavlju. Takva analiza kola se naziva analiza prelaznog reima. U odvijanju prelaznih pojava klunu ulogu imaju dva pasivna elementa koje smo ve pomenuli: kondenzator i kalem. Oba ova elementa imaju neke zajednike osobine. Oni su linearni elementi jer je kod njih relacija izmeu struje i napona predstavljena linearnim diferencijalnim jednainama. Takoe, oba elementa imaju sposobnost akumulacije energije. Kod kondenzatora energija se akumulira u elektrinom polju, a kod kalem u magnetskom polju. Akumulirana energija se moe predati ostatku kola. Zbog ove osobine akumulacije energije, kondenzator i kalem se nazivaju i reaktivni elementi.
4.1 Kondenzator

Kondenzator se sastoji od dve provodne povrine razdvojene izolacionim materijalom (dielektrikom). Optereenje kondenzatora, iji je simbol zajedno sa referentnim smerovima za napon i struju prikazan na slici 4.1, srazmerno je naponu na kondenzatoru:
Q = CV

Konstanta C u prethodnom izrazu naziva se kapacitivnost (kapacitet) kondenzatora. Ako se napon na kondenzatoru ne menja, poto su elektrode kondenzatora izolovane dielektrikom, nema stalne struje kroz kondenzator. Dakle, pri konstantnoj pobudi kondenzator se ponaa kao otvorena veza.
+ v(t) Slika 4.1: Simbol kondenzatora i referentni smerovi za struju i napon.

i(t) q(t) C

Meutim, ako se napon na kondenzatoru menja sa vremenom, menjae se i njegovo elektrino optereenje:

q(t ) = Cv(t )
Diferenciranjem ove jednaine se dobija:

dq(t ) dv(t ) = i(t ) = C dt dt

21

Dakle, ako se napon na kondenzatoru menja, kroz optereenje na kondenzatoru se takoe menja, to znai da postoji struja kroz kondenzator. Iz poslednje jednaine se takoe vidi da nije mogue naglo promeniti napon na kondenzatoru jer bi to zahtevalo beskonano veliku struju kroz njega. Integracijom prethodne jednaine se dobija:

v(t ) =

1 1 0 1 1 i ( x)dx = i ( x)dx + i ( x)dx = v(t0 ) + i( x)dx C C C t0 C t0

gde se v(t0 ) naziva poetni napon na kondenzatoru. Energija akumulirana u elektrinom polju kondenzatora se moe odrediti iz snage koja se predaje kondenzatoru:

wc (t ) = p(t ) dt = v( x) C

dv( x) 1 dx = Cv 2 (t ) dx 2

Kapacitet kondenzatora u praksi kree se od pikofarada (1 pF = 10-12 F) do milifarada (1 mF = 10-3 F). Realni kondenzatori nemaju idealni dielektrik, tako da postoji slaba provodnost izmeu dve ploe. Neidealni dielektrik se modeluje vezivanjem otpornika velike otpornosti paralelno kondenzatoru. Slino otpornicima, i kondenzatori se mogu vezivati paralelno ili serijski. Koristei I Kirhofov zakon, lako se moe pokazati da ekvivalentna kapacitivnost paralelne veze kondenzatora predstavlja zbir kapacitivnosti paralelno vezanih kondenzatora:

C p = C1 + C2 + L + C N
Korienjem II Kirhofovog zakona, dobija se da reciprona vrednost ekvivalentne kapacitivnost serijske veze kondenzatora predstavlja zbir recipronih vrednosti kapacitivnosti serijski vezanih kondenzatora:

1 1 1 1 = + +L+ C p C1 C2 CN
4.2 Kalem

Kalem se sastoji od provodne ice koja je namotana oko jezgra od nemagnetnog ili magnetnog materijala. Simbol kalema, zajedno sa referentnim smerovima za napon i struju prikazan je na slici 4.2. Relacija izmeu napona i struje kalema data je diferencijalnom jednainom:

v(t ) = L

di(t ) dt

Konstanta L u prethodnom izrazu naziva se induktivnost kalema.

22

+ v(t) -

i(t) L

Slika 4.2: Simbol kalema i referentni smerovi za struju i napon.

Ako je struja kroz kalem konstantna, njen prvi izvod je nula, pa je napon na kalemu takoe nula. Dakle, u stalnom jednosmernom reimu kalem se ponaa kao kratak spoj. Postupajui na slian nain kao kod kondenzatora, integracijom prethodne jednaine se dobija:

1 1 i(t ) = v( x)dx = i (t0 ) + v( x)dx L L t0


gde je i(t0 ) poetna struja kroz kalem. Energija akumulirana u magnetskom polju kalema moe se odrediti iz snage koja se predaje kalemu:

wL (t ) =

di( x) 1 i ( x) dx = Li 2 (t ) dx 2

Induktivnost kalemova u praksi kree se od H do nekoliko H. Realni kalemovi imaju malu, ali konanu otpornost ice, tako da disipiraju energiju. Neidealni kalem se modeluje vezivanjem otpornika male otpornosti na red sa kalemom. Kalemovi se mogu povezivati paralelno ili serijski. U sluaju paralelne veza kalemova, iz I Kirhofovog zakona sledi da reciprona vrednost ekvivalentne induktivnosti paralelne veze kalemova predstavlja zbir recipronih vrednosti induktivnosti paralelno vezanih kalemova:

1 1 1 1 = + +L+ Lp L1 L2 LN
Korienjem II Kirhofovog zakona, dobija se da ekvivalentna induktivnost serijske veze kalemova predstavlja zbir vrednosti induktivnosti serijski vezanih kalemova:

Ls = L1 + L2 + L + LN
4.3 Kola prvog reda sa kondenzatorima i kalemovima

Kola prvog reda sadre izvore, otpornike i kondenzator (RC kola) ili kalem (RL kola) i prikazana su na slici 4.3. Da bi posmatrali prelazni reim kod kola prvog reda, smatraemo da se prekida, koji je bio otvoren, zatvara u trenutku t = 0 , ime se pobudni izvor vezuje u kolo. Ponaanje RC kola za t > 0 odreeno je drugim Kirhofovim zakonom koji glasi:

23

1 i( x)dx + Ri(t ) = Vs C
ijim se diferenciranjem po vremenu dobija:

i (t ) di(t ) +R =0 C dt
ili, posle sreivanja,

di(t ) 1 + i (t ) = 0 dt RC
t=0 + Vs i(t)
Slika 4.3: Kola prvog reda: RC kolo i RL kolo.

t=0 R C + Vs i(t) R L

Ponaanje RL kola za t > 0 odreeno je drugim Kirhofovim zakonom koji glasi:

L
ili, posle sreivanja,

di(t ) + Ri(t ) = Vs dt

di(t ) R V + i (t ) = s dt L L
Poreenjem diferencijalnih jednaina za RC kolo i RL kolo se vidi da se oba kola mogu opisati diferencijalnom jednainom oblika:

dx(t ) + ax(t ) = f (t ) dt
Iz matematike je poznato da se reenje ovakve diferencijalne jednaine moe uvek predstaviti u obliku: x(t ) = x p (t ) + xc (t ) gde je x p (t ) prinudno reenje, koje predstavlja ma koje reenje diferencijalne jednaine:

dx p (t ) dt

+ ax p (t ) = f (t )

dok je xc (t ) prirodno reenje, koje predstavlja reenje homogene diferencijalne jednaine:

24

dxc (t ) + axc (t ) = 0 dt Iz jednaine koja daje prirodno reenje se vidi da reenje xc (t ) i njegov izvod dxc (t ) dt moraju imati isti vremenski oblik, jer se inae ne bi mogli ponititi. Jedan mogui oblik za xc (t ) je eksponencijalna funkcija xc (t ) = Ke at . to se prinudnog reenja x p (t ) tie, ono se mora sastojati od f (t ) i prvog izvoda df (t ) dt . Izuzetak od ovog pravila predstavlja sluaj

f (t ) = Ae at , gde je a ista konstanta kao u diferencijalnoj jednaini.


U sluaju posmatranih RC i RL kola, f(t) = A = const, pa je prinudno reenje diferencijalne jednaine takoe konstanta x p (t ) = K1 . Prirodno reenje je, kao to je ve reeno, eksponencijalnog oblika xc (t ) = K 2e at . Kompletno reenje diferencijalne jednaine je onda:

x(t ) = K1 + K 2e at = K1 + K 2e t /
Konstanta = 1 a naziva se vremenska konstanta kola. = RC za RC kolo, dok je = L/R za RL kolo. Vremenska konstanta odreuje brzinu kojom se odvijaju promene napona ili struja u kolu. Lako je pokazati da se za vreme t = posmatrana veliina x(t) promeni za 63.2% od ukupne mogue promene, dok se za vreme t = 5 ista veliina promeni za 99.33%. Dakle, posle pet vremenskih konstanti prelazni proces je praktino zavren. Ova analiza pokazuje da velika vremenska konstanta znai spore promene veliina u kolu, a da mala vremenska konstanta znai brze promene veliina u kolu. Za ilustraciju ove injenice, na slici 4.4 su prikazana reenja dobijena za dve vrednosti vremenske konstante 1 = 1 i 2 = 0.2 , dok su ostali parametri isti: K1 = 0 i K 2 = 1 .

0.8

tau1 xc 0.6

0.4

tau2 0.2

0.2

0.4

0.6 t

0.8

1.2

Slika 4.4: Zavisnost brzine promene odziva od vremenske konstante.

Primetimo da drugi lan u reenju tei ka nuli kada t. Dakle:


K1 = lim x(t ) = x()
t

i naziva se ravnoteno reenje. Takoe, iz uslova:

25

lim x(t ) = x(0) = K1 + K 2 = x() + K 2


t 0

dobija se drugi oblik konanog reenja:

x(t ) = x() + [x(0) x()]e t /


koji moe korisno posluiti za direktno pisanje jednaine za napon ili struju, ako su poznate veliine x(0) , x() i .
Rezime analize kola prvog reda: 1. Analizira se kolo pre promene stanja prekidaa, da bi se odredio poetni napon na kondenzatoru vC(0) ili poetna struja kalema iL(0). 2. Posle promene stanja prekidaa, ponovo se analizira kolo da bi se odredili napon na kondenzatoru vC(t) ili struja kalema iL(t). 3. Poetni i finalni uslovi u kolu se koriste da bi se odredile konstante K1 i K2 u dobijenom reenju. 4. Ukoliko traena nepoznata veliina nije napon na kondenzatoru vC(t) ili struja kalema iL(t), koriste se jednaine kola da bi se odredila traena veliina. Rezultati koji su izvedeni u ovom poglavlju mogu se uspeno primeniti i na sloenija kola. Primenom Tevenenove ili Nortonove teoreme, deo kola sa otpornicima i izvorima se moe predstaviti ekvivalentnim izvorom i otpornikom, a vie kondenzatora ili kalemova se mogu ekvivalentirati jednim kondenzatorom ili kalemom ukoliko su vezani paralelno ili serijski.
4.4 Kola drugog reda sa kondenzatorima i kalemovima

Neto sloeniji sluaj za analizu nastaje kada su kondenzator i kalem simultano prisutni u kolu. Tada se dobijaju elektrina kola sainjena od izvora, otpornika, kondenzatora i kalema (RLC kola), koja su predstavljena na slici 4.5.
+ is(t) R L C v(t) -

R vs(t) i(t)

L C

+ vc(t0) -

Slika 4.5: Kola drugog reda (RLC kola).

26

Ako postoji poetna energija u kalemu i kondenzatoru, onda se za prvo RLC kolo moe napisati jednaina po I Kirhofovom zakonu:

v(t ) 1 dv(t ) + iL (t0 ) + v( x)dx + C = is (t ) R L t0 dt


dok se za drugo RLC kolo moe napisati jednaina po II Kirhofovom zakonu:

Ri(t ) + vC (t0 ) +

1 di (t ) i( x)dx + L dt = vs (t ) C t0

Ako se obe jednaine diferenciraju po vremenu, a zatim prva podeli sa C a druga sa L, onda se dobija:

1 dv(t ) 1 1 dis (t ) d 2v(t ) + + v(t ) = 2 dt RC dt LC C dt


odnosno,

d 2i(t ) R di(t ) 1 1 dvs (t ) i (t ) = + + 2 dt L dt LC L dt


Dakle, oba kola se mogu opisati diferencijalnom jednainom drugog reda sa konstantnim koeficijentima:

d 2 x(t ) dx(t ) + a1 + a2 x(t ) = f (t ) 2 dt dt


ije je reenje: x(t ) = x p (t ) + xc (t ) gde je x p (t ) prinudno reenje, a xc (t ) prirodno reenje. Ako je pobudna funkcija konstanta, f (t ) = A , kao na slici 4.4, onda je prinudno reenje x p (t ) reenje jednaine:

d 2 x p (t ) dt
2

+ a1

dx p (t ) dt

+ a2 x p (t ) = A

Iz injenice da prinudno reenje mora biti sainjeno od f (t ) = A i prvog izvoda df (t ) dt = 0 sledi: x p (t ) = A a2 Homogena jednaina iz koje se dobija prirodno reenje se moe napisati u obliku:

27

d 2 x(t ) dx(t ) 2 + 2 + 0 x(t ) = 0 2 dt dt


Smenom x(t ) = Ke st 0 , ova jednaina postaje algebarska jednaina:
2 s 2 Ke st + 2sKe st + 0 Ke st = 0

ili
2 s 2 + 2s + 0 = 0

Ova jednaina se naziva karakteristina jednaina, koeficijent se naziva koeficijent priguenja, a dok se naziva 0 rezonantna uestanost. Reenja ove kvadratne jednaine su:
2 s1 , s2 = 2 0

i nazivaju se prirodne (sopstvene) uestanosti. Reenja homogene diferencijalne jednaine su:

x1 (t ) = K1e s1t ,
a njihov zbir takoe predstavlja prirodno reenje:

x2 (t ) = K 2e s2t

xc (t ) = K1e s1t + K 2 e s2t Konstante K1 i K2 se odreuju iz poetnih uslova x(0) i dx(0) dt . Zavisno od vrednosti parametara i 0, razlikuju se tri sluaja: 1. > 0 - prigueno reenje. Reenja s1 i s2 su realna i nejednaka, pa je prirodno reenje oblika:
xc (t ) = K1e
2 ( 2 0 ) t

+ K 2e

2 ( + 2 0 ) t

i predstavlja zbir dve opadajue eksponencijalne funkcije. Konstante K1 i K2 se odreuju iz poetnih uslova. 2. < 0 - neprigueno reenje. Reenja s1 i s2 su konjugovano kompleksna, pa je prirodno reenje oblika: xc (t ) = K1e ( jn )t + K 2e ( + jn )t = e t ( A1 cos nt + A2 sin nt ) i predstavlja oscilacije sa eksponencijalno opadajuom amplitudom. Konstante A1 i A2 se odreuju iz poetnih uslova. 3. = 0 - kritino prigueno reenje. Reenja s1 i s2 su realna i jednaka, pa je prirodno reenje oblika: xc (t ) = B1e t + B2te t
28

Konstante B1 i B2 se odreuju iz poetnih uslova. Na slici 4.5 prikazani su odzivi kola u sva tri sluaja, za iste poetne uslove i istu uestanost 0 = 1 i tri vrednosti koeficijenta priguenja , = 2 , = 0.5 i = 1 . Uoava se da je odziv kola najbri u sluaju kritinog priguenja.
1.4

1.2

0.8 x -- > 0.6 0.4

0.2

Sl. 1 Sl. 2 Sl. 3

10 t -- >

12

14

16

18

20

Slika 4.5: Tri sluaja odziva kola drugog reda.

29

5. Kola sa naizmeninim strujama


Posebna klasa elektrinih kola su kola kod kojih su naponi i struje pobudnih izvora sinusoidalne funkcije vremena. U reimu koji nastaje posle smirivanja prelaznih pojava, naponi i struje elemenata kola e takoe imati isti vremenski oblik, tj. predstavljae sinusoidalne funkcije vremena. U elektrotehnici je interes za prouavanje ovakvih kola veliki s obzirom na injenicu da je naizmenini napon dominantan u snabdevanju elektrinom energijom u domainstvima i industriji. Takoe, poto se primenom Furijeove analize moe pokazati da se bilo kakva periodina funkcija moe predstaviti zbirom sinusoidalnih funkcija, za analizu kola sa sloenim periodinim pobudama moe se primeniti princip superpozicije.
5.1 Osnovni pojmovi

Posmatraemo prvo kola kod kojih pobudni izvori predstavljaju sinusoidalne funkcije vremena. Analiziraemo ustaljeno, stacionarno ili ravnoteno stanje, koje nastaje posle smirivanja prelaznih procesa u kolu posle primene sinusoidalne pobude, a kada su naponi i struje u kolu takoe sinusoidalni, odonosno prostoperiodini. Posmatrajmo sinusnu funkciju:

x(t ) = X M sin t
koja je prikazana na slici 5.1. XM se naziva amplituda (maksimalna vrednost), se naziva kruna ili ugaona uestanost, dok je t argument. Veliina x(t ) moe predstavljati napon v(t ) ili struju i (t ) .
x(t) XM

x(t) XM

/2

3/2

T/4

T/2

3T/4

-XM

-XM

Slika 5.1: Sinusna funkcija u funkciji argumenta t i vremena t.

Ova funkcija je periodina sa periodom od 2 radijana. Period ove funkcije T i uestanost sinusoide f su povezani relacijom:

f =

1 T

30

Iz uslova periodinosti:

T = 2
sledi:

2 = 2f T

Neto optiji oblik sinusoidalne funkcije je:

x(t ) = X M sin(t + )
gde je fazni ugao ili poetna faza.
5.2 Predstavljanje sinusoidalnih veliina kompleksnim brojevima

Posmatrajmo jedno RL kolo pobueno naponskim sinusoidalnim izvorom. Onda se po II Kirhofovom zakonu moe pisati:

di(t ) + Ri(t ) = VM cos t dt

Poto je pobuda sinusoidalna, struja mora biti oblika:

i(t ) = I M cos(t + )
Zamenom u prethodnu diferencijalnu jednainu i reavanjem po nepoznatima IM i , posle dueg izraunavanja se dobija:

IM =
pa je:

VM R 2 + 2 L2

= arctg

L R

i(t ) =

VM R + L
2 2 2

cos(t arctg

L ) R

Kao to se vidi, do reenja smo doli na komplikovan i dugotrajan nain. Jednostavniji nain reavanja se dobija uspostavljanjem veze izmeu sinusoidalnih funkcija i kompleksnih brojeva. Ova veza dovodi do algebarskih jednaina po prvom i drugom Kirhofovom zakonu, koje zamenjuju odgovarajue diferencijalne jednaine. Poi emo od Ojlerove predstave kompleksnog broja:

e jt = cos t + j sin t

31

iji su realni i imaginarni deo kosinusna odnosno sinusna funkcija. Pretpostavimo da je pobudna funkcija (fiziki neostvarljivi) kompleksni napon:

v(t ) = VM e jt = VM (cos t + j sin t )


iji su realni i imaginarni deo fiziki ostvarljivi. Zbog toga to je kolo linearno, po principu superpozicije, struja u kolu mora se sastojati iz dve komponente:

i(t ) = I M [cos(t + ) + j sin(t + )] = I M e j ( t + )


gde je I M cos(t + ) odziv na funkciju VM cos t , a jI M sin(t + ) odziv na funkciju jVM sin t . Dakle, umesto da primenimo pobudu VM cos t i sprovedemo odgovarajua izraunavanja, mi moemo da primenimo pobudu VM e jt , odredimo odziv I M e j ( t + ) i naemo njegov realni deo. Mada to na prvi pogled izgleda mnogo komplikovanije nego prvi pristup, u praksi je sve mnogo jednostavnije. U sluaju posmatranog RL kola, zamenom pobude VM e jt i odziva I M e j ( t + ) u diferencijalnu jednainu, imamo:

d ( I M e j ( t +) ) + RI M e j ( t +) = VM e jt dt

odakle se posle diferenciranja dobija:

jLI M e j ( t + ) + RI M e j ( t + ) = VM e jt
Sreivanjem ove jednaine se dobija: RI M e j + jLI M e j = VM to je algebarska jednaina sa kompleksnim koeficijentima, ije je reenje:

I M e j =

VM = R + jL

VM R 2 + 2 L2

jarctg (

L ) R

Meutim, poto je stvarna pobuda VM cos t a ne VM e jt , stvarni odziv je realni deo dobijenog reenja, odnosno:

i(t ) = I M cos(t + ) =

L cos t arctg( ) R R + L VM
2 2 2

to je identino reenje sa reenjem diferencijalne jednaine. Dakle, u optem sluaju imamo:

x(t ) = X M cos(t + ) = Re X M e j ( t + ) = Re ( X M e j )e jt

]
32

lan e jt je zajedniki faktor u definicionoj jednaini za kolo i moe se implicitno podrazumevati u analizi. Preostali parametri, XM i kompletno predstavljaju amplitudu i fazni ugao nepoznate struje ili napona. Kompleksna predstava struje ili napona X M e j naziva se fazor. Fazor X M e j je kompleksni broj u polarnom obliku kod koga XM predstavlja amplitudu simusoidalnog signala, a predstavlja fazni ugao sinusoidalnog signala meren u odnosu na kosinusoidu. U daljem radu fazore emo oznaavati masnim (bold) ili podvuenim velikim slovima. Ako primenimo fazore na analizu RL kola, diferencijalna jednaina dobija oblik:

d (Ie jt ) + RIe jt = Ve jt dt

gde je I = I M i V = VM 0o . Posle diferenciranja i eliminacije zajednikog faktora e jt dobija se fazorska jednaina:

jLI + RI = V
odnosno,

I=

R + jL

= I M =

VM R 2 + 2 L2

arctg(

L ) R

tako da se opet dobija isto reenje:

i(t ) =

L cos t arctg( ) R R 2 + 2 L2 VM

Analiza kola pomou fazora predstavlja analizu kola u frekvencijskom domenu. U fazorskoj analizi se sistem diferencijalnih jednaina sa sinusoidalnim pobudnim funkcijama u vremenskom domenu transformie u sistem algebarskih jednaina sa kompleksnim koeficijentima u frekvencijskom domenu. Takav sistem je neuporedivo laki za reavanje. Kada se odrede nepoznati fazori, oni se ponovo transformiu u vremenski domen da bi se dobilo reenje originalnog sistema diferencijalnih jednaina.
5.3 Opis elemenata kola pomou fazora

U prethodnom izlaganju definisane su relacije izmeu napona i struje za tri osnovna elementa elektrinih kola: otpornik, kalem i kondenzator. Sada emo te relacije iskazati korienjem fazora. U sluaju otpornika, relacija izmeu struje i napona data je Omovim zakonom:

v(t ) = Ri(t )

33

Ako je napon na otporniku v(t ) = VM e j ( t + v ) , struja kroz otpornik je i(t ) = I M e j ( t + i ) , pa se iz prethodne relacije dobija:

VM e j ( t + v ) = RI M e j ( t + i )
ili, u fazorskom obliku:

V = RI
gde je V = VM e j v = VM v i I = I M e j i = I M i . Dakle, v = i , pa su kod otpornika struja i napon u fazi. U sluaju kalema, relacija izmeu napona i struje je diferencijalna jednaina:

v(t ) = L
koja se moe napisati pomou fazora u obliku:

di(t ) dt

V = jLI
Poto je j = 1e j 90 = 190o , onda je v = i + 90 o , pa kod kalema napon fazno prednjai struji za 90o, ili struja fazno kasni za naponom za 90o. U sluaju kondenzatora, relacija izmeu struje i napona je diferencijalna jednaina:
o

i(t ) = C
koja se moe napisati pomou fazora u obliku:

dv(t ) dt

I = jCV
Poto je i = v + 90o , kod kondenzatora struja fazno prednjai naponu za 90o, ili napon fazno kasni za strujom za 90o. Poto fazori predstavljaju kompleksne brojeve, oni se mogu predstaviti i grafiki u kompleksnoj ravni. Tako se dobija fazorski dijagram. Na osnovu fazorskog dijagrama moe se utvrditi odnos amplituda dva fazora, ugao (fazna razlika) izmeu njih, kao i njihov relativni meusobni odnos. Na slici 5.2 su prikazani odnosi izmeu napona i struje u vremenskoj i fazorskoj predstavi za sva tri osnovna pasivna elektrina elementa.
5.4 Uopteni Omov zakon: impedansa i admitansa

Kod kola sa jednosmernim strujama otpornost otpornika je Omovim zakonom definisana kao kolinik napona na otporniku i struje kroz otpornik. U sluaju kola sa naizmeninim

34

strujama, kada se koristi fazorska predstava, naponi i struje postaju kompleksne veliine. Ako se formira kolinik fazora napona na nekom elementu i fazora struje kroz isti element:

Z=

V I

dobija se uopteni (generalizovani) Omov zakon. Kompleksna veliina Z, koja predstavlja analogiju otpornosti kod jednosmernog reima, naziva se impedansa. Jedinica za impedansu je Om ().
v(t), i(t) + V=RI v=i Re v(t), i(t) v(t) i(t) Im V I + V=jLI L v=i+90o I t 90o i Re v(t), i(t) i(t) v(t) Im I i=v+90o C t 90o v Re V v(t) Im i(t) R V t I

I=jCV + V -

Slika 5.2: Fazorski dijagrami za napone i struje kod pasivnih elemenata.

U polarnom koordinatnom sistemu, impedansa se moe predstaviti preko svog modula i argumenta kao:

Z=

VM v VM = (v i ) = Z M z I M i I M

dok se u pravouglom koordinatnom sistemu moe predstaviti preko svog realnog i imaginarnog dela:

35

Z( j) = R() + jX ()
Realni deo impedanse R() se naziva rezistivna komponenta ili rezistansa, dok se imaginarni deo impedanse X () naziva reaktivna komponenta ili reaktansa. Primetimo da impedansa nije fazor, iako je frekvencijski zavisna kompleksna veliina. Uslov da neka kompleksna veliina predstavlja fazor je da u vremenskom domenu odgovara nekom sinusoidalnom signalu. Dakle, pojam impedanse nema nikakvo znaenje u vremenskom domenu. Poreenjem dve prethodne jednaine lako je utvrditi veze izmeu dva oblika predstavljanja impedanse. Tako je:

Z = R2 + X 2 ,
odnosno,

z = arctg

X R

R = Z cos z ,

X = Z sin z

Kod analize kola sa jednosmernim strujama pokazalo se pogodno da se uvede veliina reciprona otpornosti, koja je nazvana provodnost. Odgovarajua definicija se moe dati i kod kola sa naizmeninim strujama. Dakle, reciprona vrednost impedanse, koja predstavlja kolinik fazora struje i napona:

Y=

1 I = Z V

naziva se admitansa. Jedinica za admitansu je Simens (S). Poto je impedansa kompleksna veliina, admitansa je takoe kompleksna veliina. Ona se takoe moe predstaviti preko svog modula i argumenta kao:

Y=

I M i I M = (i v ) = YM y VM v VM

ili preko svog realnog i imaginarnog dela:

Y( j) = G() + jB()
Realni deo admitanse G () se naziva konduktansa, dok se imaginarni deo admitanse naziva susceptansa. Na osnovu prethodnih jednaina lako je uspostaviti veze izmeu komponenata impedanse i reaktanse. Polazei od jednaine:

G + jB =
lako se dobija:

1 R jX = 2 R + jX R + X 2

36

G=

R , R + X2
2

B=

X R + X2
2

Na slian nain se dobiju dualne relacije:

R=

G , G + B2
2

X=

B G + B2
2

Interesantno je primetiti da rezistansa i konduktansa nisu reciprone veliine, a da takoe reaktansa i susceptansa nisu reciprone veliine. Na kraju, prikaimo tabelarno impedanse i admitanse tri osnovna elektrina elementa, otpornika, kalema i kondenzatora, koje emo esto koristiti u prouavanju elektrinih kola: Element Otpornik (R) Kalem (L) Kondenzator (C) Impedansa (Z) ZR = R Z L = j L Z C = 1 jC = j C Admitansa (Y) YR = G = 1 R YL = 1 jL = j L YC = jC

5.5

Snaga naizmenine struje

Neka su sinusoidalni napon i struja na nekom elementu kola VM cos(t + v ) i

I M cos(t + i ) u vremenskom domenu, odnosno, neka su njihovi fazori V = VM e jv = VM v i


I = I M e ji = I M i u frekvencijskom domenu. Snaga periodinog signala je po definiciji srednja vrednost proizvoda napona i struje u okviru jedne periode. Dakle:

P= = =

1 VM cos(t + v )I M cos(t + i )dt T 0 VM I M 2T


T

[cos(2t +
0

+ i ) + cos( v i )]dt

VM I M V I cos( v i ) = M M cos 2 2

gde je = v = i fazna razlika izmeu napona na elementu i struje kroz element. Posebno je interesantan sluaj snage na otporniku. Tada su napon i struja u fazi, pa je = v i = 0 . Snaga na otporniku je onda data jednostavnim izrazom:

P=

VM I M 2

odnosno jednaka je polovini proizvoda amplituda struje i napona. S obzirom da je kod otpornika V = RI , poslednji izraz se moe napisati i kao:
37

P=

2 2 RI M VM = 2 2R

Zamislimo sada da kroz isti otpornik tee neka jednosmerna struja I i da je tada napon na njemu V koji na otporniku razvijaju istu snagu kao u sluaju sinusoidalne pobude. Takva vrednost struje naziva efektivna vrednost struje, a napona efektivna vrednost napona. Poto je u jednosmernom reimu Vef = RI ef , onda je:

P = Vef I ef = RI =
2 ef

2 Vef

Izjednaavanjem snaga se dobija:

Vef =

VM

I ef =

IM

odnosno, efektivna vrednost napona na otporniku ili struje kroz otpornik dobija se deljenjem amplitude napona ili struje sa kvadratnim korenom iz 2.
5.6 Kirhofovi zakoni u kolima sa naizmeninim strujama

U prethodnim izlaganjima ve je reeno da za kola s stalnim jednosmernim strujama kao i za kola sa promenljivim strujama vai prvi Kirhofov zakon koji kae da je suma struja koje utiu u ma koji vor kola jednaka nuli.

i (t ) = 0
j =1 j

gde je i j (t ) struja j-te grane koja ulazi u vor, dok je N broj grana koje ulaze u vor. U sluaju sinusoidalne pobude, struje u kolu su takoe sinusoidalne i imaju istu uestanost. Dakle, prethodna jednaina dobija oblik:

I
j =1

Mj

cos(t + j ) = 0

odakle se transformacijom sinusoidalnih veliina u fazore dobija prvi Kirhofov zakon za kola sa naizmeninim strujama u fazorskom obliku:

I
j =1

=0

gde je I j fazor struje j-te grane koja ulazi u vor, dok je N broj grana koje ulaze u vor. Dakle, u frekvencijskom (fazorskom) domenu prvi Kirhofov zakon glasi: Suma fazora struja koje utiu u ma koji vor kola jednaka je nuli.

38

Na isti nain se polazei od jednaine po drugom Kirhofovom zakonu u vremenskom domenu:

v (t ) = 0
j =1 j

transformacijom sinusoidalnih veliina u vremenskom domenu u fazore, dobija drugi Kirhofov zakon za kola sa naizmeninim strujama u fazorskom obliku:

V
j =1

=0

gde je V j fazor napona na j-toj grani petlje koja ukupno ima N grana. Dakle, u frekvencijskom (fazorskom) domenu drugi Kirhofov glasi: Suma fazora napona u bilo kojoj petlji kola jednaka je nuli.
5.7 Osnovne transformacije u kolima sa naizmeninim strujama

Primenom prvog i drugog Kirhofovog zakona, neka kola se mogu uprostiti to smanjuje broj jednaina kojima se ona opisuju i olakava njihovo reavanje. U narednom izlaganju bie ukratko opisane neke takve transformacije:
5.7.1 Serijska (redna) veza impedansi

Ako se N impedansi tako povee tako da se u svakom voru stiu samo po dve impedanse (osim kod prvog i poslednjeg vora), takva veza se naziva serijska ili redna veza impedansi i prikazana je na slici 5.3a.

...
Is + V Z1 Z2 ZN Is + V Zs

Slika 5.3: Serijska (redna) veza impedansi.

Primenom drugog Kirhofovog zakona dobija se ekvivalentna impedansa kojom se moe zameniti serijska veza impedansi:

Z s = Z1 + Z 2 + L + Z N
odnosno, ekvivalentna impedansa serijski vezanih impedansi jednaka je zbiru pojedinanih impedansi.

39

Posmatrajmo dve serijski vezane impedanse koje formiraju razdelnik napona, kao na slici 5.4.
I
+

Z1 V Z2
+

VZ1

VZ2
-

Slika 5.4: Delitelj (razdelnik) napona.

Poto kroz oba impedanse protie ista struja, naponi na impedansama su:
VZ1 = Z2 Z1 V, VZ 2 = V Z1 + Z 2 Z1 + Z 2

odnosno, napon izvora V deli se izmeu impedansi Z1 i Z2 u direktnoj srazmeri sa njihovim vrednostima.
5.7.2 Paralelna veza impedansi

Ako se N impedansi tako povee da sve imaju zajednike prikljuke, takva veza se naziva paralelna veza impedansi i prikazana je na slici 5.5a.
Ip + V Z1 Ip

...
Z2 ZN

+ V Zp

Slika 5.5: Paralelna veza impedansi.

Primenom prvog Kirhofovog zakona dobija se ekvivalentna impedansa (admitansa) kojom se moe zameniti paralelna veza impedansi:
Yp = Y1 + Y2 + L + YN

odnosno, ekvivalentna admitansa paralelno vezanih admitansi jednaka je zbiru pojedinanih admitansi. Alternativni oblik prethodne jednaine je: 1 1 1 1 = + +L+ Z p Z1 Z 2 ZN

40

Posmatrajmo sada dva paralelno vezane impedanse koje formiraju razdelnik struje, kao na slici 5.6. Poto je napon na obe impedanse isti, struje kroz paralelno vezane impedanse su:
I Z1 = Z2 Z1 I, I Z 2 = I Z1 + Z 2 Z1 + Z 2

odnosno, struja izvora I deli se izmeu impedansi Z1 i Z2 u obrnutoj srazmeri sa njihovim vrednostima.

IZ1 I Z1 Z2

IZ2

Slika 5.6: Delitelj (razdelnik) struje.

5.7.3

Transformacije trougao zvezda i zvezda - trougao

Transformacije trougla u zvezdu i zvezde u trougao mogu se primeniti i na impedanse i prikazane su na slici 5.7.
A A

Z1 Z3
C

Z2 ZC
B C

ZA ZB
B

Slika 5.7: Vezivanje impedansi u trougao () i zvezdu (Y).

Da bi ova dva kola bila ekvivalentna, impedansa izmeu ma koje dve take u oba kola, kada se trea taka ostavi nepovezana, mora biti ista. Korienjem pravila za paralelno i serijsko vezivanje otpornika, sa slike 5.7 se dobijaju relacije ekvivalencije:
ZA = ZB = ZC = Z1 Z 2 Z1 + Z 2 + Z 3 Z 2 Z3 Z1 + Z 2 + Z 3 Z1 Z 3 Z1 + Z 2 + Z 3
41

odnosno:
Z1 = Z2 = Z3 = Z AZ B + Z AZC + Z B ZC ZB Z AZ B + Z AZC + Z B ZC ZC Z AZ B + Z AZC + Z B ZC ZA

5.7.4

Transformacije izvora u kolima sa naizmeninim strujama

Posmatrajmo kola prikazana na slici 5.8 gde su prikazani realni naponski izvor, koji ima konanu unutranju impedansu Zv, i realni strujni izvor, koji ima konanu unutranju admitansu Yi = 1 Z i .

Zv + V Zp

Ip

+ Vp I Zi

Ip

+ Vp

Zp -

Slika 3.8: Realni naponski i strujni izvor.

Do uslova ekvivalencije realnog naponskog i strujnog izvora se lako moe doi posmatranjem slike 5.8. Ako se na realni strujni ili naponski izvor prikljui ista impedansa Zp, onda u sluaju ekvivalentnih izvora struja kroz impedansu Zp mora biti isti u oba kola. Po Omovom zakonu, onda je isti i napon Vp. Dakle, iz uslova jednakosti struja kroz Zp:

Ip =

Zi 1 I V= Zi + Z p Zv + Z p

direktno se dobijaju uslovi ekvivalencije realnog naponskog i strujnog izvora:

V = Z i I, Z v = Z i
Dakle, ako u kolu imamo strujni izvor struje I i njemu paralelno vezanu impedansu Z, onda se ova kombinacija moe zameniti ekvivalentnim naponskim izvorom napona V = RI i serijski vezanom impedansom Z. Takoe vai i obrnuto: ako u kolu imamo naponski izvor napona V sa serijski vezanom impedansom Z, onda se ova kombinacija moe zameniti ekvivalentnim strujnim izvorom struje I = V Z i njemu paralelno vezanom impedansom Z. Ostali parametri kola u kome se nalaze nezavisni izvori ostaju nepromenjeni.

42

5.8

Sistem jednaina napona vorova za kola sa naizmeninim strujama

Kao i kod analize jednosmernog reima, i kod kola sa naimeninim strujama moe se primeniti sistem jednaina napona vorova za reavanje kola. U sluaju kola sa N vorova, broj linearnih jednaina u sistemu je N-1. U sluaju kola sa N vorova, broj nepoznatih veliina (napona) u sistemu N-1, tj. isti je kao broj jednaina. Sistem jednaina napona vorova prestavlja sistem linearnih jednaina sa kompleksnim koeficijentima i izgleda ovako:
Y11V1 + Y12 V2 + L + Y1N 1VN 1 = I1 Y21V1 + Y22 V2 + L + Y2 N 1VN 1 = I 2
M YN 11V1 + YN 12 V2 + L + YN 1N 1VN 1 = I N 1

Elementi matrice sistema van glavne dijagonale, Ymn gde je m n , predstavljaju zbir admitansi svih grana izmeu vorova m i n i uvek imaju negativni predznak. Dijagonalni elementi, Ykk , predstavljaju zbir provodnosti svih grana koje se stiu u vor k i uvek imaju pozitivni predznak. Struje sa desne strane jednaina, I k , predstavljaju struje izvora koje utiu u odgovarajui vor k. Ovaj sistem jednaina se moe i direktno napisati samo na osnovu posmatranja kola.
5.9 Tevenenova i Nortonova teorema za kola sa naizmeninim strujama

Pretpostavimo da imamo neko elektrino kolo sa naizmeninom pobudom i da elimo da odredimo struju, napon ili snagu na nekoj impedansi, koji emo nazvati potroa i obeleiti sa Zp. Ovaj sluaj je ilustrovan na slici 5.9a. Tevenenova i Nortonova teorema pokazuju kako se celo kolo, osim potroaa, moe zameniti ekvivalentnim realnim naponskim ili strujnim izvorom, tako da struja i napon potroaa ostanu nepromenjeni.
A + Kolo sa izvorima i impedansama B Zp Kolo sa izvorima i impedansama A + VOC B B Kolo sa izvorima i impedansama A

ISC

Slika 5.9: Odreivanje napona otvorenih krajeva i struje kratkog spoja.

Posmatrajmo kolo na sl. 5.9a. Ako se potroa iskljui iz kola, pristupni krajevi ostaju otvoreni i na njima postoji napon koji emo nazvati napon otvorene veze i obeleiti sa VOC , kao na slici 5.9b. Meutim, ako se posle iskljuenja potroaa pristupni krajevi kratkospoje, onda izmeu njih postoji struja kratkog spoja, koju emo obeleiti sa I SC , kao na slici 5.9c. Za izvoenje Tevenenove teoreme posmatrajmo kolo na sl. 5.10a, u kome je kompletno kolo sa izvorima i impedansama (bez potroaa) zamenjeno ekvivalentnim naponskim izvorom VT i serijski vezanim impedansom Z T . Poreenjem kola sa slike 5.9 i slike 5.10a, lako se vidi da su struja kroz potroa i napon na potroau isti ako je:
43

VT = VOC ,
A +
VT ZT Zp

ZT =

VOC I SC
A +
ZN Zp

IN

B
Slika 5.10: Tevenenova i Nortonova teorema.

Ove relacije predstavljaju Tevenenovu teoremu koja glasi: Svako elektrino kolo sa zavisnim i nezavisnim izvorima i impedansama se moe zameniti ekvivalentnim kolom koje se sastoji od idealnog naponskog izvora VT , iji je napon jednak naponu kola sa iskljuenim potroaem VOC , i serijske impedanse Z T , ija je impedansa jednaka koliniku napona kola sa iskljuenim potroaem VOC i struje kroz kratkospojeni potroa I SC . Za izvoenje Nortonove teoreme posmatrajmo kolo na sl. 5.10b, u kome je kompletno kolo sa izvorima i impedansama (bez potroaa) zamenjeno ekvivalentnim strujnim izvorom I N i paralelno vezanom impedansom Z N . Poreenjem kola sa slike 5.9 i slike 5.10b, lako se vidi da su struja kroz potroa i napon na potroau isti ako je:

I N = I SC , Z N =

VOC I SC

Ove relacije predstavljaju Nortonovu teoremu koja glasi: Svako elektrino kolo sa zavisnim i nezavisnim izvorima i impedansama se moe zameniti ekvivalentnim kolom koje se sastoji od idealnog strujnog izvora I N , ija je struja jednaka struji kroz kratkospojeni potroa I SC , i paralelne impedanse Z N , ija je impedansa jednaka koliniku napona kola sa iskljuenim potroaem VOC i struje kroz kratkospojeni potroa I SC .
5.10 Kola sa jednim i dva pristupa

Posmatrajmo kolo na slici 5.11 kod koga je izmeu vorova A i B prikljuen pobudni izvor koji moe biti strujni ili naponski. U oba sluaja napon na pristupu obeleimo sa V1 a struju koja utie u kolo sa I 1 . Ovakvo kolo se naziva kolo sa jednim pristupom. Ako je pobudni izvor strujni generator, onda ulazni napon predstavlja odziv kola na primenjenu pobudu. Kolinik fazora odziva i pobude:
44

Zu =

V1 I1

naziva se ulazna impedansa kola.


A +
V1 I1

Kolo

B
Slika 5.11: Kolo sa jednim pristupom.

Ako je pobudni izvor naponski generator, onda ulazna struja predstavlja odziv kola na primenjenu pobudu. Kolinik fazora odziva i pobude:
Yu = I1 V1

naziva se ulazna admitansa kola. Posmatrajmo sada kolo na slici 5.12 kod koga je izmeu vorova A i B prikljuen pobudni izvor koji moe biti strujni ili naponski, a izmeu vorova C i D potroa ija je impedansa Z p . Ovakvo kolo se naziva kolo sa dva pristupa. Napon i struju na prvom pristupu obeleimo sa V1 i I1 , a napon i struju na drugom pristupu sa V2 i I 2 .
A + V1 B I1 Kolo C + V2 D
Slika 5.12: Kolo sa dva pristupa.

I2 Z2

Ako je pobudni izvor strujni generator, onda se za kolo na slici 5.12 mogu definisati tri odnosa:
Zu = V1 I1

koji se naziva se ulazna impedansa kola,


Z12 = V2 I1
45

koji se naziva prenosna impedansa (transimpedansa) kola, i,


Ai = I2 I1

koji se naziva strujno pojaanje kola. Ako je pobudni izvor naponski generator, onda se za kolo na slici 5.12 mogu definisati jo tri odnosa:
Yu = I1 V1

koji se naziva se ulazna admitansa kola,


Y12 = I2 V1

koji se naziva prenosna admitansa (transadmitansa) kola, i,


Av = V2 V1

koji se naziva naponsko pojaanje kola.


5.11 Analiza kola sa sloenoperiodinim strujama

U dosadanjim razmatranjima uvek smo pretpostavljali da je napon ili struja pobudnog generatora sinusoidalni signal fiksne uestanosti, tzv. prostoperiodini signal. Meutim, u praksi se esto sreu i signali koji nisu sinusoidalni ali su periodini, ili ak nisu ni periodini. Posmatrajmo neki periodini signal, koji za svako t mora da zadovolji relaciju:

f (t ) = f (t + nT ), n = 1, 2 , 3, K
gde je T perioda signala. Primeri ovakvih signala su povorke pravougaonih ili trougaonih signala koji se esto sreu u elektronskim sistemima, a koje su prikazane na slici 5.13.
f(t) A t T 2T T 2T A t f(t)

Slika 5.13: Nesinusoidalni periodini signali.

46

U matematikoj teoriji Furijeovih redova pokazuje se da se svaka periodina funkcija f (t ) moe predstaviti pomou zbira sinusoidalnih funkcija, koje su linearno nezavisne. Dakle, imamo:

f (t ) = a0 + a n cos(n0 t + n )
n =1

gde je 0 = 2 T osnovna uestanost signala, a a0 predstavlja srednju vrednost signala. Posmatrajmo malo detaljnije poslednji izraz. Perioda sinusoidalne komponente za n = 1 je T, perioda sinusoidalne komponente za n = 2 je T 2 , perioda sinusoidalne komponente za n = 3 je T 3 , itd. U optem sluaju, perioda sinusoidalne komponente za n = k je T k . Takva komponenta se naziva k-ta harmonijska komponenta ili krae k-ti harmonik. Jednaina k-tog harmonika je a k cos(k0 t + k ) , a fazor koji ga predstavlja je a k k . Poto se cos(n0 t + n ) moe po Ojlerovoj formuli predstaviti u eksponencijalnom obliku, prethodni razvoj funkcije f (t ) se moe napisati u ekvivalentnom obliku:

f (t ) = a0 +

n = n0

c n e jn0t =

n =

c n e jn0t a0 + (an cos n0t + jbn sin n0t )


n =1

gde se kompleksne konstante c n nazivaju Furijeovi koeficijenti. Ovi koeficijenti se mogu odrediti na jednostavan nain. Ako se poslednja jednaina pomnoi sa e jk0t i odredi integral obe strane jednaine u okviru jedne periode, dobija se:
t1 +T

f (t )e
t1

jk0t

dt =

t1 +T

t1

1 c n e jn0t e jk0t dt = c n e j ( n k ) 0t dt = n = n = t1

t +T

Poto je:
t1 +T

0 e j ( n k ) 0t dt = T t1
t1 +T

nk n=k

konano se dobija:

cn =

1 T

f (t )e
t1

jn0t

dt

Neka se sada takav periodini signal primeni kao pobuda nekog linearnog elektrinog kola. Ako je recimo pobudni signal napon, onda se primenom razvoja u Furijeov red pobudni signal se moe predstaviti u vidu zbira napona:

v(t ) = v0 + v1 (t ) + v2 (t ) + L
to se moe ilustrovati slikom 5.14.

47

+ +

v0 v1(t) Kolo

+ vk(t)

Slika 5.14: Kolo sa sloenoperiodinom pobudom.

U kolu na slici 5.14 svaki naponski generator ima svoju amplitudu i uestanost. Primenom fazorske analize moe se odrediti odziv kola na svaku komponentu pobudnog signala u frekvencijskom domenu i prevesti u vremenski domen. Dalje, poto je kolo linearno moe se primeniti princip superpozicije i ukupni odziv kola dobiti sumiranjem doprinosa svih komponenata pobudnog signala. Na taj nain se dobija ukupni odziv kola u ustaljenom sloenoperiodinom reimu.

48

6. Osnovi fizike poluprovodnika


Kao to je ve reeno, prema svojoj provodnosti elektrotehniki materijali se dele na tri grupe: provodnike, poluprovodnike i izolatore. Poluprovodniki materijali predstavljaju osnov savremene elektronike, tako da emo u narednim izlaganjima ukratko razmotriti njihove najvanije osobine koje e nam pomoi da razumemo rad osnovnih poluprovodnokih komponenata: diode, bipolarnog tranzistora i MOS tranzistora. Najvaniji poluprovodniki materijali su silicijum (Si), germanijum (Ge) i galijum arsenid (GaAs).
6.1 Osnovni pojmovi o provodnosti materijala

Svaki elektrini provodnik moemo posmatrati na dva naina: Posmatrajui makroskopske efekte preko napona, struje, otpornosti, itd. Posmatrajui mikroskopske efekte preko elektrinog polja, gustine struje, itd. Za prvi pristup moe se koristiti Omov zakon:
V = RI

dok je za drugi pristup bolje iskoristiti relaciju izmeu elektrinog polja i napona

E=

V l

gde je V napon na krajevima provodnika a l njegova duina, kao i definiciju gustine struje:

J=

I S

gde je I struja kroz provodnik a S popreni presek provodnika. Zamenom u jednainu za Omov zakon se dobija:

El = RJS
odnosno:

E=
tako da se konano dobija:

RJS = J l l S

R=

Konstanta se naziva specifina otpornost. Njena jedinica je m . Reciprona vrednost specifine otpornosti je specifina provodnost:

49

ija je jedinica S m . Koristei specifinu provodnost, relacija izmeu elektrinog polja i gustine struje se moe napisati kao:

J = E
Specifina otpornost (provodnost) je karakteristika materijala. Dobri provodnici imaju malu specifinu otpornost. Sledea slika prikazuje specifinu provodnost raznih elektrotehnikih materijala u logaritamskoj razmeri:

6 4 2 0 -2 -4 -6 -8 -10 -12 -14

Bakar Grafit

Silicijum

Guma Staklo Teflon

Slika 6.1: Specifina provodnost nekih elektrotehnikih materijala.

6.2

Elektronska struktura materijala

Provodnost materijala je direktno povezana sa elektronskom strukturom materijala. Kao to je poznato iz fizike, elektroni koji krue oko jezgra atoma mogu imati energije koje odgovaraju diskretnim energetskom nivoima. Metali imaju delimino popunjene energetske nivoe neposredno uz potpuno popunjene nivoe to omoguava da elektroni lako napuste atom i slobodno se kreu kroz metal. Takvi elektroni se nazivaju slobodni elektroni. Izolatori imaju veliku zabranjenu zonu izmeu popunjenih i nepopunjenih energetskih nivoa, to zahteva da elektroni dobiju veliku energiju da bi preskoili zabranjenu zonu. Poluprovodnici imaju usku zabranjenu zonu izmeu popunjenih i nepopunjenih energetskih nivoa, tako da elektroni koji dobiju malu dodatnu energiju mogu preskoiti zabranjenu zonu i postati pokretni. Poto energija elektrona zavisi od temperature, i provodnost poluprovodnika zavisi od temperature.

50

nepopunjen zabranjen nepopunjen popunjen Metali

nepopunjen nepopunjen zabranjen popunjen Izolatori zabranjen popunjen Poluprovodnici

Slika 6.2: Energetski nivoi kod metala, izolatora i poluprovodnika.

6.3

Silicijum kao poluprovodnik

Silicijum je osnovni poluprovodniki materijal. Kristal istog silicijuma ima pravilnu strukturu u kojoj atomi zadravaju svoj poloaj pomou kovalentnih veza koje formiraju etiri valentna elektrona koji se nalaze u najviem energetskom opsegu. Na sobnoj temperaturi kovalentne veze su dovoljno vrste tako da je broj slobodnih elektrona veoma mali. Zbog toga je specifina provodnost istog kristala silicijuma veoma mala. Poto su svi elektroni povezani valentnim vezama sa susednim atomima, silicijum bi trebalo da bude izolator. Medjutim, ak i na sobnoj temperaturi valentne veze su veoma slabe tako da pojedini elektroni mogu lako da dobiju dovoljnu energiju da ih raskinu i postanu slobodni elektroni. Upranjeno mesto elektrona u valentnoj vezi naziva se upljina. Takav pozitivno nalektrisan atom moe da privue jedan elektron iz oblinje valentne veze, popuni raskinutu valentnu vezu i ponovo postane neutralan. Dakle, ekvivalenti efekt je kao da se pozitivno nalektrisanje kree od atoma do atoma. Medjutim, poto je za kretanje upljina potrebno pokrenuti vie elektrona, pokretljivost upljina je manja od pokretljivosti elektrona. Pozitivno nalektrisan atom moe da privue i neki slobodni elektron i neutralie se. Proces spajanja slobodnog elektrona i upljine se naziva rekombinacija.
Si Si Si Si Si Si Si Si Si Si Si Si

Slika 6.3: Kristalna reetka istog silicijuma.

Dakle, provodnost istog silicijuma potie od dva efekta: Kretanja elektrona Kretanja upljina U istom kristalu silicijuma broj slobodnih elektrona i broj upljina moraju biti isti. Koncentracije slobodnih nosilaca u istom kristalu se nazivaju sopstvene koncentracije koje zavise od temperature po formuli:
51

ni2 = BT 3e EG

kT

gde je B konstanta koja zavisi od materijala i za silicijum iznosi 5.4 1031 , EG = 1.12 eV je parametar koji se naziva energetski procep i predstavlja minimalnu energiju za raskidanje kovalentne veze, dok je k = 8.62 10 5 eV/ o K Bolcmanova konstanta. Sopstvene koncentracije elektrona i upljina na sobnoj temperaturi T = 300o K = 27 o C su ni = pi = 1.5 1016 nosilaca m3 i veoma su male u odnosu na gustinu atoma u kristalu silicijuma 5 10 28 atoma m 3 . Dakle, kod istog silicijuma svaki bilioniti atom u kristalu daje jedan par slobodnih nosilaca. Zbog toga je ist silicijum veoma slab provodnik. Ako se na krajeve silicijumskog kristala prikljui napon V kao na slici:
Je Jp V

Slika 6.4: Prikljuenje naponskog izvora na kristal istog silicijuma.

onda dolazi do usmerenog kretanja slobodnih nosilaca kroz poluprovodnik. Iako se elektroni i upljine pod dejstvom elektrinog polja kreu u suprotnim smerovima, poto su oni nosioci suprotnog naelektrisanja, struje elektrona i upljina se sabiraju. Dakle, gustina struje kroz poluprovodnik data je izrazom: J = e( n ni + p pi ) E = E gde je e = 1.5 1019 C - naelektrisanje elektrona, n = 0.135 m 2 Vs je pokretljivost elektrona, a

p = 0.048 m 2 Vs je pokretljivost upljina. Veliine n , p takoe zavise od temperature. Na


sobnoj temperaturi je = 4.4 104 S/m , to predstavlja slabu provodnost. Jo jedna osobina silicijuma koja je veoma korisna u mikroelektronici je da se izlaganjem silicijuma kiseoniku na povienoj temperaturi na njegovoj povrini formira oksid (SiO2), koji je odlian izolator.
6.4 Dopiranje silicijuma primesama

Ako se u kristal silicijuma unesu primese drugih materijala, provodnost silicijuma se moe poveati. Taj postupak se naziva dopiranje silicijuma. Silicijum ima 4 valentna elektrona u najviem energetskom opsegu. Ako se silicijumu doda mala koliina primesa od materijala koji ima pet valentnih elektrona (fosfor, arsen ili drugi elementi 5. grupe), pojavie se viak slobodnih elektrona koji znatno poveava provodnost silicijuma. Takve primese se nazivaju donorske primese jer daju elektrone, a tako dopirani

52

silicijum se naziva n-tip silicijuma, jer ima vie slobodnih nosilaca negativnog naelektrisanja (elektrona) nego upljina. Tipina koncentracija primesa je mala i iznosi oko 1023 atoma m3 , ali je za 6 do 7 redova veliine vea od sopstvene koncentracije nosilaca. Dakle, broj slobodnih elektrona u n-tipu silicijuma je skoro iskljuivo odreen koncentracijom donorskih primesa nn 0 = N D , gde je N D koncentracija donorskih primesa. Broj upljina u n-tipu silicijuma je manji nego kod istog silicijuma na istoj temperaturi, jer je poveana verovatnoa rekombinacije. Poto je proizvod sopstvenih koncentracija konstantan na konstantnoj temperaturi, onda iz relacije: nn 0 pn 0 = ni2 = pi2 sledi pn 0 = ni2 n2 = i nn 0 N D
Si Si Si Si Si Si

Si Si Slobodni elektron Si

Si -

P -

Si

Slika 6.5: Kristalna reetka silicijuma sa donorskim primesama.

Ako se silicijumu doda mala koliina primesa od materijala koji ima tri valentna elektrona (bor, indijum, ili drugi elementi 3. grupe), pojavie se viak upljina, koji takoe poveava provodnost silicijuma. Takve primese se nazivaju akceptorske primese jer privlae (primaju) slobodne elektrone, a tako dopirani silicijum se naziva p-tip silicijuma, jer ima vie slobodnih nosilaca pozitivnog naelektrisanja (upljina) nego elektrona.
Si Si Nedostajuci elektron Si Si B Si Si Si Si Si Si Si

Slika 6.6: Kristalna reetka silicijuma sa akceptorskim primesama.

Primetimo da dodavanje primesa bilo kog tipa ne naruava neutralnost poluprovodnika, iako stvara slobodne nosioce.

53

Dopiranjem silicijuma menja se i struktura energetskih opsega, tako to se stvaraju novi nivoi unutar zabranjene zone. Donorske primese stvaraju dodatni energetski nivo blizu nepopunjenih provodnih nivoa, ime se olakava stvaranje slobodnih elektrona. Akceptorske primese stvaraju dodatni energetski nivo blizu popunjenih valentnih nivoa, ime se olakava stvaranje slobodnih upljina.
Provodni opseg zabranjen Valentni opseg n-tip Provodni opseg zabranjen Valentni opseg p-tip Akceptorski nivoi

Donorski nivoi

Slika 6.7: Energetski nivoi kod dopiranih poluprovodnika.

S obzirom na veliku razliku koncentracija elektrona i upljina kod dopiranog silicijuma, provodnost prvenstveno odredjuju veinski nosioci.

e n n = e n N d = e p p = e p N a

za n tip silicijuma za p tip silicijuma

Iako je koncentracija primesa veoma mala u odnosu na ukupni broj atoma, ona je ipak znatno vea od koncentracije slobodnih nosilaca kod istog poluprovodnika. Provodnost je linearna funkcija koncentracije unesenih primesa. Kod materijala n-tipa veinski (glavni) nosioci su elektroni, a manjinski (sporedni) nosioci su upljine. Kod materijala p-tipa veinski (glavni) nosioci su upljine, a manjinski (sporedni) nosioci su elektroni.

54

7. pn spoj
Ako se napravi bliski kontakt (spoj) materijala n-tipa i materijala p-tipa dobija se tzv. pn spoj ili dioda. U praksi su oba tipa materijala delovi istog kristala silicijuma, iji su delovi dopirani razliitim primesama. Pored toga to pn spoj predstavlja diodu, on je i osnovni element sloenijih elektronskih elemenata, kao to je to bipolarni tranzistor, a ima i znaajnu ulogu u radu MOS tranzistora.
7.1 Nepolarisani pn spoj

Na slici 7.1 je ilustrovana situacija kada se p i n tip materijala ne dodiruju. Slobodni elektroni su ravnomerno rasporeeni po telu poluprovodnika n-tipa, dok su slobodne upljine ravnomerno rasporeene po telu poluprovodnika p-tipa.
n-tip + + + + + + + + p-tip + + + +

+ + -

Nepokretni donorski jon

Slobodna upljina

Nepokretni akceptorski jon

Slobodni elektron

Slika 7.1: Naelektrisanja kod dopiranih poluprovodnika.

Ako se formira kontakt materijala p i n tipa, odnosno pn spoj, onda dolazi do prelaza slobodnih veinskih nosilaca preko spoja u drugu oblast i do njihove rekombinacije. U blizini spoja ostaju samo nepokretni naelektrisani atomi. Ta oblast se naziva osiromaena oblast ili oblast prostornog tovara jer u njoj nema slobodnih nosilaca elektriciteta. Nepokretna naelektrisanja formiraju elektrino polje u oblasti prostornog tovara. To elektrino polje se suprotstavlja daljem kretanju nosilaca preko spoja. Na spoju se pojavljuje mala razlika napona, koja se naziva potencijalna barijera. Veliina potencijalne barijere zavisi od poluprovodnikog materijala i nivoa dopiranja primesama. Kod silicijuma potencijalna barijera je u granicama od 0.6 V do 0.8 V a kod germanijuma svega 0.2 V. Veliina potencijalne barijere se ne moe izmeriti merenjem napona izmeu anode i katode, jer postoje i kontaktni potencijali na spojevima metal-poluprovodnik kod prikljuaka diode. Dakle, moemo smatrati da kroz nepolarisani pn spoj protiu etiri razliite struje. Difuzione struje veinskih nosilaca, elektrona i upljina, potiu od razliitih koncentracija nosilaca sa obe strane pn spoja i ine difuzionu struju I D . Usled elektrinog polja takodje postoje dve komponente struje manjinskih nosilaca, struja elektrona i struja upljina, koje ine struju usled elektrinog polja I S . U ravnotenom stanju, kada pn spoj nije vezan u elektrino kolo, ukupna struja kroz pn spoj mora biti jednaka nuli pa su difuzione struje uravnoteene strujama usled elektrinog polja, tj. I D = I S . Takvo ravnoteno stanje se naziva ekvilibrijum.

55

Metalni kontakti

Anoda p-tip

ID n-tip IE

Katoda

Anoda

+ + + + + + +

+ + + + + + +

+ + + + + + +

+ + + + + + +

+ + + + + + +

+ + + + + + +

+ + + + + + +

+ + + + + + +

+ + + +

Id Osiromaena oblast

Katoda

Slika 7.2: Raspodela naelektrisanja, elektrinog polje i napon na nepolarisanom pn spoju.

Nepolarisani pn spoj se moe u gruboj analogiji predstaviti kondenzatorom. Nepokretni joni predstavljaju optereenje kondenzatorskih ploa, a osiromaena oblast predstavlja dielektrik. Ako poluprovodnik posmatramo kao celinu, on je i dalje elektriki neutralan.
7.2 Direktno polarisani pn spoj

Ako na krajeve pn spoja poveemo naponski izvor sa pozitivnim polom vezanim na p oblast kao na slici 7.3, dolazi do smanjenja potencijalne barijere na spoju, suenja oblasti prostornog tovara i olakanog kretanja veinskih nosilaca preko spoja. Veinski nosioci iz n oblasti, elektroni, difuzijom prelaze u p oblast, a veinski nosioci iz p oblasti, upljine, difuzijom prelaze u n oblast, gde dolazi do njihove rekombinacije. Dakle, poto je elektrino kolo zatvoreno, postoji stalna difuzija nosilaca preko spoja, odnosno postoji struja kroz pn spoj. Manjinski nosioci takoe prelaze preko spoja usled elektrinog polja, ali je zbog njihovog znatno manjeg broja njihov doprinos ukupnoj struji zanemarljiv. Dakle, struja kroz direktno polarisanu diodu se sastoji od dve komponente: struje veinskih nosilaca (difuziona struja) i struje manjinskih nosilaca (struja usled elektrinog polja).

I = I D I E = Ke e (V0 V ) kT Ke eV0

kT

= I S (e eV kT 1) = I S (eV VT 1) I S eV VT

56

gde je K konstanta koja zavisi od geometrijskih dimenzija pn spoja, V primenjeni napon na spoj, V0 napon potencijalne barijere, k Bolcmanova konstanta, a T apsolutna temperatura u oK. Struja I S se naziva struja zasienja pn spoja i direktno je proporcionalna povrini pn spoja. Kod silicijuma ona iznosi oko 10-15 A, dok je kod germanijuma oko 10-6 A na sobnoj temperaturi. Napon VT = kT e se naziva temperaturni napon i na sobnoj temperaturi iznosi priblino 25 mV.

Anoda p-tip IE

ID n-tip

Katoda

Anoda

+ + + + + + +

+ + + + + + +

+ + + + + + +

+ + + + + + +

+ + + + + + +

+ + + + + + +

+ + + + + + +

+ + + + + + +

+ + + + + + +

+ + + +

Katoda

Slika 7.3: Struje i raspodela naelektrisanja na direktno polarisanom pn spoju.

7.3

Inverzno polarisani pn spoj

Ako na pn spoj poveemo naponski izvor sa pozitivnim polom vezanim na n oblast kao na slici 7.4, dolazi do poveanja potencijalne barijere na spoju, proirenja oblasti prostornog tovara i oteanog kretanja veinskih nosilaca preko spoja. Struje manjinskih nosilaca ostaju skoro nepromenjene i ona predstavljaju struju kroz spoj.

Anoda p-tip

ID n-tip IE

Katoda

Anoda

+ + + + + + +

+ + + + + + +

+ + + + + + +

+ + + + + + +

+ + + + + + +

+ + + + + + +

+ + + + + + +

+ + + +

Katoda

V +

Slika 7.4: Struje i raspodela naelektrisanja na inverzno polarisanom pn spoju. 57

I = I D I E I S
Iako teorijski model pokazuje da je struja inverzno polarisanog pn spoja jednaka struji zasienja, eksperimentalno se dobijaju vee vrednosti za struju inverzno polarisanog pn spoja. Razlog za to su povrinski efekti koji izazivaju tzv. struju curenja koja moe biti i milion puta vea od struje zasienja.
7.4 Proboj pn spoja i Zener dioda

Ako se na spoj primeni veliki inverzni napon, dolazi do formiranja jakog elektrinog polja u oblasti prostornog tovara i do naglog porasta struje inverzno polarisanog spoja. Ta pojava se naziva proboj, a napon pri kome dolazi do proboja se naziva napon proboja. Postoje dve vrste mehanizma proboja. Ako je napon proboja ispod 5 V, takav proboj se naziva Zenerov proboj, a ako je vei od 7 V, onda je u pitanju lavinski proboj. Ako je napon proboja izmeu 5 V i 7 V, onda su zastupljena oba mehanizma proboja. Veliina napona proboja uglavnom zavisi od koncentracije primesa. Zenerov proboj ima znaajnu praktinu primenu. Zbog vrlo nagle promene struje, napon na Zener diodi u oblasti proboja je praktino konstantan. Zener diode se koriste u stabilizatorima napona i naponskim referentnim izvorima.
7.5
7.5.1

Modeli diode
Karakteristika diode

Kao to je objanjeno u prethodnom izlaganju, struja diode pri direktnoj ili inverznoj polarizaciji se moe opisati relacijom

I = I S (eV VT 1)
koja se naziva strujno-naponska karakteristika diode i grafiki je predstavljena na slici 7.5.
ID (mA)

0 -2 -1.5 -1 -0.5 0.5

VD (V)
1

Slika 7.5: Grafiki prikaz jednaine diode. 58

Ova relacija je nelinearna i esto se zahteva da bude uproena, odnosno linearizovana. U praksi je razvijeno nekoliko uproenih modela diode, pogodnih za izraunavanja bez upotrebe raunara.
7.5.2 Idealna dioda

Idealna dioda predstavlja najjednostavniji model diode. Ako je dioda direktno polarisana, uzima se da je napon na njoj nula. Ako je dioda inverzno polarisana uzima se da je struja kroz nju nula. Dakle, direktno polarisana dioda priblino odgovara kratkom spoju, dok inverzno polarisana dioda predstavlja otvorenu vezu. To je grafiki predstavljeno na slici 7.6.
ID (mA)

VD (V)

Slika 7.6: Karakteristika idealne diode i njen simbol.

7.5.3

Izlomljeno linearni model diode

Izlomljeno linearni model diode je zasnovan na jednostavnoj linearizaciji nelinearne karkteristike diode, koja je prikazana na slici 7.7a.
ID (mA) Nagib = 1/rD VD0 rD Vd (V) 0 VD0
+

Slika 7.7: (a) Izlomljeno linearna aproksimacija karakteristike diode, (b) Elektrini model.

Elektrini model kojim se realizuje ovakva karakteristika prikazan je na slici 7.7b. Parametri modela su VD 0 0.65 V i rD 20 . U model je ukljuena i idealna dioda da bi se obezbedilo da struja tee samo pri direktnoj polarizaciji diode.

59

7.5.4

Model diode sa konstantnim padom napona

Najee korieni model diode u praktinim izraunavanjima dobija se uproenjem izlomljeno linearnog modela, tako to se stavi da rD 0 . Onda drugi segment izlomljeno linearne karakteristike postaje vertikalan, kao na slici 7.8. Najee se uzima da je VD = 0.7 V .
ID (mA)

VD

Vd (V) 0 VD
Slika 7.8: (a) Aproksimacija karakteristike diode sa konstantnim naponom, (b) Elektrini model.

7.5.5

Model diode za male signale

Pretpostavimo da se napon na diodi sastoji od fiksnog dela i promenljivog dela, koje emo oznaiti po sledeoj konvenciji:

vD = VD + vd , gde je vd << VT
Poto je varijacija napona na diodi mala, za struju diode se moe pisati:

iD = I S e vD VT = I S e (VD +vd ) VT = I S eVD VT e vd I D (1 +

VT

= I D e vd

VT

vd I 1 ) = I D + D v d = I D + v d = I D + id VT VT rd

Dakle za promenljivu komponentu struje diode vai jednaina:

id =

1 vd rd

gde se rd naziva otpornost diode za male signale. Reciprona vrednost otpornosti diode za male signale predstavlja nagib tangente karakteristike diode u taki koja je odreena fiksnim delovima napona i struje diode. Dakle, za male signale dioda se moe modelovati otpornikom, ija je vrednost jednaka otpornosti diode za male signale rd .

60

7.6

Radna taka diode

Posmatrajmo jednostavno kolo sa diodom, kao na slici 7.9:

R + V
Slika 7.9: Elementarno kolo sa diodom.

iD

+ vD

Kako odrediti struju i napon na diodi kada su vrednosti napona baterije V i otpornika R poznate? Dioda je direktno polarisana i kroz nju tee znaajna struja. Mogu se napisati dve jednaine. Jedna od njih je nelinearna jednaina diode:

I D = I S eVD VT
dok je druga jednaina po drugom Kirhofovom zakonu linearna:

V RI D VD = 0
Iz druge jednaine se dobija jednaina prave u sistemu ( I D , VD ):

ID =

1 1 VD + V R R

koja se naziva radna prava. Obe jednaine se mogu predstaviti grafiki, kao na slici 7.10, pa se i do reenja sistema jednaina moe doi grafikim putem. Reenje sistema jednaina je presek jednaine diode i radne prave definisane drugim Kirhofovim zakonom i naziva se mirna radna taka.
ID (mA)

V/R Q(IDQ,VDQ)
0

VD (V) V

Slika 7.10: Jednaina diode i radna prava u istom sistemu karakteristika. 61

Drugi nain odreivanja radne take je da se rei sistem od jedne linearne i jedne nelinearne jednaine nekim metodom reavanja nelinearnih jednaina iz numerike analize. Najee se reavanje nelinearne jednaine, ili sistema nelinearnih jednaina, svodi na iterativno reavanje sistema linearnih jednaina. Ovaj metod reavanja nelinearnih kola se koristi u raunarskim programima za analizu kola, kao to je, na primer, poznati program SPICE.
7.7 Primene i vrste dioda

Kao to se vidi iz jednaine za struju, osobine diode znatno zavise od:


Materijala od kojeg je napravljena dioda, Geometrijskih karakteristika spoja, Temperature.

Diode su vaan i esto korieni element u savremenoj elektronici. Poto se dioda ne moe realizovati tako da se istovremeno ostvare sve povoljne karakteristike, diode se proizvode sa karakteristikama koje najvie odgovaraju njihovoj nameni. Tako se kod proizvoaa poluprovodnikih komponenata mogu nabaviti razne vrste dioda, kao to su:

Diode za usmerae malih snaga, Diode za usmerae velikih snaga, Diode za prekidaki reim rada Diode za rad na visokim uestanostima, Diode promenljive kapacitivnosti (varikap ili varaktor diode), Fotodiode, Svetlee (LED) diode, itd.

Detaljnije karakteristike dioda se mogu nai u publikacijama proizvoaa (data sheet) u papirnoj formi (katalozi) ili na Internetu.

62

8. Bipolarni tranzistor
8.1 Struktura i simboli bipolarnog tranzistora

Bipolarni tranzistor je poluprovodnika struktura sa tri elektrode. Bipolarni tranzistor predstavlja sendvi strukturu sainjenu od tri razliito dopirane poluprovodnike oblasti koje formiraju dva pn spoja: npn ili pnp. Najvie dopirana oblast predstavlja emitor, sredinja oblast se naziva baza, dok je najmanje dopirana oblast kolektora. Radi korektnog funkcionisanja tranzistora, baza mora biti vrlo uska. Sve tri oblasti imaju metalne kontakte kojima se vri prikljuivanje tranzistora u kolo. U praksi se, zbog boljih elektrinih karakteristika, mnogo vie koriste npn tranzistori pa e se analiza rada tranzistora uglavnom odnositi na npn tranzistore.

Emitor

n-tip

p-tip

n-tip

Kolektor

Baza

Slika 8.1: Uproeni prikaz strukture bipolarnog npn tranzistora.


C B E B E C

Slika 8.2: Simboli npn i pnp tranzistora.

Zavisno od polarizacije spojeva emitor-baza (emitorski spoj) i kolektor-baza (kolektorski spoj), npn tranzistor se moe nai u razliitim reimima rada, koji su prikazani u sledeoj tabeli: Reimi rada tranzistora Reim rada Aktivni reim Zasienje Zakoenje Emitor-baza Direktna polarizacija Direktna polarizacija Inverzna polarizacija Kolektor-baza Inverzna polarizacija Direktna polarizacija Inverzna polarizacija Namena Pojaavai Prekidai Prekidai

Iako postoji jo jedna kombinacija za polarizaciju spojeva, ona se u praksi vrlo retko koristi i zbog toga nije navedena u tabeli. Aktivni reim se koristi u pojaavakim kolima, koja se prouavaju u analognoj elektronici. Reimi zasienja i zakoenja se koriste u elektronskim prekidaima i prouavaju se u impulsnoj i digitalnoj elektronici.
63

8.2

Rad bipolarnog tranzistora u aktivnom reimu

U aktivnom reimu rada emitorski spoj je direktno polarisan, a kolektorski spoj je inverzno polarisan. Polarizacija se ostvaruje prikljuivanjem baterija odgovarajueg polariteta, kao na slici 8.3.
n p n

iE
E

iEe iBr iEp


B

iCe iC iCB0
C

+ VBE

iB

+ VCB

Slika 8.3: Struje u aktivnom reimu rada npn tranzistora.

Za razmatranje rada tranzistora u aktivnom reimu najbolje je poi od emitorskog spoja koji je direktno polarisan, i prema tome ima dve difuzione struje veinskih nosilaca sa obe strane spoja: 1. Struja elektrona od emitora ka bazi I Ee 2. Struja upljina od baze ka emitoru I Ep iji zbir predstavlja struju emitora:

I E = I Ee + I Ep I Ee ,

jer je I Ep << I Ee

Elektroni koji su iz emitora preli u bazu u njoj predstavljaju manjinske nosioce. Pre uspostavljanja direktne polarizacije emitorskog spoja i ubacivanja elektrona, ravnotena koncentracija elektrona u bazi je bila veoma mala. Ubaeni elektroni znatno poveavaju koncentraciju elektrona u bazi naroito u blizini emitorskog spoja. S druge strane, kolektorski spoj je inverzno polarisan pa elektrino polje izaziva kretanje manjinskih nosilaca preko spoja. Zbog toga je oko kolektorskog spoja koncentracija manjinskih nosilaca (elektrona u bazi i upljina u kolektoru) izuzetno mala. Dakle, koncentracija elektrona u bazi opada sa velike vrednosti oko emitorskog spoja na malu vrednost oko kolektorskog spoja. Poto je baza veoma uska, moe se opravdano smatrati da je koncentacija elektrona opada po linearnom zakonu. Kao posledica neuniformne koncentracije, elektroni u bazi se kreu difuzijom od emitorskog ka kolektorskom spoju. S obzirom da u bazi postoje i upljine, izvestan broj elektrona se na svom putu od emitorskog ka kolektorskom spoju rekombinuje i ne stigne do kolektora. S obzirom na malu irinu baze, nroj rekombinovanih elektrona je mali. Na inverzno polarisanom kolektorskom spoju postoje dve komponente struje manjinskih nosilaca usled elektrinog polja: 1. Struja elektrona od baze ka kolektoru I Ce 2. Struja upljina od kolektora ka bazi I CB0 koje u zbiru daju struju kolektora:
64

I C = I Ce + I CB 0 I Ce , jer je I CB 0 << I Ce
Struja baze se sastoji od tri komponente: 1. Struja upljina od baze ka emitoru I Ep 2. Struja upljina od kolektora ka bazi I CB0 3. Struja usled rekombinacije elektrona u bazi I Br tako da je:

I B = I Br + I Bs I CB 0

Odnos struje elektrona koji prelaze u kolektor i struje emitora obeleava se sa i naziva koeeficijent strujnog pojaanja od emitora do kolektora:

I Ce I C I CB 0 I C = IE IE IE

odakle se uz pomo jednaine I E = I B + I C dobija:

IC =

1 IB + I CB 0 = I B + ( + 1) I CB 0 I B 1 1

Faktor se naziva koeeficijent strujnog pojaanja od baze do kolektora. Tipine vrednosti za faktor su od 0.95 do 0.999, a za faktor od 20 do 1000.
8.2.1 Model npn tranzistora za velike signale

Relacija I C I B pokazuje sutinu rada tranzistora, koja se ogleda u injenici da se malom strujom baze moe kontrolisati znatno vea kolektorska struja. Poto je struja baze eksponencijalnog karaktera: I B = I BS eVBE VT onda i kolektorska struja ima istu zavisnost: I C = I B = I BS eVBE VT = I CS eVBE VT dakle, struja kolektora eksponencijalno zavisi od ulaznog napona. Dakle, koristei prethodne relacije, najprostiji modeli npn bipolarnog tranzistora u aktivnom reimu mogu se napraviti korienjem kontrolisanih strujnih izvora. Dva takva modela su prikazana na slici 8.4:

65

B + vBE

iB iC ICS/ iB

B +

iB iE

C iC

vBE

ICS/ iE E

iE

Slika 8.4: Modeli npn tranzistora za velike signale u aktivnom reimu rada. 8.2.2 Model tranzistora za male signale

Pretpostavimo da se pobudni napon tranzistora sastoji od fiksnog dela i malog promenljivog dela, koje emo oznaiti po sledeoj konvenciji:

vBE = VBE + vbe , gde je vbe << VT


Poto je varijacija pobudnog napona mala, onda se za struju baze moe pisati:

iB = I BS e vBE VT = I BS e (VBE +vbe ) VT = I BS eVBE VT e vbe VT = I B e vbe VT I B (1 + vbe I 1 ) = I B + B vbe = I B + vbe = I B + ib VT VT r

odnosno, ona se sastoji od fiksne i promenljive komponente. Fiksna komponenta ulaznog napona odreuje fiksnu komponentu struje baze, tj. odreuje mirnu radnu taku. Promenljiva komponenta ulaznog napona odreuje promene struje baze oko radne take. Parametar r = VT I B oigledno zavisi od radne take tranzistora. Na slian nain se za struju kolektora dobija:

iC = iB = I CS e vBE VT = I CS e (VBE +vbe ) VT = I CS eVBE VT e vbe VT = I C e vbe VT I C (1 + vbe I ) = I C + C vbe = I C + g m vbe = I C + ic VT VT

tj. i ona se sastoji od fiksne i promenljive komponente. Fiksna komponenta ulaznog napona odreuje fiksnu komponentu kolektorske struje, a promenljiva komponenta ulaznog napona odreuje promene kolektorske struje oko radne take. Parametar g m = I C VT naziva se transkonduktansa tranzistora. Oigledno, postoji veza:

gm =

I C I B 1 = = = VT VT r re
66

gde se re = vbe ib = 1 g m naziva emitorska otpornost. Relacije vbe = rib , ic = ib i ic = g m vbe predstavljaju matematiki model tranzistora za male signale koji je u literaturi poznat kao hibridni model. Dve verzije ovog modela su prikazane na slici 8.5.

B + ib vbe r

C ic

B + ib r

C ic

ic=gmvbe vbe

ic=ib

ie

ie

Slika 8.5: Hibridni modeli tranzistora za male signale.

U praktinoj primeni modela za male signale u analizi pojaavakih kola sa bipolarnim tranzistorima, tranzistor se zamenjuje svojim modelom, dok se nezavisni jednosmerni izvori anuliraju (naponski izvori se kratkospajaju, a strujni izvori se raskidaju). Posle toga se formira odgovarajui sistem jednaina, ijim se reenjem dobijaju traene veliine.
8.3 Ulazne i izlazne karakteristike tranzistora

Ulazna karakteristika tranzistora je zavisnost iB = f1 (vBE ) , pri emu je napon vCE parametar. Ova zavisnost ima eksponencijalni karakter. Izlazna karakteristika tranzistora je zavisnost iC = f 3 (vCE ) pri emu je struja baze iB parametar. Karakteristika prenosa tranzistora je zavisnost iC = f 2 (vBE ) , pri emu je napon vCE parametar. Ova zavisnost ima eksponencijalni karakter. Ove karakteristike se daju u katalozima i koriste se u procesu projektovanja.
8.4 Polarizacija tranzistora

Pod polarizacijom tranzistora se podrazumeva dovoenje odgovarajuih jednosmernih napona na njegove elektrode, koje e ga postaviti u odreeni radni reim. Za aktivni reim je potrebno da se emitorski spoj polarie direktno a kolektorski spoj inverzno. To se moe uraditi korienjem dve baterije kao na slici 8.6. Jednosmerni radni uslovi se odreuju na sledei nain. Prvo se za kolo baze napie jednaina:

67

VBB RB I B VBE = 0
odakle je:

IB =
a iz kolektorskog kola se dobija:

VBB VBE RB

VI + RC I C VCC = 0
odnosno:

I C = I B =

VBB VBE RB

VI = VCC RC I C = VCC RC I B = VCC RC


ime je potpuno odreena radna taka.

VBB VBE RB

RC iB VBB + RB + vI iC + V CC

Slika 8.6: Polarizacija npn tranzistora za rad u aktivnom reimu.

Jednaina radne prave, koja se crta u polju karakteristika iC = f 3 (vCE ) , je:

IC =

VCE VCC + RC RC

U praksi se izbegava napajanje sa dve baterije, pa je potrebno i bazno kolo napajati iz iste baterije kao i kolektor. To se lako moe izvesti sledeim kolom:

RB1 RB2

iB

iC RC + vI

+ VCC

Slika 8.7: Polarizacija npn tranzistora za rad u aktivnom reimu.

Primenom Tevenenove teoreme na ulazno kolo koje se sastoji od baterije VCC i otpornika

RB1 i RB 2 , lako se dobija:


68

VBB = VCC

RB 2 , RB1 + RB 2

RB =

RB1 RB 2 RB1 + RB 2

ime se kolo za polarizaciju svodi na ve analizirani sluaj sa dve baterije. U elektrinim emama elektronskih kola je uobiajeno da se zbog jednostavnosti ne crta baterija VCC .
8.5 Osnovna pojaavaka kola sa jednim tranzistorom

S obzirom da se kod bipolarnog tranzistora struja kolektora moe kontrolisati promenama struje baze, odnosno napona baza-emitor, bipolarni tranzistor moe posluiti kao pojaava signala. Poto se promenljivi ulazni signal uvek mora dovesti izmeu baze i emitora, a izlaz se moe uzeti bilo sa kolektora bilo sa emitora, zavisno od toga koja je od elektroda tranzistora na konstantnom potencijalu razlikuju se tri osnovne konfiguracije: pojaava sa zajednikim emitorom, pojaava sa zajednikim kolektorom i pojaava sa zajednikom bazom. U daljem tekstu e biti analizirane sve tri konfiguracije u reimu rada sa malim signalima i bie odreene njihove osnovne karakteristike: naponsko pojaanje, strujno pojaanje, ulazna otpornost i izlazna otpornost. Princip analize e uvek biti isti. Tranzistor e biti zamenjen modelom za male signale, kraktospojie se jednosmerni naponski izvori, formirae se i reiti jednaine koje opisuju kolo i na kraju e biti naeni odgovarajui odnosi.
8.5.1 Pojaava sa zajednikim emitorom

Pojaava sa zajednikim (uzemljenim) emitorom je najee i najkorisnije kolo sa jednim tranzistorom koje je prikazano na slici 8.8. Vidi se da je pobuda prikljuena izmeu baze i emitora (mase), a da se izlazni napon uzima izmeu kolektora i emitora (mase).
VCC RB1 Rs1 C RC

+
RB2

vs

vi

Slika 8.8: Pojaava sa zajednikim emitorom.

Posle zamene ulaznog kola po Tevenenovoj teoremi, zamene tranzistora hibridnim modelom za male signale i kratkospajanja jednosmernih izvora, dobija se kolo prikazano na slici 8.9, gde otpornik Rs predstavlja ekvivalentnu otpornost pobudnog izvora i otpornika RB1 i RB 2 za polarizaciju tranzistora. Iz ulaznog dela kola lako se dobija:

vbe =

r vs Rs + r
69

Rs

iu
+ Ru

ii
+ gmvbe RC Ri

vs

vbe

vi

Slika 8.9: Ekvivalentno kolo pojaavaa sa zajednikim emitorom.

pa je naponsko pojaanje:

Av =

vi g m vbe RC r RC = = g m RC = vs vs Rs + r Rs + r

Iz izraza za naponsko pojaanje se vidi da u sluaju kada je Rs >> r , naponsko pojaanje

Av RC Rs jako zavisi od , to nije dobro jer ovaj parametar moe mnogo da varira od
primerka do primerka istog tipa tranzistora. S druge strane, ako je Rs << r , naponsko pojaanje

Av g m RC je praktino nezavisno od parametra .


Strujno pojaanje pojaavaa sa zajednikim emitorom je:

Ai =

ii = iu

gm

r vu Rs + r = g m r = vu Rs + r

Za ulaznu otpornost pojaavaa sa zajednikim emitorom lako se dobija:

Ru = r
dok je izlazna otpornost:

Ri = RC
Dakle, pojaava sa zajednikim emitorom moe imati veliko naponsko i strujno pojaanje, ulazna otpornost mu nije velika, dok je izlazna otpornost odreena vrednou otpornika u kolu kolektora i obino ima veliku vrednost. Naponsko pojaanje je negativno to znai da u sluaju naizmeninog pobudnog napona pojaava sa zajednikim emitorom unosi faznu razliku od 180o izmeu ulaznog i izlaznog signala, odnosno obre fazu.
8.5.2 Pojaava sa zajednikim kolektorom

Kod pojaavaa sa zajednikim (uzemljenim) kolektorom, koji je prikazan na slici 8.10, kolektor je vezan direktno na bateriju za napajanje, odnosno vezan je na masu za promenljivi signal. Pobuda je prikljuena izmeu baze i kolektora (mase), a izlazni napon se uzima izmeu emitora i kolektora (mase).

70

VCC RB1 Rs1 vs + C RB2 + vi

RE

Slika 8.10: Pojaava sa zajednikim kolektorom.

Ekvivalentno kolo pojaavaa sa zajednikim kolektorom dobija se na isti nain kao kod pojaavaa sa zajednikim emitorom i prikazano je na slici 8.11.
Rs +

iu
+

vs
Ru

vbe
RE

iu

ii
Ri Rp

vi

Slika 8.11: Ekvivalentno kolo pojaavaa sa zajednikim kolektorom.

U kolu sa slike 8.11 emitorski otpornik RE i otpornost potroaa R p su vezani paralelno i kroz njihovu kombinaciju protie struja ( + 1)iu . Ako sa vb oznaimo promenljivi napon na bazi, za naponsko pojaanje se lako dobija:

Av =

r + ( + 1)( RE || R p ) ( + 1)( RE || R p ) ( + 1)( RE || R p ) vi vb vi = = = vs vs vb Rs + r + ( + 1)( RE || R p ) r + ( + 1)( RE || R p ) Rs + r + ( + 1)( RE || R p )

Poto je najee Rs + r << ( + 1)( RE || R p ) , naponsko pojaanje pojaavaa sa zajednikim kolektorom je vrlo blisko jedinici, ali uvek manje od jedan.

Av 1
Za strujno pojaanje se dobija:

Ai =

ii ( + 1) RE = +1 iu RE + R p

jer je R p << RE . Dakle, strujno pojaanje pojaavaa sa zajednikim kolektorom je vrlo veliko.
71

Sa slike 8.11 se moe odrediti i ulazna otpornost:

Ru = r + ( + 1)( RE || R p )
koja ima veliku vrednost, dok je izlazna otpornost

r + Rs Ri = RE || + 1 = RE

R R || re + s re + s + 1 +1

odnosno, izlazna otpornost pojaavaa sa zajednikim kolektorom je vrlo mala. Dakle, pojaava sa zajednikim kolektorom ima jedinino naponsko i znaajno strujno pojaanje, ulazna otpornost mu je velika, dok je izlazna otpornost vrlo mala. Naponsko pojaanje je pozitivno, odnosno, pojaava sa zajednikim kolektorom ne obre fazu.
8.5.3 Pojaava sa zajednikom bazom

Kod pojaavaa sa zajednikom (uzemljenom) bazom, koji je prikazan na slici 8.12, baza je vezana na konstantan napon iz razdelnika napona, odnosno vezana je na masu za promenljivi signal. Pobuda je prikljuena izmeu emitora i baze (mase), a izlazni napon se uzima izmeu kolektora i baze (mase).
VCC RB1 RC + C RB2 RE Rs vs vi

Slika 8.12: Pojaava sa zajednikom bazom.

Ekvivalentno kolo pojaavaa sa zajednikom bazom dobija se na isti nain kao kod pojaavaa sa zajednikim emitorom i prikazano je na slici 8.13.
Rs

iu
RE

gmvbe

ii
+ RC Ri

vs

+ Ru

vbe
+

vi

Slika 8.13: Ekvivalentno kolo pojaavaa sa zajednikom bazom.

72

Sa slike 8.13 se posle kraeg izraunavanja dobija naponsko pojaanje pojaavaa sa zajednikom bazom:

Av =

vi g m r RC = vs r + ( g m r + 1) Rs

koje je vrlo stabilno, jer je skoro nezavisno od . Ako je r << ( g m r + 1) Rs , naponsko pojaanje je priblino jednako

Av

RC Rs

dok je u sluaju kada je otpornost pobudnog generatora vrlo mala,

Av g m RC
Strujno pojaanje pojaavaa sa zajednikom bazom je:

Ai =
odnosno, blisko je, ali manje od jedan.

ii = = 1 iu + 1

Ulazna otpornost pojaavaa sa zajednikom bazom: Ru = je vrlo mala, dok je izlazna otpornost: RE || r re +1

Ri = RC
Dakle, pojaava sa zajednikom bazom ima veliko naponsko i jedinino strujno pojaanje, ulazna otpornost mu je vrlo mala, dok je izlazna otpornost odreena vrednou otpornika u kolu kolektora i obino ima veliku vrednost. Naponsko pojaanje je pozitivno, odnosno, pojaava sa zajednikom bazom ne obre fazu.

73

9. MOS tranzistor (MOSFET)


Pored bipolarnog tranzistora, u savremenoj elektronici se koristi jo jedan tip tranzistora, poznat kao MOSFET tranzistor. Skraenica MOSFET (Metal Oxide Semiconductor Field Effect Transistor) ukratko opisuje strukturu i princip rada ovog tipa tranzistora. Za razliku od bipolarnog tranzistora, kontrolna elektroda je kod MOS tranzistora izolovana, a kontrola struje se vri elektrinim poljem. Kao posledica ove injenice, struja se sastoji samo od jednog tipa nosilaca (elektrona kod NMOS tranzistora ili upljina kod PMOS tranzistora), tako da se ovaj tip tranzistora esto naziva i unipolarni tranzistor. Princip rada MOS tranzistora opisan je jo 1930. godine, znatno pre pojave bipolarnih tranzistora, ali je zbog tekoa u realizaciji prvi MOS tranzistor eksperimentalno realizovan tek sredinom sedme decenije dvadesetog veka. Tek krajem sedamdesetih godina 20. veka, MOS tranzistori ulaze u iru upotrebu. Zbog svojih osnovnih osobina da imaju jednostavnu strukturu i male dimenzije, MOS tranzistori su postali dominantni u realizaciji digitalnih logikih funkcija i memorija, ali se sve vie koriste i u realizaciji analognih elektronskih kola
9.1 Struktura i simboli MOS tranzistora

Uproena struktura NMOS tranzistora je prikazana na slici 9.1, gde je na levoj strani prkazan popreni presek kroz NMOS tranzistor, a na desnoj strani pogled odozgo na isti tranzistor. Kao to se vidi, NMOS tranzistor se realizuje na podlozi (supstratu) p tipa, u kojoj su postupkom difuzije napravljena dva jako dopirana n+ regiona, koji se nazivaju sors (source) i drejn (drain). Povrina izmeu sorsa i drejna je prekrivena tankim slojem (20-100 nm) silicijum dioksida (SiO2), preko koga je nanesen sloj metala, koji ini treu, kontrolnu elektrodu, koja se naziva gejt (gate). Da bi se ostvarila veza sa ostatkom elektrinog kola, podruja sorsa i drejna, kao i podloga, imaju metalne kontakte. Dakle, MOS tranzistor ima etiri elektrode. Meutim, za osnovna objanjenja rada MOS tranzistora uticaj podloge je mali, tako da emo u daljim izlaganjima MOS tranzistor tretirati kao poluprovodniki element sa tri elektrode. Interesantno je, za razliku od bipolarnog tranzistora, da je struktura MOS tranzistora potpuno simetrina.
Gejt (G) Sors (S) Metal Drejn (D) Sors (S) n
+

Gejt (G)

Drejn (D)

n L

Oksid Podloga p-tipa L Podloga (B)

Slika 9.1: Struktura NMOS tranzistora.

Dimenzije MOS tranzistora su veoma male. Tipine vrednosti rastojanja sorsa i drejna, L, su od 1 do 10 m, dok su tipine vrednosti irine istog podruja, W, od 2 do 500 m. U savremenim integrisanim kolima velike sloenosti, kao to su mikroprocesori i memorije,

74

minimalne dimenzije su ispod 1 m, to omoguava realizaciju vie miliona tranzistora na jednoj silicijumskoj podlozi (ipu). Pored NMOS tranzistora, koji je prikazan na slici 9.1, postoji jo jedan tip MOS tranzistora, poznat kao PMOS tranzistor. On se realizuje na podlozi n tipa, dok su podruja sorsa i drejna jako dopirani p+ regioni. Simboli NMOS i PMOS tranzistora koji se koriste u elektrinim emama prikazani su na slici 9.2.
D G S S G B D D G G B S S PMOS D NMOS

Slika 9.2: Potpuni i uproeni simboli NMOS i PMOS tranzistora.

9.2

Princip rada NMOS tranzistora

Kada na gejt nije prikljuen nikakav napon, izmeu sorsa i drejna su vezane dve diode na red. Jednu diodu ine podloga i n+ oblast sorsa, a drugu diodu podloga i n+ oblast drejna. Ove dve diode spreavaju protok struje od drejna do sorsa kada se primeni napon v DS . Izneu sorsa i drejna postoji velika otpornost, reda 1012 . Pretpostavimo sada da su sors i drejn vezani na masu, a da je na gejt doveden pozitivan napon vGS . Ovaj pozitivni napon odbija upljine, koje su veinski nosioci u podlozi, dalje od podruja ispod gejta i ostavlja nepokretne, negativno naelektrisane akceptorske atome. Dakle, ispod gejta se stvara oblast u kojoj ima malo pokretnih nosilaca, koja se naziva osiromaena oblast. Meutim, dovoljno veliki pozitivni napon na gejtu moe da privue slobodne elektrone iz n+ oblasti sorsa i drejna. Ovi slobodni elektroni se grupiu u podlozi neposredno ispod gejta i stvaraju provodnu n oblast koja se naziva kanal. Ako se izmeu drejna i sorsa primeni neki napon v DS , kroz kanal e protei struja. Dakle, pozitivan napon na gejtu izaziva stvaranje ili indukciju kanala, tako da se ova vrsta MOS tranzistora naziva tranzistor sa indukovanim n kanalom. S obzirom da su slobodni nosioci u kanalu elektroni, ovaj tranzistor se naziva i NMOS tranzistor sa indukovanim kanalom. Takoe, treba primetiti da se celokupna struja sastoji od kretanja elektrona, a da upljine nemaju nikakav uticaj. Zbog toga to u formiranju struje uestvuje samo jedan tip nosilaca (suprotan od tipa podloge), ovakvi tranzistori se nazivaju i unipolarni tranzistori. Minimalni napon izmeu gejta i sorsa koji obezbeuje formiranje kanala naziva se napon praga provoenja i obeleava sa Vt . Vrednosti ovog napona zavise od proizvodnog procesa i tipino se nalaze u opsegu od 1 V do 3 V. Metalna elektroda gejta, oksid izmeu gejta i podloge i podloga formiraju kondenzator. Kada se dovede napon na gejt, u dielektriku kondenzatora se pojavljuje elektrino polje. To elektrino polje kontrolie broj slobodnih nosilaca u kanalu, odnosno provodnost kanala. Zato se
75

MOS tranzistori svrstavaju u grupu tranzistora sa efektom polja, jer se elektrinim poljem regulie struja kroz kanal kada se primeni napon v DS .
9.2.1 Ponaanje NMOS tranzistora pri malim naponima VDS

Pretpostavimo da je izmeu gejta i sorsa doveden napon vGS > Vt , tako da je formiran indukovani kanal, kao i da je izmeu drejna i sorsa primenjen mali pozitivan napon v DS reda stotinak mV. Kroz indukovani kanal e se kretati elektroni od sorsa ka drejnu, odnosno kroz kanal e proticati struja iji je smer od drejna ka sorsu. Smer ove struje pokazuje strelica u uproenom simbolu NMOS tranzistora. Jaina struje zavisi od broja slobodnih nosilaca u kanalu, a broj slobodnih nosilaca zavisi od razlike napona vGS i napona praga Vt , vGS Vt , koji se ponegde naziva i efektivni napon. Dakle, struja drejna iD bie proporcionalna naponu vGS Vt i naponu vDS . Struja sorsa je jednaka struji drejna, s obzirom da je struja gejta jednaka nuli jer je gejt izolovana elektroda. Dakle, u reimu malih napona drejn-sors, NMOS tranzistor radi kao otpornik ija se otpornost moe kontrolisati naponom na gejtu. Detaljnijim razmatranjem fizikih pojava u kanalu moe se izvesti jednaina zavisnosti struje iD od napona vGS i vDS , to izlazi izvan okvira ovog predmeta. Kao krajnji rezultat se dobija jednaina: iD = 1 n ox W W 2 2 2(vGS Vt )v DS v DS = k n 2(vGS Vt )v DS v DS 2 t ox L L

Dakle, struja drejna zavisi od fizikih konstanti ( n i ox ), parametara tehnolokog procesa ( tox i Vt ), geometrijskih dimenzija tranzistora (W i L) i primenjenih napona vGS i vDS . Oblast rada NMOS tranzistora u reimu malih napona v DS naziva se linearna oblast (jer se MOS tranzistor ponaa kao otpornik) ili triodna oblast (po slinosti karakteristika sa davno korienom elektronskom cevi triodom).
9.2.2 Ponaanje NMOS tranzistora pri veim naponima VDS

Pri veim naponima vDS , napon izmeu gejta i sorsa nee biti priblino jednak naponu izmeu gejta i drejna. Zbog toga e se napon izmeu gejta i kanala menjati od vGS na strani sorsa do vGS vDS na strani drejna. Poto dubina kanala zavisi od ovog napona, na strani sorsa kanal e prodirati dublje u podlogu, a na strani drejna kanal e biti plii. Sa porastom napona v DS promena dubine kanala postaje sve vea. Kada se napon v DS izjednai sa naponom vGS Vt dubina kanala u okolini drejna se priblino svede na nulu, odnosno kae se da je kanal stisnut. Poveanjem vrednosti napona v DS iznad vGS Vt oblik kanala se skoro ne menja, tako da se struja drejna zaustavlja na nekoj vrednosti, odnosno, dolazi do zasienja struje drejna. Oblast rada NMOS tranzistora u reimu veih napona v DS > vGS Vt naziva se oblast zasienja. Struja drejna reimu zasienja se moe se dobiti iz prethodne jednaine za struju ako to se izvri smena v DS = vGS Vt , ime se dobija:

76

iD =

n ox W W (vGS Vt ) 2 = k n (vGS Vt ) 2 t ox L L

9.3

PMOS tranzistor i komplementarni MOS (CMOS)

MOS tranzistor sa p kanalom se pravi na podlozi n tipa sa p+ oblastima za sors i drejn. Princip rada mu je potpuno isti kao kod NMOS tranzistora, jedino se polaritet svih napona i struja razlikuje. Dakle, naponi vGS , v DS i Vt su negativni, a struja drejna iD ima smer prema sorsu i izlazi iz drejna. U izrazima za struju umesto pokretljivosti elektrona n figurie pokretljivost upljina p . Tehnologija izrade PMOS tranzistora je starija od tehnologije izrade NMOS tranzistora i nekada je bila dominantna. Meutim, danas su dominantni NMOS tranzistori. Razlog za to su njihove bolje karakteristike. Poto je pokretljivost elektrona n oko 2.5 puta vea od pokretljivosti upljina, struja NMOS tranzistora je oko 2.5 vea pri istim uslovima od struje istog PMOS tranzistora. Zbog toga NMOS tranzistori mogu biti manji i raditi sa manjim naponima napajanja. Pa ipak, PMOS tranzistori se jo uvek koriste kao diskretni tranzistori, a u integrisanim kolima u okviru komplementarnih MOS ili CMOS kola. Komplementarna MOS ili CMOS kola sadre tranzistore oba tipa. Iako su komplikovanija za proizvodnju od NMOS kola, CMOS kola su najkorisnija savremena MOS kola i koriste se u realizaciji i digitalnih i analognih kola. Popreni presek kroz jedno CMOS kolo je prikazan na slici 9.3. NMOS tranzistor se realizuje direktno na podlozi p tipa, dok se PMOS tranzistor realizuje u posebno napravljenoj n oblasti, koja predstavlja njegovu podlogu. Oba tranzistora su meusobno izolovana debelim slojem oksida.
NMOS
G S SiO2 n+ n+ Oksid gejta Polisilicijum D Debeli sloj SiO2 p+ n-tip Podloga p-tipa p+ D

PMOS
G S SiO2

Slika 9.3: Struktura CMOS kola.

9.4

Model NMOS tranzistora za velike signale

Kao to je objanjeno u prethodnim odeljcima, zavisno od napona na elektrodama MOS tranzistor se moe nai u tri reima rada: zakoenju, triodnoj oblasti i zasienju. U ovom odeljku e malo detaljnije biti razmatrani uslovi rada u sve tri pobrojane oblasti i bie izvedeni odgovarajui ekvivalentni elektrini modeli NMOS tranzistora za velike signale.

77

9.4.1

NMOS tranzistor u zakoenju

NMOS tranzistor je zakoen kada nema uslova za formiranje kanala. Dakle, da bi tranzistor bio zakoen, treba da bude vGS < Vt . Tada izmeu drejna i sorsa, umesto kanala, postoje dve diode od kojih je uvek jedna inverzno polarisana. Poto je otpornost izneu sorsa i drejna reda 1012 , a gejt je izolovan, moe se smatrati da se ceo MOS tranzistor moe zameniti prekinutim vezama.
9.4.2 NMOS tranzistor u triodnoj oblasti

Kada je napon na gejtu dovoljno veliki za formiranje kanala, vGS Vt , a napon izmeu sorsa i drejna dovoljno mali, v DS vGS Vt , NMOS tranzistor radi u triodnoj oblasti. U jednaini za struju drejna: iD = k n W 2 2(vGS Vt )v DS v DS L

se za male napone v DS moe zanemariti kvadratni lan, ime se ona svodi na oblik: i D 2k n W (vGS Vt )v DS L

Dakle, u triodnoj oblasti se NMOS tranzistor ponaa kao otpornik, ija vrednost zavisi od kontrolnog napona vGS :
rDS = v DS 1 = W iD 2k n (vGS Vt ) L

Ova osobina MOS tranzistora se esto koristi u elektronskim kolima za realizaciju programabilnih naponski kontrolisanih otpornika.
9.4.3 NMOS tranzistor u zasienju

Kada je napon na gejtu dovoljno veliki za formiranje kanala, vGS Vt , a napon izmeu sorsa i drejna dovoljno veliki, v DS vGS Vt , NMOS tranzistor radi u oblasti zasienja. Jednaina za struju drejna: iD = k n W (vGS Vt ) 2 L

pokazuje da se NMOS tranzistor u oblasti zasienja moe predstaviti kao idealni zavisni strujni izvor kontrolisan naponom vGS , to je pokazano na slici 9.4.

78

iD

vGS

kn(W/L)(vGS-Vt)2

vDS

iS

Slika 9.4: Ekvivalentni model NMOS tranzistora za velike signale u oblasti zasienja.

9.5

Model NMOS tranzistora za male signale

Kao i kod bipolarnog tranzistora, model MOS tranzistora se moe dobiti korienjem pretpostavke da se pobudni signal moe razloiti na dve komponente: konstantnu, koja odreuje radnu taku, i promenljivu, koja predstavlja signal koji treba pojaati. Svi naponi i struje u kolu se onda mogu razloiti na konstantne i promenljive komponente. Sa konstantnim komponentama se operie korienjem modela za velike signale, a za odreivanje promenljivih komponenata se koristi model za male signale. Da bi se NMOS tranzistor koristio kao pojaava, njegova radna taka mora biti u oblasti zasienja. Dakle, za odreivanje radne take tranzistora u kolu sa slike 9.5 moe se pretpostaviti da je promenljivi signal jednak nuli, v gs = 0 , i napisati sistem jednaina za jednosmerni reim: I D = kn W (VGS Vt ) 2 L VD = VDD RD I D

VDD

iD

RD

vD vgs
VGS

Slika 9.5: Osnovno pojaavako kolo sa NMOS tranzistorom.

Zatim se pretpostavi da postoji i promenljivi signal v gs , odnosno da je ukupna pobuda: vGS = VGS + v gs

79

koja daje ukupnu struju drejna: iD = k n W W 2 (VGS + v gs Vt ) 2 = k n (VGS Vt ) 2 + 2(VGS Vt )v gs + v gs L L

Prvi lan u ovoj jednaini odgovara konstantnoj struji drejna u radnoj taki. Drugi lan predstavlja komponentu struje koja je direktno proporcionalna promenljivom delu pobudnog napona, i koji je koristan sa gledita pojaanja. Trei lan je srazmeran kvadratu promenljivog dela pobudnog napona i predstavlja nepoeljan efekat, tzv. nelinearna izoblienja. Da bi se nelinearna izoblienja smanjila, potrebno je da promenljivi pobudni signal bude dovoljno mali: v gs << 2(VGS Vt ) i tada se ukupna struja drejna moe napisati u obliku: i D I D + id = k n W W (VGS Vt ) 2 + 2k n (VGS Vt )v gs L L

Dakle, NMOS tranzistor se za male signale moe modelovati idealnim strujnim izvorom zavisnim od napona, to je prikazano na slici 9.6. Parametar g m , koji povezuje signale id i v gs , naziva se transkonduktansa MOSFET-a i definisan je izrazom:
gm =
G

id 2I D W = 2k n (VGS Vt ) = VGS Vt L v gs
id + D

vgs

gmvgs

vds

is
Slika 9.6: Ekvivalentni model NMOS tranzistora za male signale.

Interesantno je primetiti da su modeli za male signale bipolarnog tranzistora i NMOS tranzistora slini. Jedna od razlika je to u modelu bipolarnog tranzistora u ulaznom delu kola figurie otpornost r , koje nema u modelu MOS tranzistora. Zbog toga e ulazna otpornost kola sa MOS tranzistorom, gledano sa strane gejta, uvek biti mnogo vea nego kod kola sa bipolarnim tranzistorom. Druga razlika se odnosi na vrednost transkonduktanse g m , koja je pri istoj struji mnogo vea kod bipolarnog tranzistora. Na primer, ako je I D = 1 mA , VGS Vt = 1 V , onda je za bipolarni tranzistor g m 40 mA/V , a za NMOS tranzistor g m 2 mA/V .

80

9.6

Osnovna pojaavaka kola sa NMOS tranzistorom

Kao i kod bipolarnog tranzistora, promenljivi ulazni signal uvek mora dovesti izmeu elektroda gejta i sorsa, a izlaz se moe uzeti bilo sa drejna bilo sa sorsa. Zavisno od toga koja je od elektroda MOS tranzistora na konstantnom potencijalu, razlikuju se tri osnovne konfiguracije: pojaava sa zajednikim sorsom, pojaava sa zajednikim drejnom i pojaava sa zajednikim gejtom.
9.6.1 Pojaava sa zajednikim sorsom

Pojaava sa zajednikim (uzemljenim) sorsom je najee i najkorisnije kolo sa jednim MOS tranzistorom, koje je prikazano na slici 9.7. Vidi se da je pobuda prikljuena izmeu gejta i sorsa (mase), a da se izlazni napon uzima izmeu drejna i sorsa (mase).

VDD RG1 Rg1 C RD

+
RG2

vg

vi

Slika 9.7: Pojaava sa zajednikim sorsom.

Otpornici RG1 i RG 2 slue za podeavanje radne take, odnosno napona VGS i struje I D . Poto nema struje gejta, razdelnik napona je neoptereen, tako da ovi otpornici mogu imati znatno vee vrednosti nego kod pojaavaa sa bipolarnim tranzistorom, to poveava ulaznu otpornost. Zamenom MOS tranzistora modelom za male signale, posle kraeg izraunavanja, za naponsko pojaanje dobija se:

Av =

vi g m v gs RD RG = = g m RD g m RD vg vg Rg1 + RG

gde je RG = RG1 || RG 2 . Dakle, pojaava sa zajednikim sorsom ima veliko naponsko pojaanje i obre fazu.
9.6.2 Pojaava sa zajednikim drejnom

Kod pojaavaa sa zajednikim (uzemljenim) drejnom, koji je prikazan na slici 9.8, drejn je vezan direktno na bateriju za napajanje, odnosno vezan je na masu za promenljivi signal. Pobuda je prikljuena izmeu gejta i drejna (mase), a izlazni napon se uzima izmeu sorsa i drejna (mase).

81

VDD RG1 Rg1 vg + C RG2 + vi

RS

Slika 9.8: Pojaava sa zajednikim drejnom.

Posle zamene MOS tranzistora modelom za male signale i kraeg izraunavanja dobija se izraz za naponsko pojaanje: v RG g m RS Av = i = 1 v g Rg1 + RG 1 + g m RS gde je RG = RG1 || RG 2 . Dakle, pojaava sa zajednikim drejnom ima jednino naponsko pojaanje i ne obre fazu. Za izlaznu otpornost pojaavaa sa zajednikim drejnom se lako dobija:

Ri =

RS 1 + g m RS

odnosno, izlazna otpornost pojaavaa sa zajednikim drejnom je vrlo mala.


9.6.3 Pojaava sa zajednikim gejtom

Kod pojaavaa sa zajednikim (uzemljenim) gejtom, koji je prikazan na slici 9.9, gejt je vezan na konstantan napon iz razdelnika napona, odnosno vezan je na masu za promenljivi signal. Pobuda je prikljuena izmeu sorsa i gejta (mase), a izlazni napon se uzima izmeu drejna i gejta (mase).

VDD RG1 RD + C C RG2 RS Rg1 vg vi

Slika 9.9: Pojaava sa zajednikim gejtom.

82

Posle zamene MOS tranzistora modelom za male signale i kraeg izraunavanja dobija se izraz za naponsko pojaanje:

Av =

vi g R = m D v g 1 + g m RG

gde je RG = Rg1 || RS . Dakle, pojaava sa zajednikim drejnom ima naponsko pojaanje vee od jedinice i ne obre fazu.

83

10. Sloena pojaavaka kola


Ako posmatramo pojaava sa zajednikim sorsom vidimo da je njegovo naponsko pojaanje znatno manje od pojaanja pojaavaa sa zajednikim emitorom. To je posledica injenice da je transkonduktansa MOS tranzistora znatno manja od transkonduktanse bipolarnog tranzistora. Da bi se povealo naponsko pojaanje, trebalo bi poveati vrednost otpornika RD . Meutim, ako napon napajanja ostane isti, poveanje otpornosti RD izazvae smanjenje struje I D i smanjenje transkonduktanse g m . Dakle, pojaanje e se samo malo poveati sa poveanjem otpornosti RD . Slina je situacija i kod pojaavaa sa zajednikim emitorom, ali se kod njega ipak moe realizovati neto vee pojaanje. Postoji jo jedan nedostatak opisanih pojaavaa sa MOS tranzistorima kada se pojaavaka kola realizuju u tehnologiji integrisanih kola. Dimenzije integrisanih otpornike su nekoliko puta, pa ak i nekoliko desetina puta, vee od dimenzija MOS tranzistora. Prema tome, upotreba otpornika smanjuje broj komponenata koje se mogu realizovati na zadatoj povrini. Trei nedostatak svih opisanih konfiguracija sa jednim tranzistorom je to se koriste kondenzatori za spregu sa pobudnim izvorom kao i sa narednim pojaavakim stepenom. Oni su neophodni da se ne bi poremetila radna taka tranzistora prikljuivanjem pobude ili narednog stepena. Takvi kondenzatori treba da budu velike kapacitivnosti da ne bi slabili signale na niskim uestanostima. U realizacijama sa diskretnim komponentama, ovi kondenzatori ne predstavljaju problem. Meutim, u integrisanoj tehnologiji nije mogue realizovati kondenzatore velikog kapaciteta na silicijumskoj ploici, pa se mora traiti neko alternativno reenje. Navedeni razlozi doveli su do razvoja novih kola, koja treba da imaju veliko pojaanje uz istovremeno malo zauzee povrine integrisanih kola. Takva kola sadre samo MOS tranzistore i dominantna su u savremenoj tehnologiji MOS integrisanih kola. Osnovna ideja je da se otpornik zameni sa strukturom koja sadri jedan ili vie tranzistora. Takva struktura treba da obezbedi veliku dinamiku otpornost, uz istovremeno zadravanje radne take pojaavakog tranzistora.

10.1

Strujni izvori

Realizacije pojaavaa u integrisanoj tehnologiji intenzivno koriste strujne izvore. Jedna jednostavna realizacija strujnog izvora je pokazana na slici 10.1.

VDD IREF ID1 T1 T2 R IO

Slika 10.1: Strujni izvor sa NMOS tranzistorima.

84

Poto je kod tranzistora T1 drejn spojen sa gejtom, tranzistor T1 mora biti u reimu zasienja, jer je v DS = vGS > vGS Vt . Struja kroz tranzistor T1 (referentna struja) iznosi:
W V VD I D1 = k n 1 (VGS Vt ) 2 = I REF = DD L R 1

Poto tranzistori T1 i T2 imaju isti napon VGS , izborom radne take tranzitora T2 u zasienju, dobija se jednaina za izlaznu struju: W I O = I D 2 = k n 2 (VGS Vt ) 2 L 2 Kombinacijom prethodne dve jednaine, konano se dobija: IO I REF = W2 L2 W1 L1

Odnos I O I REF se naziva strujno pojaanje strujnog izvora. Dakle, izborom referentne struje I REF i postavljanjem radne take prvog tranzistora da obezbedi tu struju, moe se obezbediti eljena izlazna struja podeavanjem geometrijskih dimenzija oba tranzistora. Ako su tranzistori identini, onda je I O = I REF , pa je ovakvo kolo dobilo naziv strujno ogledalo. Da bi se opisano kolo ponaalo kao strujni izvor, neophodno je da tranzistor T2 radi u zasienju, ime je obezbeena velika izlazna otpornost. Dakle, kolo na koje se prikljuuje strujni izvor mora obezbediti minimalni napon na drejnu drugog tranzistora: VD 2 VGS Vt Na jedan referentni tranzistor T1 se moe vezati vie razliitih tranzistora T1, T2, , ime se moe dobiti vie razliitih konstantnih struja u istom kolu. Takoe, upotrebom PMOS tranzistora, moe se ostvariti izlazna struja suprotnog smera. Oba ova principa su ilustrovana na slici 10.2.
VDD ID1 T4 I4 I2 I3 T2 T3 T5 I5

VDD IREF ID1 T1 R

Slika 10.2: Strujni izvori sa NMOS i PMOS tranzistorima. 85

Za kolo na slici 10.2 lako se mogu napisati jednaine:

I 2 = I REF I 3 = I REF I5 = I4

W2 L2 W1 L1

W3 L3 = I4 W1 L1

W5 L5 W L W L = I REF 3 3 5 5 W4 L4 W1 L1 W4 L4

koje daju odnose struja strujnih izvora i referentne struje.

10.2

Pojaava sa dinamikim optereenjem

Strujni izvori, opisani u prethodnom odeljku, se mogu korisno upotrebiti za realizaciju pojaavaa sa veim pojaanjem nego to se moe ostvariti konfiguracijama sa otpornim optereenjem. Naime, strujni izvori daju konstantnu jednosmernu struju, kojom se moe podesiti pogodna radna taka pojaavakog tranzistora. S druge strane, strujni izvori imaju veliku (teorijski beskonanu) izlaznu otpornost, ime simuliraju veliko optereenje pojaavakog tranzistora. Ako je pojaavaki tranzistor NMOS tipa onda strujni izvor, koji treba da zameni otpornik u kolu drejna, mora da odaje struju i mora biti realizovan sa PMOS tranzistorima. Kompletna ema pojaavaa sa zajednikim sorsom i strujnim izvorom kao dinamikim optereenjem je prikazana na slici 10.3.

VDD T3 T2 i vi IREF vu T1

Slika 10.3: Pojaava sa zajednikim sorsom u CMOS tehnologiji.

Ako za naponsko pojaanje koristimo najprostiji izraz Av g m RD onda se dobija da je naponsko pojaanje beskonano veliko jer RD . Naravno, to je posledica korienja jako uproenog modela MOS tranzistora za male signale. Ako se koristi sloeniji model MOS tranzistora, koji u sebi sadri izlaznu otpornost tranzistora rDS , paralelno vezanu sa zavisnim strujnim izvorom, onda se za naponsko pojaanje pojaavaa sa zajednikim sorsom sa slike 10.3 dobija taniji izraz:

86

Av g m1 (rDS1 || rDS 2 ) k n

W1 L1

VA I REF

gde je V A napon koji odreuje nagib (teorijski horizontalne) krive iD = f (v DS ) . Poto su tipine vrednosti napona V A negde izmeu 30 V i 200 V, ovakvim kolom sa aktivnim optereenjem se moe ostvariti naponsko pojaanje od 20 do 100 puta. Kao to se vidi, naponsko pojaanje se znatno poveava ako se upotrebi konfuguracija sa dinamikim optereenjem pojaavakog tranzistora koje se realizuje pomou strujnog izvora. Isti princip se moe iskoristiti i za poveanje pojaanja konfiguracija sa zajednikim gejtom ili drejnom.

10.3

Diferencijalni pojaava

Diferencijalni pojaava je jedno od najkorisnijih pojaavakih kola. U osnovnoj verziji se sastoji od dva tranzistora (bipolarna ili MOS), dva otpornika i strujnog izvora. U sloenijim verzijama, sa boljim karakteristikama, otpornici su zamenjeni strujnim izvorima. Osnovno kolo diferencijalnog pojaavaa sa bipolarnim tranzistorima je prikazano na slici 10.4.

VCC iC1 vC1 vb1 T1 iE1 I iE2 RC RC iC2 vC2 T2 vb2

Slika 10.4: Osnovno kolo diferencijalnog pojaavas sa bipolarnim tranzistorima.

Za kolo na slici 10.4 se mogu napisati jednaine za emitorste struje oba tranzistora:

iE1 = I ES e ( vB1 vE ) / VT iE 2 = I ES e ( vB 2 vE ) / VT
iz kojih se lako dobijaju njihov odnos i zbir:

i E1 = e ( vB1 vB 2 ) / VT iE 2 i E1 + i E 2 = I
odakle sledi:

iE1 =

I
1+ e
( vB 2 vB1 ) / VT

87

iE 2 =

I
1+ e
( vB1 vB 2 ) / VT

Pojaanje diferencijalnog pojaavaa za male signale se dobija kada se na kolo primeni mali diferencijalni napon vd = vB1 vB 2 . Onda se za kolektorske struje oba tranzistora dobija:

iC1 =

I (1 + vd / 2VT ) v I I vd Ie vd / 2VT I = + = IC + gm d = vd / 2VT vd / VT vd / 2VT (1 + vd / 2VT ) + (1 vd / 2VT ) 2 2VT 2 2 1+ e +e e

iC 2 =

v I I I v d = = IC gm d vd / VT 2 2VT 2 2 1+ e

pa su naponi na kolektorima tranzistora:

vC1 = (VCC RC I C ) g m RC vC 2 = (VCC

vd = VC1 + vc1 2 v RC I C ) + g m RC d = VC 2 + vc 2 2

Za diferencijalni pojaava se mogu definisati dve vrste pojaanja. Jedno je diferencijalno pojaanje, iji je definicioni izraz:

Ad =

vc1 vc 2 g m RC vd

a drugo je pojaanje srednje vrednosti definisano izrazom:

ACM =

vC1 vC 2 0 vB1 + vB 2 2

u sluaju kada je kolo potpuno simetrino i naponi na ulazima jednaki. Ako postoji mala razlika izmeu otpornika u kolu kolektora, pojaanje srednje vrednosti bie razliito od nule: ACM RC RC RC = 2R 2 R RC

gde je R izlazna otpornost strujnog izvora koja je vrlo velika. Zato je pojaanje srednje vrednosti uvek malo. U optem sluaju je: v +v vi = Ad (vB1 vB 2 ) + ACM B1 B 2 2 Diferencijalni pojaava sa MOS tranzistorima bi se mogao realizovati na isti nain kao na slici 10.4 zamenom bipolarnih tranzistora NMOS tranzistorima. Meutim, zbog toga to otpornici u kolu drejna ne smeju da budu veliki zbog obezbeenja dovoljne jednosmerne struje

88

drejna, kao i zbog toga to je transkonduktansa MOS tranzistora znatno manja od transkonduktanse bipolarnih tranzistora, pojaanje takvog diferencijalnog pojaavaa bilo bi suvie malo, a njegova realizacija u integrisanoj tehnici neefikasna zbog korienja otpornika. Zato se u integrisanoj tehnici uvek primenjuje neto sloenija realizacija diferencijalnog pojaavaa sa strujnim izvorima kao dinamikim optereenjem pojaavakih tranzistora, koja je prikazana na slici 10.5.

VDD T3 T4 i i i vud + I T1 T2 vi

Slika 10.5: Diferencijalni pojaava sa MOS tranzistorima.

Rad kola diferencijalnog pojaavaa sa MOS tranzistorima moe se u potpunosti objasniti analogijama sa kolom sa bipolarnim tranzistorima. Promenljivi deo izlazne struje dat je izrazom: i = gm vd 2

Poto je za svaki tranzistor struja u radnoj taki: ID = onda je: gm = pa je izlazni napon dat izrazom: I VGS Vt I 2

89

vi = 2i (rDS 2 || rDS 4 )
Ako je:

rDS 2 = rDS 4 = ro =
izlazni napon postaje:

VA I 2

vi = 2i
pa je naponsko pojaanje:

ro v = iro = g m ud ro 2 2

Av =

vi r VA = gm o = vud 2 VGS Vt

Sa savremenim MOS tranzistorima se moe postii naponsko pojaanje od 20 do 100. Jo vee pojaanje se moe dobiti ako se umesto prostog strujnog izvora za dinamiko optereenje upotrebe sloeniji strujni izvori koji imaju veu dinamiku otpornost.
10.4 Operacioni pojaava

Radi poveanja naponskog pojaanja, esto se pojaavaki stepeni povezuju na red ili u kaskadu. Naponsko pojaanja takvog pojaavaa je proizvod naponskih pojaanja pojedinanih stepeni i moe biti vrlo veliko. U elektronici se takav pojaava, koji ima veliko naponsko pojaanje, naziva operacioni pojaava. Naziv je dobio po tome to je primenom takvog pojaavaa mogue realizovati neke matematike operacije izmeu ulaznih napona. Dakle, operacioni pojaava ima veliko naponsko pojaanje. U praksi se esto, zbog jednostavnijeg rauna, koristi pojam idealnog operacionog pojaavaa. Takav pojaava ima beskonano veliko naponsko pojaanje, Av , beskonano veliku ulaznu otpornost, Ru , i beskonano malu izlaznu otpornost, Ri 0 . Operacioni pojaava najee ima diferencijalni ulaz, jer je prvi pojaavaki stepen diferencijalni pojaava. Simboli kojima se u elektrinim emama predstavlja operacioni pojaava prikazani su na slici 10.6.
+VCC -VCC

Slika 10.6: Simboli operacionog pojaavaa.

Idealni operacioni pojaava ima jednu interesantnu osobinu. S obzirom da na njegovom izlazu mora postojati konaan napon, a da mu je naponsko pojaanje beskonano veliko, napon izmeu ulaznih krajeva mora biti jednak nuli. Dakle, napon izmeu ulaznih prikljuaka je jednak

90

nuli ali izmeu njih ne tee nikakva struja. Ako je jedan od ulaznih prikljuaka vezan na masu, potencijal drugog ulaznog prikljuka je takoe nula, pa se kae da je on na virtuelnoj masi.
10.5 Primene operacionog pojaavaa

Operacioni pojaava ima brojne primene i predstavlja najee korieni sklop savremene analogne elektronike. Primenom operacionog pojaavaa se mogu realizovati pojaavai precizno odreenog pojaanja, kola za realizaciju nekih aritmetikih operacija, kola za integraljenje i diferenciranje, itd. Najee koriena kola bie prikazana u narednom izlaganju.
10.5.1 Invertorski pojaava

Posmatrajmo kolo sa slike 10.6. S obzirom da je invertorski prikljuak na virtuelnoj masi, struja kroz otpornik R1 je: i1 = vu R1

S obzirom da je ulazna struja pojaavaa jednaka nuli, struja i1 u celini protie kroz otpornik R2 i daje izlazni napon: vi = R2i1 = Naponsko pojaanje je onda: Av = vi R = 2 vu R1
R2 vu R1 i1 +

R2 vu R1

vi

Slika 10.6: Invertorski pojaava.

Kao to se vidi, naponsko pojaanje je negativno i odreeno je odnosom dve otpornosti. Zbog toga se naponsko pojaanje moe veoma precizno realizovati jer ne zavisi od karakteristika upotrebljenih aktivnih komponenata. Zbog toga to je naponsko pojaanje negativno, izlazni napon e predstavljati pojaanu i invertovanu sliku ulaznog napona, pa se ovo kolo naziva invertorski pojaava. Ako je pobuda sinusoidalna, napon na izlazu bie pojaan sinusoidalni napon koji je fazno pomeren za 180o.

91

10.5.2 Neinvertorski pojaava

Pojaava ije je pojaanje pozitivno, ili neinvertorski pojaava, moe se realizovati kolom sa slike 10.7.
R2 R1 i1 vu + i2 vi

Slika 10.7: Neinvertorski pojaava.

S obzirom da je napon izmeu ulaznih prikljuaka jednak nuli, napon na invertorskom prikljuku takoe e biti jednak ulaznom naponu, pa je struja kroz otpornik R1: i1 = vu R1

S obzirom da je ulazna struja pojaavaa jednaka nuli, struja i1 u celini protie kroz otpornik R2 i daje izlazni napon: i2 = vi vu v = i1 = u R2 R1

odakle se lako za naponsko pojaanje dobija: Av = vi R1 + R2 R = =1+ 2 vu R1 R1

Dakle, naponsko pojaanje je pozitivno i vee od jedinice. U sluaju sinusoidalne pobude, ovaj pojaava ne obre fazu.
10.5.3 Jedinini pojaava

Jedinini pojaava je specijalni sluaj neinvertorskog pojaavaa. Ako je R2 << R1 , onda je naponsko pojaanje blisko jedinici. U graninom sluaju R2 0 a R1 , pa se dobija kolo prikazano na slici 10.8 ije je naponsko pojaanje tano jednako jedan. Jedinini pojaava kolo ima najveu primenu kao razdvojni stepen, koji uz jedinino pojaanje obezbeuje veliku ulaznu otpornost i malu izlaznu otpornost.

vu

vi

Slika 10.8: Jedinini pojaava.

92

10.5.4 Kolo za sabiranje

Kolo za sabiranje je prikazano na slici 10.9. Ono se od invertorskog pojaavaa razlikuje samo po tome to ima vie ulaza. Svaka od ulaznih struja data je istom jednainom kao kod invertorskog pojaavaa. Dakle, poto je invertorski prikljuak na virtuelnoj masi, imamo: ik = vuk , k = 1,2, K , n Rk
i1 i2 Rn in + vi Rf

vu1 vu2 vun

R1 R2

Slika 10.9: Kolo za sabiranje.

S obzirom da je ulazna struja pojaavaa jednaka nuli, zbir struja ik u celini protie kroz otpornik Rf i daje izlazni napon:
vi = R f ik = R f
k =1 n

vuk k =1 Rk

Ako su svi ulazni otpornici jednaki, R1 = R2 = L = Rn = R , onda se dobija uproeni izraz: vi = R f R vuk = f R k =1 Rk
n

v
k =1

uk

odnosno, izlazni napon je srazmeran zbiru ulaznih napona, po emu je kolo dobilo ime.
10.5.5 Kolo za integraljenje

Kolo za integraljenje je prikazano na slici 10.10. Kao i kod invertujueg pojaavaa, ulazna struja je data izrazom: iu (t ) = vu (t ) R

Ista struja protie kroz kondenzator. S obzirom da su struja kroz kondenzator i napon na kondenzatoru povezani diferencijalnom relacijom: iC (t ) = C dvC (t ) v (t ) = iu (t ) = u dt R

93

za izlazni napon se dobija:


vi (t ) = vC (t ) = vi (t0 ) 1 vu (t )dt RC t 0
t

gde je vi (t 0 ) = vC (t 0 ) poetni napon na izlazu. S obzirom da je izlazni napon srazmeran integralu ulaznog napona, opisano kolo se naziva kolo za integraljenje, invertujui integrator, ili Milerov integrator.
C vu R iu iC + vi

Slika 10.10: Integrator.

Interesantno je posmatrati ponaanje invertujueg integratora u sluaju naizmenine pobude. Tada se moe primeniti posmatranje kola u frekvencijskom domenu, odnosno fazorski raun. Fazor ulazne struje dat je izrazom: Iu = a fazor izlaznog napona: Vu R

Vi = VC = I u

V V 1 = u = j u RC jC jRC

odnosno, kolo se ponaa kao idealni integrator i unosi fazni pomeraj od 90o.
10.5.6 Kolo za diferenciranje

Kolo za diferenciranje je prikazano na slici 10.11. Ulazna struja je data izrazom: iu (t ) = C dvu (t ) dt

Ista struja protie kroz otpornik R, pa se za izlazni napon dobija: vi (t ) = Riu (t ) = RC dvu (t ) dt

Dakle, izlazni napon je srazmeran prvom izvodu ulaznog napona, pa se ovo kolo naziva kolo za diferenciranje ili invertujui diferencijator
94

R C vu iu + vi

Slika 10.11: Invertujui diferencijator.

Isto kolo moe se posmatrati i u frekvencijskom domenu ako je pobuda sinusoidalna. Fazor ulazne struje dat je izrazom:
Iu = Vu = jCVu 1 jC

a fazor izlaznog napona: Vi = VR = RI u = jRCVu odnosno, kolo se ponaa kao idealni diferencijator i unosi fazni pomeraj od -90o.

95

11. Digitalna elektronska kola


Digitalna elektronska kola predstavljaju najee koriena kola u savremenoj elektronici jer se koriste ne samo u raunarima ve i u ureajima za komunikacije, upravljanje, u instrumentaciji, pa i u ureajima za domainstvo. Na njihovu rasprostranjenost najvie je uticala mogunost realizacije vrlo sloenih kola u integrisanoj tehnologiji to je dovelo do velikog sniavanja cene ureaja. Za proteklih etrdeset godina, broj komponenata u jednom digitalnom integrisanom kolu se udvostruavao svake godine, tako da najsloenija savremena digitalna kola imaju nekoliko desetina miliona tranzistora. Istovremeno se poveavala i radna uestanost tranzistora, tako da najbra savremena digitalna kola rade na taktu od nekoliko GHz. Ovaj trend poveanja broja komponenata u integrisanom kolu i poveanja radne uestanosti se nastavlja i sigurno e trajati narednih desetak godina. Da bi se mogao pratiti ovaj brzi razvoj, potrebno je imati osnovno razumevanje funkcionisanja kola savremene digitalne elektronike, bez obzira na to da li e se neko baviti samim projektovanjem kola ili projektovanjem sloenih tehnolokih sistema. Zbog toga e u narednom izlaganju biti napravljen uvod u digitalna kola i njihovu primenu, sa posebnim naglaskom na MOSFET realizacije.
11.1 Analogni i digitalni signali i kola

Uobiajeni termin za signal koji je kontinualan u vremenu i po amplitudi je analogni signal. Kola koja operiu sa analognim elektrinim signalima kao to su pojaavai, sinusoidalni oscilatori, aktivni filtri, ... , su analogna kola. Jednu vanu klasu analognih signala predstavljaju impulsni signali. Naime, brzina promene analognih signala teorijski nije ograniena. Impulsni signali imaju osobinu da se mogu naglo menjati. U idealnom sluaju ta promena moe biti obavljena u beskonano kratkom vremenskom intervalu. U praksi, brzina promene ograniena je brzinom prelaznih procesa kod komponenata kola. Dakle, impulsni signali su kontinualni u vremenu, ali im se amplituda moe naglo menjati, pa signal u nekim sluajevima ne moe imati bilo koju amplitudu iz dozvoljenog intervala. Primeri impulsnih signala su periodine ili aperiodine povorke pravougaonih, testerastih ili trougaonih impulsa, razne stepenaste funkcije, itd. Kola koja generiu ili obrauju impulsne signale su impulsna kola. Najvanije klase impulsnih kola su multivibratori (generatori impulsa i povorki impulsa), flipflopovi, komparatori, tajmeri, generatori linearnih napona i struja, itd. Digitalni signali su jedna ua klasa impulsnih signala koji imaju mali broj dozvoljenih amplitudskih nivoa. Najee se koriste binarni digitalni signali, gde su definisana samo dva razliita naponska nivoa. ta vie, zbog neizbenih tolerancija komponenata i napona napajanja, obino se umesto naponskih nivoa definiu naponski opsezi koji se interpretiraju kao logika jedinica i logika nula kao na slici 11.1. Naponski opsezi koji definiu logiku nulu i logiku jedinicu razdvojeni su prelaznom zonom u kojoj se nalaze signali koji ne predstavljaju ni logiku nulu ni logiku jedinicu, pa prema tome nisu dozvoljeni u normalnom radu digitalnog kola. Na slici 11.1 nivo (opseg) logike jedinice vii je od nivoa logike nule. Takav sistem se naziva pozitivna logika. Naravno, mogue je logikom jedinicom oznaiti nii nivo, a logikom nulom vii nivo, ime se dobija negativna logika. Danas je sistem pozitivne logike dominantan u praktinoj upotrebi.

96

Slika 11.1 Definicija binarnih logikih promenljivih.

Elektronska kola koja obrauju binarne digitalne signale su digitalna kola. Ona su, kao i analogna kola, sastavljena od aktivnih elemenata (tranzistora) i pasivnih elemenata (otpornika i, vrlo retko, kondenzatora). Za razliku od analognih kola, koja se esto izrauju i u diskretnoj tehnologiji, digitalna kola se danas iskljuivo prave u tehnologiji integrisanih kola. Treba rei da su digitalna kola koriena dosta pre integrisane, pa i tranzistorske tehnologije. S obzirom da su osnove binarne, odnosno logike algebre, postavljene jo poetkom prolog veka, prvi elektrini elementi koji su korieni za realizaciju digitalnih kola bili su kontrolisani prekidai, ili relea. Sa pojavom elektronskih cevi napravljena su prva impulsna i digitalna kola, koja su omoguila veu brzinu rada. Prvi digitalni raunar, napravljen poetkom pedesetih godina, imao je sve digitalne elemente realizovane pomou elektronskih cevi. Sa pojavom tranzistora digitalna kola se minijaturizuju i postaju bra. Glavni napredak u razvoju digitalnih kola doao je posle pronalaska tehnologije integrisanih kola, koja je omoguila smanjenje dimenzija i cene, uz istovremeno poveanje brzine i kompleksnosti digitalnih kola. Digitalna kola se prema nainu formiranja izlaznog signala dele na kombinaciona (logika) i sekvencijalna kola. Kod kombinacionih digitalnih kola signal na izlazu kola zavisi samo od trenutnih vrednosti ulaznih signala. Kod sekvencijalnih kola stanje na izlazu zavisi od trenutnog stanja na ulazima, ali i od prethodnih stanja na ulazima. Sekvencijalna kola se dalje dele na sinhrona i asinhrona. Kod sinhronih kola se sve promene deavaju istovremeno pod dejstvom kontrolnog signala, takta. Kod asinhronih kola promene se mogu deavati u proizvoljnom trenutku i odreene su samo osobinama upotrebljenih elemenata i vremenom pojavljivanja pobude.
11.2 Logike funkcije idealnih logikih kola i Bulova algebra

U prethodnom poglavlju definisani su binarni digitalni signali koji su predstavljeni sa dva naponska, odnosno logika, nivoa. Nad takvim signalima mogu se izvoditi razne operacije koje se nazivaju logike operacije ili logike funkcije. Ovaj naziv potie iz matematike discipline koja se naziva matematika logika, a vodi poreklo jo od grkih filozofa koji su rezultate logikog razmiljanja iskazivali sa dva iskaza: tano i pogreno. Kasnije, poetkom 19. veka, engleski matematiar Dord Bul matematiki je formalizovao zakone logikog rasuivanja i uveo tzv. prekidaku ili Bulovu algebru. Iskazi tano i pogreno u Bulovoj algebri zamenjeni su zbog jednostavnosti prikazivanja sa logikom nulom i logikom jedinicom, odnosno, cifarskim simbolima 0 i 1.

97

U Bulovoj algebri definisane su tri osnovne operacije nad logikim promenljivama. To su I operacija (engl. AND), koja se oznaava simbolom , ILI operacija (engl. OR), koja se oznaava simbolom + i NE operacija (engl. NOT) ili komplementiranje, koja se oznaava crticom iznad simbola promenljive . I i ILI operacija se izvode nad najmanje dve promenljive, dok je NE operacija unarna, tj. izvodi se nad jednom promenljivom.
11.2.1 I operacija (logiko mnoenje)

Posmatrajmo prvo I funkciju dve logike promenljive A i B. Rezultat I operacije najee se prikazuje u vidu tzv. kombinacione tablice ili tablice istinitosti koja je prikazana na sl. 11.2. Na istoj slici prikazan je i najee korieni grafiki simbol za predstavljanje I operacije.
A 0 0 1 1 B 0 1 0 1 Y 0 0 0 1

A B

Slika 11.2 Kombinaciona tablica i grafiki simbol za I operaciju.

Kao to se vidi, osnovna osobina I operacije nad dve promenljive je da se kao rezultat dobija logika jedinica, ako i samo ako obe promenljive imaju vrednost logike jedinice. Zato se ponekad I operacija naziva i logiko mnoenje ili konjunkcija. Kolo koje realizuje I operaciju naziva se I (AND) kolo.
11.2.2 ILI operacija (logiko sabiranje)

ILI operacija nad dve logike promenljive A i B prikazana je kombinacionom tablicom na sl. 11.3. Na istoj slici prikazan je i najee korieni grafiki simbol za predstavljanje ILI operacije. Vidi se da se kao rezultat dobija logika jedinica ako bar jedna promenljiva ima vrednost logike jedinice. Zato se ponekad ILI operacija naziva i logiko sabiranje ili disjunkcija. Kolo koje realizuje ILI operaciju naziva se ILI (OR) kolo.
A 0 0 1 1 B 0 1 0 1 Y 0 1 1 1

A B

Slika 11.3 Kombinaciona tablica i grafiki simbol za ILI operaciju.

11.2.3 NE operacija (komplementiranje)

Za razliku od I i ILI operacija, NE operacija se definie nad jednom logikom promenljivom ili izrazom. Kombinaciona tablica za NE operaciju i grafiki simbol za predstavljanje kola koje obavlja NE operaciju prikazani su na sl. 11.4. Ve je reeno da se esto NE operacija naziva i komplementiranje ili negacija. Kolo koje realizuje NE operaciju naziva se NE kolo, ili jo ee, invertor.

98

A 0 1

Y 1 0

Slika 11.4 Kombinaciona tablica i grafiki simbol za NE operaciju.

11.2.4 Pravila Bulove algebre

Na osnovu definicionih relacija (postulata) za tri osnovne operacije, u Bulovoj algebri moe se izvesti niz identiteta, zakona i teorema. Neki od tih identiteta, zakona i teorema su identini zakonima uobiajene linearne algebre, ali su neki razliiti, pa ak i neuobiajeni. Primena identiteta, zakona i teorema najvie se ogleda u uproavanju sloenih logikih izraza, i u formiranju kola eljene strukture.
11.2.4.1 Identiteti Bulove algebre

Identiteti Bulove algebre se vrlo esto primenjuju u uproavanju logikih funkcija. Identiteti se vrlo lako mogu dokazati korienjem definicionih kombinacionih tablica za tri osnovne operacije i formiranjem kombinacione tablice za levu i desnu stranu identiteta, ali je veina njih oigledna i ne treba ih dokazivati. Meu identitetima najvaniji su: 1. Operacije sa logikom nulom:
0 A = 0 0+ A = A

2. Operacije sa logikom jedinicom:


1 A = A 1+ A = 1

3. Operacije sa istovetnim vrednostima:


A A = A A+ A = A

4. Operacije sa komplementiranim vrednostima:


A A = 0
A+ A =1

11.2.4.2 Zakoni Bulove algebre

Meu zakonima Bulove algebre najvaniji su: 1. Zakon komutacije:


A+ B = B+ A

99

A B = B A

2. Zakon asocijacije:
A + ( B + C) = ( A + B) + C A ( B C) = ( A B) C

3. Zakon distribucije:
A ( B + C) = A B + A C

A + B C = ( A + B) ( A + C)

4. Zakon absorpcije:
A + A B = A A ( A + B) = A

A+ A B = A+ B
A ( A + B) = A B

( A B) + ( A B ) = A ( A + B) ( A + B ) = A

Svi ovi zakoni mogu se lako dokazati direktnom primenom definicionih relacija za tri osnovne operacije, odnosno ispisivanjem kombinacionih tabela za obe strane jednakosti.
11.2.4.3 Teoreme Bulove algebre

Osim navedenih zakona vrlo vanu ulogu u Bulovoj algebri imaju tzv. De Morganove teoreme:
A+ B = A B A B = A + B

koje se lako mogu dokazati ispisivanjem kombinacionih tablica za leve i desne strane jednakosti. Kombinacijom tri osnovne logike operacije mogu se dobiti jo neke vrlo vane i korisne logike operacije. Kombinacijom I i NE operacije dobija se NI (engl. NAND) operacija, a kombinacijom ILI i NE operacije dobija se NILI (engl. NOR) operacija. Osim njih praktinu primenu imaju jo i operacija iskljuivo-ILI i operacija koincidencije.
11.2.5 NI operacija

Ve je reeno da se NI operacija dobija kombinacijom I i NE operacije. Prema tome, kombinaciona tablica za NI operaciju dobija se tako to se u kombinacionoj tablici za I operaciju sa sl. 11.2 komplementira izlazna kolona. Rezultat je prikazan na slici 11.5. Na istoj slici je prikazan i grafiki simbol za NI operaciju koji je takoe kombinacija simbola za I i NE operaciju. Kolo koje realizuje NI operaciju naziva se NI (NAND) kolo.

100

A 0 0 1 1

B 0 1 0 1

Y 1 1 1 0

A B

Slika 11.5 Kombinaciona tablica i grafiki simbol za NI operaciju.

U Bulovoj algebri se moe definisati tzv. potpun skup operacija. To je skup operacija pomou kojih se moe iskazati bilo koja logika funkcija. Pokazano je da takav potpun skup ine I i NE odnosno ILI i NE operacije. Dakle, NI operacija takoe ini potpun skup operacija, odnosno, proizvoljna logika funkcija se moe izraziti samo pomou NI operacije. Ova injenica daje veliku vanost NI operaciji.
11.2.6 NILI operacija

NILI operacija dobijena je komplementiranjem rezultata ILI operacije. Kombinaciona tablica i grafiki simbol za NILI operaciju prikazani su na slici 11.6. Treba rei da i NILI operacija predstavlja potpun skup za realizaciju logikih funkcija. Kolo koje realizuje NILI operaciju naziva se NILI (NOR) kolo.
A 0 0 1 1 B 0 1 0 1 Y 1 0 0 0

A B

Slika 11.6 Kombinaciona tablica i grafiki simbol za NILI operaciju.

11.2.7 Iskljuivo-ILI operacija

Iskljuivo-ILI operacija (engl. Exclusive-OR, EX-OR) razlikuje se od obine ILI operacije po tome to daje kao rezultat logiku nulu i u sluaju kada su obe promenljive logike jedinice. Kombinaciona tablica i grafiki simbol za iskljuivo-ILI operaciju prikazani su na slici 11.7. U jednainama se za oznaavanje iskljuivo-ILI operacije najee koristi simbol "". Na osnovu kombinacione tablice moe se napisati logika jednaina za iskljuivo-ILI funkciju:
Y = A B + A B = A B

Iskljuivo-ILI operaciju realizuje iskljuivo-ILI (EX-OR) kolo.


A 0 0 1 1 B 0 1 0 1 Y 0 1 1 0

A B

Slika 11.7 Kombinaciona tablica i simbol za iskljuivo-ILI operaciju.

101

11.2.8 Operacija koincidencije (iskljuivo-NILI)

Operacija koincidencije daje kao rezultat logiku jedinicu ako su obe promenljive identine. Na osnovu toga se moe napisati kombinaciona tabela koja je prikazana na slici 11.8. Na osnovu logike jednaine koja definie operaciju koincidencije:
Y = A B + A B = A B

vidi se da je rezultat ustvari komplement iskljuivo-ILI operacije. Zbog toga se operacija koincidencije esto naziva i iskljuivo-NILI operacija (engl. exclusive-NOR). Kolo koje realizuje iskljuivo-NILI operaciju naziva se iskljuivo-NILI (EX-NOR) kolo.
A 0 0 1 1 B 0 1 0 1 Y 1 0 0 1

A B

Slika 11.8 Kombinaciona tablica i simbol za iskljuivo-NILI operaciju.

11.2.9 Predstavljanje logikih funkcija

Ve je reeno da se logike funkcije mogu definisati nad proizvoljnim brojem promenljivih. Postavlja se pitanje koliko se razliitih funkcija moe definisati nad skupom od n promenljivih. Pre svega, kombinaciona tablica ima m = 2 n razliitih vrsta. Kako se za svaku kombinacionu tablicu sa m vrsta moe definisati 2 m razliitih kolona za izlaznu promenljivu, n broj razliitih logikih funkcija definisanih nad skupom od n promenljivih je 2 2 . Kao primer, za n = 2 moe se definisati 16 razliitih logikih funkcija. Logike funkcije mogu se predstaviti na nekoliko razliitih naina. Prvi nain predstavljanja je ve ranije korien kod definicije elementarnih logikih operacija a to je kombinaciona tablica. Ovaj nain nije pogodan ako je broj promenljivih veliki, zato to broj vrsta tablice raste kao stepen broja dva. Jedan od najeih naina predstavljanja je algebarski nain. Kod takvog prikaza se logika funkcija predstavlja u vidu izraza koji ine simboli promenljivih (literali) povezani simbolima I i ILI operacije. Ovaj nain je pogodan za bilo koji broj logikih promenljivih. Algebarski nain predstavljanja logikih funkcija obino se izvodi u vidu tzv. standardnih formi. Suma proizvoda predstavlja logiki zbir lanova koji su oblika logikih proizvoda. Ako logiki proizvodi sadre sve promenljive, takva standardna forma se naziva potpunom. Svaki takav potpuni logiki proizvod odgovara jednoj vrsti kombinacione tablice u kojoj logika funkcija ima vrednost 1. Ako se formira logiki proizvod lanova koji su oblika logikog zbira promenljivih, re je o tzv. proizvodu suma. Svaki potpuni logiki zbir odgovara jednoj vrsti kombinacione tablice u kojoj logika funkcija ima vrednost 0.
11.3 Karakteristike realnih logikih kola

Idealna logika kola realizuju neku, unapred predvienu, logiku funkciju. Binarni nivoi logike nule i logike jedinice na izlazu jednaki su nuli, odnosno naponu napajanja. Izlazna
102

impedansa idealnog logikog elementa jednaka je nuli, a ulazna beskonano velika. Prelaz izlaznog napona sa jednog na drugi nivo izvodi se naglo, pri ulaznom naponu jednakom polovini napona napajanja. Kao primer, na slici 11.9 prikazana je idealna karakteristika prenosa vi = f (vu ) jednog invertora.
Vi VDD

VDD/2

VDD

Vu

Slika 11.9 Idealna karakteristika prenosa invertora.

Vreme prelaza iz jednog u drugo logiko stanje je beskonano kratko, a idealni logiki element nema nikakvu potronju. Naravno, nijedna od ovih idealnih karakteristika ne moe biti zadovoljena u praksi, bez obzira na to o kakvoj se tehnologiji radi. Svako realno digitalno logiko kolo mora da bar priblino zadovolji neke osobine idealnih logikih elemenata. Pre svega to su: 1. Izlazni signal mora biti jednoznana, unapred definisana, funkcija ulaznih signala. Ta funkcija predstavlja logiku funkciju kola. 2. Karakteristika prenosa ulaz-izlaz mora biti jako nelinearna. Kao posledica toga normalni nivoi izlaznog napona koncentrisani su u dve uske oblasti, dva logika nivoa. Karakteristika prenosa u prelaznoj zoni izmeu ove dve oblasti trebalo bi da bude to strmija. 3. Prolaskom kroz logiko kolo nastaje regeneracija amplitudskih nivoa. 4. Logika kola treba da imaju osobinu unilateralnosti ili direktivnosti, tj. promene na izlazu ne bi trebalo da izazovu nikakve naknadne promene na ulazima istog kola. 5. Broj ulaznih prikljuaka logikog kola mora biti vei od jedan. Na izlazni prikljuak se moe prikljuiti vie od jednog ulaza. Polazei od osobina idealnog logikog elementa i poeljnih karakteristika realnih elemenata, izvedene su neke definicije osnovnih karakteristika realnih logikih elemenata koje slue kao mera njihovog kvaliteta.
11.3.1 Karakteristika prenosa

Karakteristika prenosa vi = f (vu ) realnog logikog kola samo aproksimira idealnu karakteristiku sa sl. 11.9. Na sl. 11.10 prikazana je tipina karakteristika prenosa realnog invertorskog kola. Uoavaju se dve bitne razlike izmeu idealne i realne karakteristike prenosa. Prvo, prelaz sa jednog na drugi logiki nivo nije jasno definisan, ve postoji prelazna zona izmeu stanja logike nule i logike jedinice. Drugo, nivo logike nule nije jednak 0 V, a nivo logike jedinice nije jednak naponu napajanja. Detaljnijim posmatranjem sl. 11.10, na karakteristici prenosa se mogu uoiti tri karakteristine oblasti. Prva je oblast niskih ulaznih napona gde je vu < VIL , vi VOH . Druga predstavlja prelaznu zonu gde je VIL < vu < VIH . Trea je oblast visokih ulaznih napona, gde je vu > VIH , vi VOL . Granini naponi na karakteristici prenosa VIL i VIH su definisani takama gde je apsolutna vrednost nagiba tangente na karakteristiku jednaka jedinici. U prelaznoj zoni nagib
103

karakteristike je znatno vei od jedinice i logiko kolo radi kao nelinearni pojaava. U toj se oblasti rada logiko kolo normalno nalazi samo tokom promene logikog stanja na izlazu. Dakle, napon VIL predstavlja maksimalni dozvoljeni napon na ulazu koji e se tretirati kao logika nula, odnosno to je maksimalni dozvoljeni napon logike nule na ulazu. Slino tome napon VIH predstavlja minimalni dozvoljeni napon logike jedinice na ulazu. Naponski nivo VOL predstavlja maksimalni nivo logike nule na izlazu, dok napon VOH predstavlja minimalni nivo logike jedinice na izlazu logikog kola.
Vi V OH
NAGIB = -1

OL

V IL

VIH

Vu

Slika 11.10 Realna karakteristika prenosa invertora.

Uobiajeno je da u sloenim digitalnim kolima izlaz jednog logikog kola pobuuje ulaze narednih logikih kola. Da bi ceo niz ispravno funkcionisao neophodno je da budu zadovoljeni uslovi VOL < VIL i VOH > VIH . Izvedena analiza karakteristike prenosa realnog invertora direktno se moe primeniti i na karakteristike NI i NILI kola. Neinvertorska logika kola, kao to su I i ILI kola, imaju karakteristiku prenosa sa pozitivnim nagibom tangente, za koju je sprovedena analiza sa malim izmenama isto tako primenljiva.
11.3.2 Margine uma

Pojam uma kod digitalnih kola nema isto znaenje kao kod analognih kola. Kod digitalnih kola um je neeljena promena napona vorova gde su bitni logiki nivoi, tzv. logikih vorova. Ako je amplituda uma na ulazu logikog kola mala, izlaz e biti ispravan, tj. nee postojati prostiranje uma kroz sistem kao kod analognih kola. Ako je pak amplituda neeljene promene na ulazu nekog logikog kola velika, ona moe izazvati logike greke. Pod pojmom margine uma podrazumeva se dozvoljena promena naponskog nivoa na ulazu logikog kola koja nee izazvati neeljenu promenu na izlazu. Kako amplituda dozvoljene promene nivoa zavisi i od logikog stanja na ulazu, postoje dve margine uma, za logiku jedinicu i logiku nulu. Sa sl. 11.11 moe se uoiti da je margina uma za logiku jedinicu :
NM 1 = VOH VIH

a za logiku nulu:
NM 0 = VIL VOL

104

vi VOH NM1

vu

VIH

VOL

NM0

VIL

Slika 11.11 Definicija margina uma za logiku nulu i logiku jedinicu.

Zbog neizbenih tolerancija u proizvodnji integrisanih kola, proizvoai obino specificiraju vrednosti etiri karakteristina napona za najgori sluaj: VOH , VIH , VOL i VIL . Takoe, poto je definisanje karakteristinih taaka preko nagiba tangente nepogodno za merenje, koriste se sledee praktine definicije etiri karakteristina napona:
VOH VIH VOL VIL

- minimalni izlazni napon kada je izlaz u stanju logike jedinice, - minimalni ulazni napon koji e logiko kolo prepoznati kao logiku jedinicu, - maksimalni izlazni napon kada je izlaz u stanju logike nule, - maksimalni ulazni napon koji e logiko kolo prepoznati kao logiku nulu.

11.3.3 Faktor grananja na izlazu i ulazu

Ulazna impedansa realnog logikog kola nikada nije beskonano velika, a izlazna impedansa nikada nije jednaka nuli. Zbog toga se prilikom sprezanja logikih kola, radi formiranja sloenijih digitalnih mrea, pojavljuje problem optereivanja izlaza. Faktor grananja na izlazu je broj ulaznih prikljuaka koji se mogu prikljuiti na izlaz, a da se ne narue dozvoljene varijacije logikih nivoa. Pri izraunavanju faktora grananja na izlazu moe se uoiti da sva kola ne optereuju podjednako prethodno kolo. Zato se u okviru svake familije logikih kola definie tzv. standardno optereenje pomou koga se odreuje uticaj svakog ulaza na izlaz prethodnog kola. Faktor grananja na ulazu predstavlja broj nezavisnih ulaznih prikljuaka. U veini sluajeva ogranien je samo praktinim razlozima, kao to su broj noica na kuitu, male potrebe za kolima sa velikim brojem ulaza i sl., ali se kod nekih familija logikih kola broj ulaza ograniava i zbog degradacije elektrinih karakteristika.
11.3.4 Dinamike karakteristike

Prelaz iz jednog u drugo logiko stanje ne moe se kod realnog logikog kola obaviti beskonano brzo. Razlozi za to su viestruki. Pre svega, u svakom kolu postoje kapaciteti na kojima se napon, kao to je poznato, ne moe trenutno promeniti, ve se takve promene vre po eksponencijalnom zakonu. Osim toga, struje kroz elemente su konane, a jaina struje je ograniena zahtevima za to manjom potronjom kola. Iz ovih razloga promena nivoa na izlazu logikog kola se obavlja za konano vreme i kasni za promenama nivoa na ulazu. Posmatrajmo
105

sluaj kada je pobudni signal logikog invertora idealizovan i predstavljen pravougaonom povorkom impulsa kao na slici 11.12. Izlazni signal realnog invertora imae tipini oblik koji je takoe prikazan na istoj slici. Na vremenskom dijagramu izlaznog signala se mogu uoiti karakteristini vremenski intervali koji definiu kanjenje odziva za pobudom.
V ul > V IH T

< V IL t V VOH
5O%

izl

t pHL

t pLH

VOL T t

Slika 11.12 Odziv realnog invertora na idealizovanu pobudu.

Vreme kanjenja opadajue ivice t pHL predstavlja vreme za koje opadajua ivica izlaznog

signala kasni za pobudom koja ju je izazvala. Definie se kao vreme izmeu trenutka promene ulaznog signala i trenutka kada se izlazni signal promeni za 50% logike amplitude VOH VOL . Vreme kanjenja rastue ivice t pLH predstavlja vreme izmeu trenutka promene ulaznog signala i trenutka kada izlazni signal poraste za 50% logike amplitude. Vremena kanjenja rastue i opadajue ivice ne moraju biti, i najee nisu ista, to zavisi od konstrukcije logikog kola. esto se, radi jednostavnosti izraunavanja uticaja kanjenja na rad kola definie i tzv. vreme kanjenja t p (t d ) koje predstavlja aritmetiku sredinu vremena kanjenja rastue i opadajue ivice signala na izlazu. Moe se primetiti da slika 11.12 predstavlja malo idealizovanu situaciju jer je pobudni signal povorka pravougaonih impulsa sa idealnim rastuim i opadajuim ivicama. Kako se pobuda takoe generie u nekom realnom elektronskom kolu, ulazni impuls mora imati ivice konanog trajanja, pa je izraunavanje vremena kanjenja neto komplikovanije.
11.3.5 Disipacija (potronja) logikog kola i proizvod snage i kanjenja

Svako realno logiko kolo mora imati neku potronju. Meutim, disipaciju kola nije uvek lako odrediti jer e se kolo, zavisno od logikog stanja, nalaziti u razliitim uslovima rada. Stoga se obino uzima da se kolo pri definiciji disipacije pobuuje povorkom pravougaonih impulsa sa jednakim trajanjem impulsa i pauze, tako da je struja izvora za napajanje aritmetika sredina struja u oba logika stanja. Tada je prosena snaga disipacije:
PD = VCC ( I CC min + I CC max ) 2

106

Za neka logika kola prosena snaga disipacije zavisi i od uestanosti promena stanja. U tom sluaju mora se uvek navesti pri kakvim je uslovima izraunata ili izmerena snaga disipacije. Snaga disipacije logikih kola je obino povezana sa maksimalnom moguom brzinom rada kola. Naime, kola sa veom brzinom rade sa veim strujama, pa se kod njih parazitne kapacitivnosti bre pune i prazne, ili tranzistori rade u takvom radnom reimu kada je disipacija vea. Zbog toga se pri projektovanju logikih kola uvek pravi neki kompromis izmeu brzine i potronje. Kao mera kvaliteta takvog kompromisa obino se definie proizvod snage i kanjenja, PDP (Power-Delay Product), izraen u jedinicama Ws = J, kao:
PDP = PD t p

a koji u stvari predstavlja energiju koju logiko kolo utroi tokom prelaza sa nule na jedinicu i obratno. Kompromis je bolji ako je PDP manji. Savremena logika kola imaju PDP reda pJ, jer su tipine vrednosti kanjenja reda ns, a tipine vrednosti snage disipacije reda mW.
11.4 Realizacija invertora sa MOS tranzistorima

Najprostije logiko kolo u MOS tehnologiji je invertor. Pored toga to obavlja jednu od osnovnih logikih operacija, komplementiranje, kolo invertora predstavlja osnovu za formiranje sloenijih logikih kola. Iako invertor u osnovnoj konfiguraciji predstavlja najobiniji stepen sa zajednikim emitorom, u praktinim realizacijama se uvek izbegava upotreba otpornika koji se zamenjuju tranzistorima. Od brojnih realizacija invertora, ovde emo prouiti samo invertor sa komplementarnim MOS tranzistorima, ili CMOS invertor, zbog njegovih odlinih karakteristika. CMOS invertor, prikazan na slici 11.13, se sastoji od dva MOS tranzistora sa indukovanim kanalom. Jedan od tranzistora ima kanal n tipa, dok drugi tranzistor ima kanal p tipa. Kod svakog od tranzistora osnova je spojena na sors tako da nema uticaja efekta podloge.
VDD TP vul TN vi

Slika 11.13 CMOS invertor.

Kada je na ulazu nizak napon, NMOS tranzistor ne moe da provodi jer je Vul = VGSN < VtN , a PMOS tranzistor provodi u linearnom reimu jer je VGSP = Vul VDD > VtP . Struja PMOS tranzistora je vrlo mala, jer je jednaka sa strujom curenja zakoenog NMOS tranzistora, pa je izlazni napon je praktino jednak naponu napajanja. Dakle, napon logike jedinice na izlazu CMOS invertora je:
VOH = VDD

107

Kada je na ulazu visok napon, blizak naponu napajanja, NMOS tranzistor provodi u linearnom reimu, jer je Vul = VGSN > VtN , a PMOS tranzistor je zakoen, jer je
VGSP = Vul VDD < VtP . Struja kroz invertor je mala, a izlazni napon je praktino nula (tipino

manji od 10 mV). Dakle, napon logike nule na izlazu CMOS invertora je:
VOL = 0 V

Poto je u oba logika stanja jedan od tranzistora zakoen, struja izvora za napajanje u stabilnim logikim stanjima je infinitezimalno mala. Zbog toga je statika disipacija CMOS invertora reda nekoliko nW. I pored izuzetno male statike radne struje, CMOS invertor ima znaajan izlazni strujni kapacitet jer provodni tranzistor moe da primi ili da preda znatnu struju otpornom ili kapacitivnom optereenju vezanom na izlaz. To znai da e faktor grananja na izlazu biti veliki i da e dinamike karakteristike biti dobre.
VDD rDSP SP vi SN rDSN

Slika 11.14 Modelovanje CMOS invertora sa dva komplementarna prekidaa.

Rad invertora se moe najprostije objasniti kolom sa dva prekidaa, koji se naizmenino ukljuuju i iskljuuju, kao to je to prikazano na slici 11.14. Kao to se vidi, svaki tranzistor je modelovan malim ali konanim otpornikom, ija je otpornost jednaka otpornosti sors-drejn odgovarajueg tranzistora, koja je izraunata za rad u linearnom reimu pri naponu | vDS | 0 , odnosno:
rDSN =

1 WN 2k n (VDD VtN ) LN 1 WP 2k p (VDD VtP ) LP

rDSP =

11.4.1 Karakteristika prenosa

Za odreivanje karakteristike prenosa mogu se koristiti jednaine za struju drejna NMOS i PMOS tranzistora, koje u sluaju neoptereenog invertora moraju biti jednake. Poveavajui ulazni napon od nule, NMOS tranzistor poinje da provodi pri ulaznom naponu koji je jednak prekidnom naponu NMOS tranzistora, VtN . Tada NMOS tranzistor radi u
108

reimu zasienja, dok je PMOS tranzistor u linearnom reimu. Izjednaujui struje kroz NMOS i PMOS tranzistor dobija se jednaina:
W W k n (vu VtN ) 2 = k p 2(VDD vu VtP )(VDD vi ) (VDD vi ) 2 L N L P

ijim se diferenciranjem po vu dobija:


dv W W k n (vu VtN ) = k p (vi VDD ) + ( VtP + vu vi ) i dvu L N L P

Uvoenjem geometrijskog faktora:


W kn L N KR = W kp L P

i zamenom vu = VIL , vi = VOH , dvi dvu = 1 , iz prethodne dve jednaine se dobija sistem jednaina:
K R (VIL VtN ) 2 = 2(VDD VIL VtP )(VDD VOH ) (VDD VOH ) 2 K R (VIL VtN ) = 2VOH VDD VIL VtP

Iz druge jednaine sistema se dobija:


VOH =

(1 + K R )VIL + VDD + VtP K RVtN 2

Posebno je interesantan sluaj uparenih tranzistora kada je VtN = VtP i K R = 1 , ime se obezbeuje isti strujni kapacitet izlaza u oba logika stanja. Poto je zbog vee pokretljivosti elektrona k n 2.5k p , za zadovoljenje uslova K R = 1 odnos W L PMOS i NMOS tranzistora treba da budu (W L) P = 2.5(W L) N . Tada se poslednja jednaina uproava i postaje:
VOH =

2VIL + VDD 2

pa se iz prve jednaine za apscisu prelomne take na karakteristici prenosa VIL konano dobija: 1 VIL = (3VDD + 2Vt ) 8 Koordinate druge prelomne take na karakteristici prenosa mogu se nai na slian nain. Kada je ulazni napon VIH , izlazni napon je dovoljno nizak tako da se moe smatrati da NMOS tranzistor radi u linearnom reimu, a PMOS u zasienju. Izjednaavanjem struja oba tranzistora dobija se jednaina:
109

W W k n 2(vu VtN )vi vi2 = k p (VDD vu VtP ) 2 L N L P Diferenciranjem ove jednaine po vu dobija se:
dv W W k n (vu VtN vi ) i + vi = k p (VDD vu VtP ) dvu L N L P

Zamenom vu = VIH , vi = VOL , dvi dvu = 1 u prethodne dve jednaine, dobija se sistem jednaina:
2 K R 2(VIH VtN )VOL VOL = (VDD VIH VtP ) 2

K R (VIH + VtN + 2VOL ) = (VDD VIH VtP ) ijim se reavanjem dobijaju vrednosti za VIH i VOL . Iz druge jednaine se dobija izlazni napon: VOL = (1 + K R )VIH VDD + VtP K RVtN 2K R

koji se u sluaju uparenih tranzistora redukuje na: VOL = 2VIH VDD 2

pa se za apscisu prelomne take na karakteristici prenosa VIH konano dobija: 1 VIH = (5VDD 2Vt ) 8
Vi

VOH = VDD

C D V IL V IH V DD - V TP V DD Vu

V OL = 0

Slika 11.15 Karakteristika prenosa CMOS invertora.

110

Sada se mogu odrediti margine uma CMOS invertora sa uparenim tranzistorima: 1 NM 0 = VIL VOL = (3VDD + 2Vt ) 8 1 NM 1 = VOH VIH = (3VDD + 2Vt ) 8 Dakle, margine uma su iste, to je posledica uparenosti karakteristika tranzistora. Naravno, ako tranzistori nisu upareni, karakteristika prenosa nee biti simetrina i margine uma nee biti iste. Na karakteristici prenosa, koja je prikazana na slici 11.15, postoji jo jedna interesantna oblast. To je segment izmeu taaka B i C. U toj radnoj oblasti oba tranzistora rade u zasienju, pa je karakteristika prenosa vertikalna, a pojaanje invertora teorijski beskonano. Ulazni napon za koji je karakteristika prenosa vertikalna dobija se reavanjem jednaine:
W W k n (vu VtN ) 2 = k p (VDD vu VtP ) 2 L N L P

ije je reenje: vu = odnosno, u sluaju uparenih tranzistora: vu = VDD 2 U oblasti BC, vrednost izlaznog napona ograniena je nejednainama:
vu VtN vi vu + VtP

VDD VtP + VtN K R 1+ KR

odakle se smenom vrednosti za vu dobija: VDD VtP VtN 1+ KR odnosno, u sluaju uparenih tranzistora: VDD 2 Vt vi VDD 2 + Vt
11.4.2 Dinamike karakteristike

vi

VDD + ( VtP + VtN ) K R 1+ KR

Tana analiza dinamikih karakteristika CMOS invertora moe se izvesti samo uz pomo raunarskih programa. Za aproksimativnu analizu potrebno je uvesti i odreene uproavajue pretpostavke. Pored ve uobiajene pretpostavke o uparenosti NMOS i PMOS tranzistora, esto se koristi i pretpostavka o koncentrisanju svih kapacitivnosti u izlazni vor.
111

Kod savremenih CMOS kola, kod kojih je uobiajeno Vt = 0.2VDD , vreme kanjenja opadajue ivice izlaznog signala je dato izrazom:
t pHL = 0.8CT W k n VDD L N

gde je CT ukupna parazitna kapacitivnost na izlazu. Vreme kanjenja rastue ivice izlaznog signala je dato slinim izrazom:
t pLH = 0.8CT W k p VDD L P

Ako su tranzistori upareni, vremena kanjenja rastue i opadajue ivice su ista.


11.4.3 Disipacija CMOS kola

Kod CMOS invertora, kao i kod sloenijih CMOS kola, postoje etiri uzroka za disipaciju kola. To su: struja curenja, kapacitivnost optereenja, interne kapacitivnosti i prelazna stanja. Dispacija usled struje curenja predstavlja statiku disipaciju koja je ustvari proizvod napona napajanja VDD i struje curenja. Statika disipacija CMOS kola je reda W. Mnogo vanija su ostala tri uzroka disipacije koji se javljaju samo prilikom promene logikih stanja i koji su poznati pod zajednikim nazivom dinamika disipacija. Kada se invertor koji je optereen kapacitivnim optereenjem C p pobuuje povorkom impulsa sa jednakim trajanjem impulsa i pauze, energija koja se predaje kondenzatoru u toku jedne poluperiode, a 2 zatim disipira na tranzistoru iznosi C pVDD 2 . Srednja disipacija CMOS invertora je onda:
2 PD1 = f C pVDD

Postojanje parazitnih kapacitivnosti samih tranzistora takoe izaziva potronju energije tokom promene stanja, koja se moe opisati istim izrazom kao za PD1 ako se C p zameni sa parazitnim kapacitetom CT :
2 PD 2 = f CT VDD

Najtee je analitiki opisati disipaciju CMOS kola kada CMOS kolo prelazi iz jednog stanja u drugo, a radna taka prolazi kroz oblast u kojoj su oba tranzistora provodna. Disipacija CMOS kola usled prelaznog reima je priblino data izrazom: PD 3 = 0.5 f (VDD 2VT ) I DD max (t LH + t HL ) gde je I DD max maksimalna nekapacitivna struja tokom promene stanja. Poto sva tri izraza za dinamiku disipaciju pokazuju linearnu zavisnost disipacije od uestanosti f, u praksi je uobiajeno da se dinamika disipacija prikazuje izrazom:

112

2 PD = f (C p + C pD )VDD

gde je C pD ekvivalentna kapacitivnost, kojom se aproksimiraju teko merljivi uticaji dispacije usled parazitnih kapacitivnosti i promene stanja. Iz prethodnih izraza sledi:
2 C pD = CT + 0.5(VDD 2VT ) I DD max (t LH + t HL ) VDD

Kapacitivnost C pD se obino odreuje eksperimentalno, merenjem disipacije kola bez optereenja. Interesantno je da se metod izraavanja dinamike disipacije pomou izraza za PD moe generalizovati i primenjivati ak kod vrlo sloenih CMOS kola. Tipine vrednosti kapacitivnosti C pD su reda 10 - 30 pF, to zavisi od sloenosti i karakteristika CMOS kola. Tipina vrednost proizvoda snage i kanjenja CMOS kola niskog stepena integracije je oko 10 pJ. Interesantno je primetiti da kod CMOS kola parametar PDP linearno zavisi od uestanosti promena logikih stanja. Kod sloenih logikih mrea samo mali broj logikih kola menja stanje u jednom takt ciklusu. Uzimajui ovu injenicu u obzir, moe se zakljuiti da CMOS kola visokog stepena integracije imaju znatno manju prosenu disipaciju po logikom kolu. Kod CMOS kola u VLSI tehnici, parametar PDP moe biti i manji od 1 pJ ak i pri uestanostima od nekoliko desetina MHz.

11.5

Logika kola sa MOS tranzistorima

CMOS logika kola dobijaju se proirivanjem osnovnog invertorskog kola sa slike 11.13. Na slici 11.16 su prikazana su CMOS NILI i NI kola sa dva ulaza. NILI kolo dobijeno je dodavanjem paralelnog n-kanalnog tranzistora T3 i serijskog p-kanalnog tranzistora T4 . Za svaki dodatni ulaz dodaju se dva komplementarna tranzistora. Formiranje NI kola je dualan proces. Za svaki ulazni prikljuak dodaje se serijski n-kanalni tranzistor i paralelni p-kanalni tranzistor.
VDD
W/L = 5 W/L = 10

VDD
W/L = 5

W/L = 10

F A F
W/L = 4

B
W/L = 2 W/L = 2

W/L = 4

(a)

(b)

Slika 11.16 CMOS logika kola: a) NILI kolo, b) NI kolo.

Rad kola sa slike 11.16 je jednostavno objasniti. Izlaz NILI kola bie na visokom nivou samo ako su oba ulaza na niskom nivou. Dakle, imamo:
Y = A B = A+ B

to je zaista logika funkcija NILI kola. Nasuprot tome, izlaz NI kola bie na niskom nivou jedino ako su oba ulaza na visokom nivou. Na osnovu toga se moe napisati logika jednaina:
113

Y = A + B = A B

koja predstavlja jednainu NI kola. Neinvertorska (ILI ili I) kola se mogu formirati vezivanjem dodatnog invertora iza invertorskih (NILI ili NI) kola. Statike karakteristike CMOS logikih kola su vrlo sline statikim karakteristikama CMOS invertora. Dinamike karakteristike zavise u velikoj meri od odnosa W L PMOS i NMOS tranzistora. Kako je k n = 2.5k p , da bi vremena kanjenja rastue i opadajue ivice bila ista potrebno je da bude:
W W = 2 .5 N L P L N

kod NILI kola, a kod NI kola treba da bude zadovoljen uslov:


2 .5 W W = N L N L P

gde je N broj ulaza u logiko kolo.

11.6

Bistabilna kola

Logika kola pripadaju klasi kombinacionih kola, ije stanje na izlazu zavisi samo od trenutnog stanja ulaznih prikljuaka. Osim kombinacionih kola, u digitalnoj elektronici se koriste i sekvencijalna kola, kod kojih stanje na izlazu zavisi od trenutnog stanja na ulazu ali i od prethodnih stanja na ulazu, ili, drukije reeno, od sekvence (redosleda) ulaznih signala. Sekvencijalna kola moraju sadrati elemente koji imaju sposobnost pamenja (memorisanja) stanja. Jedan takav element mora imati bar dva stabilna stanja iz kojih moe izai samo pod dejstvom pobudnog signala. Zbog jednostavnosti realizacije, u digitalnoj elektronici se koriste elementi sa samo dva stabilna stanja, koji se nazivaju bistabilna kola. Rad svih bistabilnih kola zasnovan je na korienju pozitivne povratne sprege ili regeneracije. Posmatrajmo jednostavno kolo sa slike 11.17a, koje se sastoji od dva invertora vezana na red. Karakteristike prenosa koje prikazuju izlazne napone oba invertora u funkciji ulaznog napona vu prikazane su na slici 11.17b.
Vu V i1 V i2 V i1 , V i2 V i2 V i2
C B

Vi2 = V u Vi2 = f(V u )

V i1 (b) Vu

(a)

(c)

Vu

Slika 11.17 a) Serijska veza dva invertora, b) izlazni naponi invertora u funkciji ulaznog napona, c) odreivanje radnih taaka bistabilnog kola.

Sa slike 11.17b se vidi da je napon na izlazu vi 2 u fazi sa naponom na ulazu. Ako bi se izlaz drugog invertora vezao na ulaz prvog, tada bi bilo vi 2 = vu . Ova linearna veza prikazana je
114

na slici 11.17c zajedno sa karakteristikom vi 2 = f (vu ) . Sistem jednaina vi 2 = f (vu ) , vi 2 = vu ima tri reenja koja su na slici oznaena sa A, B i C. U takama A i B pojaanje bar jednog od invertora je nula, a to znai da je kruno pojaanje u petlji pozitivne povratne sprege takoe jednako nuli. Nasuprot tome, u taki C oba invertora rade u pojaavakom reimu, jer se taka C nalazi u prelaznoj zoni karakteristike prenosa. Kruno pojaanje je veliko i pozitivno. Vrlo mala promena napona u nekom voru koji je obuhvaen petljom krunog pojaanja izazvae dalje pojaanje (regeneraciju) te promene, to na kraju rezultuje ulaskom jednog invertora u stanje logike jedinice na izlazu, a drugog u stanje logike nule na izlazu. Dakle, vrlo mala promena napona vi 2 = vu izazvae, zavisno od svog polariteta, prelaz iz radne take C u taku A ili B. Zato se za radne take A i B kae da su stabilne, a za taku C da je nestabilna ili metastabilna. Da bi se bistabilno kolo izvelo iz stabilnog stanja, mora se dovesti u reim kada je kruno pojaanje vee od 1 da bi se stvorio regenerativni efekat. Potrebno je, dakle, dovesti invertore u pojaavaki reim. To se moe ostvariti dovoenjem pobudnog (okidnog) (engl. trigger) impulsa u kolo. Da bi obezbedio promenu stanja pobudni impuls mora imati odgovarajui polaritet, dovoljnu amplitudu i dovoljno trajanje. U principu se okidni impuls moe uneti bilo gde u petlju povratne sprege, ali je, iz praktinih razloga, najjednostavnije umesto invertora upotrebiti dvoulazna NI ili NILI logika kola i pobudni impuls dovesti na slobodni ulaz kola. Dakle, bistabilna kola imaju dva stabilna stanja u kojima ostaju nedefinisano dugo do dovoenja odgovarajue pobude. Postoje dve vrste bistabilnih okidnih kola. Kod kola prve vrste, koja se nazivaju le kola (engl. latch) ili transparentna kola, izlaz stalno prati promene na ulazima dok se ne dovede pobudni signal koji zamrzava stanje na izlazu. Kod kola druge vrste, koja se nazivaju flipflopovi, stanje na izlazu se menja samo posle dovoenja odgovarajue ivice pobudnog signala i posle toga se ne menja. Uu literaturi i u katalozima vrlo esto se ne pravi razlika izmeu ove dve klase bistabilnih okidnih kola, pa se kola iz obe vrste nazivaju flipflopovima.
11.6.1 SR le

Na slici 11.18a je prikazano bistabilno kolo realizovano sa NILI logikim kolima koje se naziva SR le kolo. Slobodni ulazi logikih kola oznaeni su sa S i R, a izlazi sa Q i Q jer moraju biti komplementarni. Kada su izlazni nivoi Q = 1 i Q = 0 , kae se da je le kolo setovano, dok se za sluaj kada je Q = 0 i Q = 1 kae da je le kolo resetovano. Na slici 11.18b je prikazan grafiki simbol za SR le kolo.
S Q S R R (a) Q (b) Q Q

Slika 11.18: SR le kolo sa NILI kolima, a) ema kola, b) Grafiki simbol.

Iz kombinacione tabele NILI kola, se vidi da se dovoenjem kombinacije S = 1 , R = 0 na ulaze kola, izlazi postavljaju u novo stanje Q = 1 , Q = 0 . Kae se da je SR le kolo setovano. Dovoenjem kombinacije S = 0 , R = 1 , izlazi se postavljaju u novo stanje Q = 0 , Q = 1 , odnosno, le kolo je resetovano. Poto se postavljanje eljenog stanja vri dovoenjem logike
115

jedinice na odgovarajui ulaz, kae se da se ulazi aktiviraju visokim nivoom ili da je na ulazu aktivni nivo visok. Kada se na ulazu nalazi kombinacija S = R = 0 , na izlazu se ne deava nikakva promena, jer su oba ulazna signala na neaktivnom nivou. Nasuprot tome, ako se na ulazima pojavi kombinacija S = R = 1 , oba izlaza e se nalaziti u stanju logike nule i nee biti komplementarni. Posle prelaska pobude S = R = 1 u stanje S = R = 0 , stanje na izlazu se ne moe predvideti jer zavisi od toga koji e se ulazni signal prvi promeniti. Zbog toga se kombinacija S = R = 1 naziva zabranjeno ili nedozvoljeno stanje na ulazu. Opisano razmatranje rada SR le kola prikazano je u tabeli na slici 11.19a, koja daje stanja na izlazima za sve mogue kombinacije stanja na ulazima. Takva tabela se naziva funkcionalna ili karakteristina tabela. U funkcionalnoj tabeli Qn oznaava trenutno stanje izlaza Q dok Qn+1 oznaava naredno stanje izlaza, odnosno stanje posle promene ulaznih signala. Osim karakteristine tabele u sintezi sloenih sekvencijalnih sistema esto se koristi i eksitaciona tabela ili tabela pobude. Eksitaciona tabela se moe izvesti iz karakteristine tabele i odreuje ulazne signale koji prevode kolo u eljeno stanje. Moe se uoiti da za pojedine prelaze nije vano na kakvom se nivou nalazi neki ulaz. Takva situacija se oznaava u tabeli simbolom , koji znai da je nivo ulaznog signala nevaan. Ova injenica moe doprineti znatnom uproavanju kola u procesu sinteze. Tabela na slici 11.19b predstavlja eksitacionu tabelu SR le kola sa NILI kolima.
S 0 0 1 1 R 0 1 0 1
(a)

Qn+1

Qn+1

Qn

Qn+1

Qn
0 1 0

Qn
1 0 0

0 0 1 1

0 1 0 1
(b)

0 1 0

0 1 0

Slika 11.19: a) Funkcionalna i b) eksitaciona tabela SR le kola sa NILI kolima.

SR le kolo se moe napraviti i korienjem NI kola umesto invertora. ema SR kola realizovanog sa dvoulaznim NI kolima prikazana je na slikama 11.20a i 11.20b, a grafiki simbol takvog SR le kola na slici 11.20c. Analizom kola, koristei kombinacionu tabelu za NI kolo, dobija se funkcionalna tabela 11.21a. Uoava se jedna bitna razlika u odnosu na funkcionalnu tabelu SR le kola realizovanog sa NILI kolima: postavljanje le kola u stanje Q = 1 (setovanje) vri se kombinacijom S = 0, R = 1 , dok se postavljanje u stanje Q = 0 (resetovanje) vri kombinacijom S = 1, R = 0 . Dakle, promena stanja SR le kola sa NI kolima vri se niskim aktivnim nivoom. Ova injenica je na grafikom simbolu prikazana pomou kruia na odgovarajuim S i R ulazima. Druga razlika se odnosi na nedozvoljenu kombinaciju na ulazu koja je kod ovog kola S = 0, R = 0 . Eksitaciona tabela SR le kola sa NI kolima prikazana je na slici 11.21b.
S Q S Q S R R (a) Q R (b) Q (c) Q Q

Slika 11.20: SR le kolo sa NI kolima, a) ema kola, b) ema kola sa alternativnim simbolima, c) Grafiki simbol.

116

0 0 1 1

0 1 0 1
(a)

Qn +1 1 1 0 Qn

Qn +1 1 0 1 Qn

Qn 0 0 1 1

Qn +1 0 1 0 1
(b)

S 1 0 1

1 0 1

Slika 11.21: a) Funkcionalna i b) eksitaciona tabela SR le kola sa NI kolima.

11.6.2 D le

Razdvojeni ulazi za setovanje i resetovanje le kola, kao to je to sluaj kod opisanih SR le kola, pogodni su za primene u kontrolnim sistemima. Meutim, za primene u sistemima za pamenje informacija pogodnije je imati samo jedan ulaz u le kolo, koji e onda odreivati stanje na izlazu. Takvu funkciju obavlja D le kolo. ema i grafiki simbol D le kola prikazani su na slici 11.22. Kao to se vidi, osnovu eme D le kola ini SR le kolo. Najvanija razlika je dodatni invertor na ulazu koji uklanja mogunost dovoenja nedozvoljene kombinacije signala na ulaz. Ulazni signal dozvole C (CLK, EN, ENABLE) moe biti aktivan kada je na visokom nivou (kao na slici 11.22) ili, u sluaju drukije konfiguracije kola, kada je na niskom nivou.
D S Q D Q Q

C
C Q R
(a) (b)

Slika 11.22: D le kolo realizovano sa NI kolima, a) ema kola, b) Grafiki simbol.

Funkcionisanje D le kola se moe jednostavno objasniti posmatranjem eme sa slike 11.22a. Neka je C = 1 . Kada je na ulazu D = 1 , tada je S = 0, R = 1 , pa se SR le kolo setuje. Suprotno tome, kada je na ulazu D = 0 na ulazu SR le kola je S = 1, R = 0 , pa se kolo resetuje. Dakle, na izlazu se uvek pojavljuje isti signal kao na ulazu, naravno, posle kanjenja kroz logike elemente. Kada se C vrati na nivo logike nule stanje na izlazu se zamrzava. U tabeli na slici 11.23 su prikazane funkcionalna i eksitaciona tabela D le kola.
D C
Qn+1 Qn +1 Qn Qn +1

0 1

1 1 0
(a)

0 1 Qn

1 0 Qn

0 0 1 1

0 1 0 1
(b)

0 1 0 1

1 1 1 1

Slika 11.23: a) Funkcionalna i b) eksitaciona tabela D le kola.

U statikom reimu D le kola onemogueno je pojavljivanje nedozvoljene kombinacije ulaznih signala S = R = 0 , ali problem nestabilnosti nije u potpunosti reen. Naime, kada je
117

C = 1 , a ulazni signal D se menja sa nule na jedinicu, u kratkom vremenskom intervalu, jednakom kanjenju kroz invertor, pojavljuje se kombinacija S = R = 0 . Ako se u tom intervalu promeni vrednost signala C sa jedinice na nulu, zamrznuta vrednost izlaza bie nedefinisana. Radi obezbeenja pouzdanog rada D le kola, u praksi se zahteva da signal na ulazu D bude stabilan za vreme t su (engl. setup time) pre opadanja signala dozvole C sa jedinice na nulu.
11.6.3 D flipflop

SR le kola mogu menjati stanje na izlazu u bilo kom vremenskom trenutku, dok je kod D le kola kola promena stanja na izlazu mogua u bilo kom trenutku kada je signal dozvole aktivan. Kod kola sa povratnom spregom to moe stvoriti velike probleme, pa se zbog toga koriste bistabilna kola kod kojih se promena stanja na izlazu (okidanje) moe vriti samo prilikom promene logikog stanja ulaza na koji se dovodi takt. Takvi bistabilni elementi se nazivaju flipflopovi. U praksi se sreu dva naina okidanja flipflopa: impulsni (okidanje se vri celim pozitivnim ili negativnim takt impulsom), i ivini (okidanje se vri sinhrono sa rastuom ili opadajuom ivicom signala takta). U savremenim digitalnim kolima mnogo vie se koristi ivini nain okidanja, pa e u daljem tekstu biti opisano kolo D flipflopa sa ivinim okidanjem prikazano na slici 11.24a. U grafikom simbolu na slici 11.24b ivino okidanje je oznaeno trouglom kod takt ulaza C, a krui kod takt ulaza oznaava okidanje na opadajuu ivicu takta.
D S C R Q Q Q Q D C Q Q

(a)

(b)

Slika 11.24: Ivini D flipflop sa okidanjem na opadajuu ivicu: a) ema kola, b) Grafiki simbol

Kada je takt signal u kolu sa slike 11.24a na visokom nivou, stanje na izlazima NI kola iz prvog stepena odreeno je stanjem na D ulazu. Meutim, drugi nivo logikih kola blokiran je visokim nivoom takt signala, tako da su na ulazima S i R u SR le kolo logike jedinice, koje ga dre u zateenom stanju. Kada takt signal prelazi sa logike jedinice na logiku nulu blokiraju se ulazi NI kola, ali se stanje na izlazima NI kola ne menja sve dok ne proe vreme propagacije signala kroz NI kola t p . Kako se istovremeno sa blokiranjem NI kola aktiviraju ILI kola iz drugog stepena, na jednom od ulaza S ili R pojavie se kratak negativan impuls trajanja t p koji e postaviti SR le u eljeno stanje odreeno D ulazom. Posle toga, zbog niskog nivoa takt signala, NI kola ostaju blokirana i stanje flipflopa se ne moe promeniti. Funkcionalna i eksitaciona tabela ivinog D flipflopa sa okidanjem na opadajuu ivicu date su na slici 11.25.
D C Qn +1
Qn +1

Qn

Qn +1

0 1

0 1
(a)

0 1 Qn Qn

1 0
Qn Qn

0 0 1 1

0 1 0 1
(b)

0 1 0 1

Slika 11.25: a) Funkcionalna i b) eksitaciona tabela ivinog D flipflopa

118

sa okidanjem na opadajuu ivicu.

11.7

Multivibratorska kola

Multivibratorska kola imaju jedno ili dva stanja u kojima se mogu zadrati samo tano odreeno vreme. Takva stanja se nazivaju kvazistabilna stanja. Monostabilni multivibratori imaju jedno stabilno stanje u kome ostaju sve dok pod dejstvom spoljanje pobude ne preu u kvazistabilno stanje. Poto protekne izvesno vreme, odreeno parametrima kola, monostabilno kolo se vraa u stabilno stanje. Tipina primena monostabilnih multivibratora je generisanje impulsa tano definisanog trajanja. Astabilni multivibratori (relaksacioni oscilatori) nemaju nijedno stabilno stanje, ve se dva kvazistabilna stanja naizmenino smenjuju. Tipina primena astabilnih multivibratora je generisanje periodine povorke impulsa iji su parametri odreeni izborom elemenata kola. Takva periodina povorka impulsa se u sinhronim digitalnim sistemima koristi kao takt signal.
11.7.1 Monostabilni multivibrator

Monostabilni multivibrator sa CMOS logikim kolima, ija je ema prikazana na slici 11.26a, koristi NILI kola kao aktivne elemente. Radi kompletnosti i jednostavnije analize rada kola, na slici 11.26b je prikazana uproena struktura logikog CMOS NILI kola sa zatitnim diodama na ulazu. Zatitne diode, koje u normalnom radu logikih kola nikada ne provode, u sluajevima kada se logika kola primenjuju u impulsnim generatorima imaju vanu ulogu u odreivanju trajanja generisanih impulsa.
VDD R Vx Vi2 Vu2 Vi VP (a) (b) (c) VDD Vu VDD Vu1 Vi VDD

Vu Vi1

Slika 11.26: a) Monostabilni miltivibrator sa CMOS NILI kolima, b) uproena struktura CMOS NILI kola, c) idealizovana karakteristika prenosa.

Da bi se pojednostavilo objanjenje rada kola, u daljoj analizi e se smatrati da je karakteristika prenosa CMOS NILI kola idealna kao na slici 11.26c. Napon prelaza na karakteristici prenosa oznaimo sa VP . Kao to je ve reeno, napon prelaza je obino jednak polovini napona napajanja VDD . U stabilnom stanju, pre dovoenja okidnog impulsa, napon na ulazu drugog NILI kola v x jednak je naponu napajanja VDD jer kroz otpornik R ne tee struja. Stoga su naponi vi 2 (0 ) = 0 V , i vi1 (0 ) = VDD . Napon na kondenzatoru u stabilnom stanju je vC (0 ) = 0 V . Okidni impuls se dovodi u trenutku t = 0 na slobodni ulaz prvog NILI kola. Ovaj skok napona na ulazu izaziva nagli pad napona na izlazu prvog NILI kola pa je vi1 (0 + ) = 0 V . U ovoj analizi je zanemareno kanjenje kroz logika kola, s obzirom da je znatno krae od vremenskih
119

intervala koji e u analizi biti od interesa. Kako se napon na kondenzatoru ne moe trenutno promeniti, napon na ulazu drugog logikog kola v x pada za isti iznos pa je v x (0 + ) = 0 V . Napon na izlazu drugog logikog kola skae na vrednost napona napajanja, tj. vi 2 (0 + ) = VDD . Vremenski dijagrami ulaznog napona vu , izlaznih napona logikih kola vi1 i vi 2 , i napona v x prikazani su na slici 11.27.
Vu

t VDD Vi1

Vi2 VDD

Vx VDD VP T

Slika 11.27: Vremenski dijagrami napona u kolu sa slike 11.26.

S obzirom da je sada v x VDD , kroz otpornik R protie struja koja puni kondenzator C i ide u izlaz prvog NILI kola. Nastalo stanje traje samo dok se napon v x ponaa kao napon logike nule na ulazu, tj. dok je v x < VP . To je, dakle, kvazistabilno stanje. Kondenzator se puni strujom ija je vremenska zavisnost eksponencijalnog tipa, jer je u pitanju RC kolo prvog reda. Napon v x takoe ima eksponencijalnu zavisnost i definisan je jednainom: v x (t ) = v x () + [v x (0 + ) v x ()]e t gde je v x (0 + ) = 0 V , v x () = VDD , dok je vremenska konstanta data izrazom:

= ( R + Rizl )C
gde je Rizl mala izlazna otpornost NILI kola. Smenom vrednosti za v x (0 + ) i v x () u eksponencijalnu jednainu za v x (t ) , dobija se vremenska zavisnost napona v x u toku trajanja kvazistabilnog stanja: v x (t ) = VDD (1 e t ) Kvazistabilno stanje se zavrava u trenutku t = T , kada napon v x dostie napon prelaza VP . Tada napon vi 2 ponovo pada na 0 V, a zbog toga napon vi1 skae na VDD . Poto se napon na
120

kondenzatoru ne moe trenutno promeniti, skok napona v x bi trebalo da bude isti, tj. trebalo bi da bude v x (T + ) = VP + VDD . Zbog ugraenih zatitnih dioda na ulazu koje ograniavaju vrednost ulaznog napona na opseg izmeu 0 i VDD (ako se zanemari pad napona na provodnoj diodi), napon v x nee moi da premai napon napajanja, ve e doi do naglog pranjenja kondenzatora kroz zatitnu diodu i izvor za napajanje. Napon na kondenzatoru se naglo smanji za VP jer se kondenzator po zavretku kvazistabilnog stanja prazni sa malom vremenskom konstantom ( Rd + Rizl )C , gde je Rd mala otpornost provodne zatitne diode. Zamenom v x (T ) = VP i reavajui dobijenu jednainu po T, za trajanje kvazistabilnog stanja se dobija:

VDD T = ln VDD VP
Kako je obino VP = VDD 2 , konano se dobija: T = ln 2 = 0.69( R + Rizl )C 0.69 RC Dakle, napon na izlazu vi 2 predstavlja impuls, ije je trajanje odreeno vrednostima otpornika, kondenzatora i napona prelaza karakteristike prenosa logikog kola. Tanost trajanja generisanog impulsa malo zavisi od tanosti otpornika i kondenzatora, jer njihove proizvodne tolerancije mogu biti male, a temperaturni koeficijenti se mogu tako izabrati da vremenska konstanta bude nezavisna od temperature. Nasuprot tome, proizvodne tolerancije napona prelaza VP su velike, a temperaturna stabilnost napona VP je dobra. Prema tome, najuticajniji parametar koji utie na tanost trajanja generisanog impulsa u masovnoj proizvodnji je napon prelaza VP . Za ispravno funkcionisanje monostabilnog multivibratora sa slike 11.26, neophodno je da okidni impuls zadovolji neke uslove. Amplituda okidnog impulsa mora da bude vea od napona VP , da bi se inicirao lanac promena u kolu. Takoe, trajanje ulaznog impulsa mora biti u odreenim granicama. Maksimalna vrednost trajanja okidnog impulsa mora biti manja od trajanja kvazistabilnog stanja. Minimalna vrednost trajanja okidnog impulsa mora biti vea od vremena kanjenja dva logika kola, to se lako moe utvrditi analizom vremenskih dijagrama uz uraunavanje vremena kanjenja logikih kola.
11.7.2 Astabilni multivibrator

Monostabilni multivibrator sa CMOS NILI kolima sa slike 11.26 moe se lako pretvoriti u astabilni multivibrator vezivanjem otpornika R na izlaz drugog NILI kola umesto na izvor za napajanje. Astabilni multivibrator sa CMOS NILI kolima (NI kolima, ili invertorima) prikazan je na slici 11.28. U cilju uproenja analize rada astabilnog kola mogu se uvesti neke pretpostavke. Pretpostaviemo da invertori imaju idealnu karakteristiku prenosa kao na slici 11.26c, da je izlazna impedansa invertora zanemarljivo mala, i da su zatitne diode na ulazu idealne. Takoe pretpostaviemo da je vreme kanjenja kroz logika kola zanemarljivo. Nivoi napona na izlazima logikih kola mogu biti samo nivoi logike jedinice ( VDD ) i logike nule (0 V). Osim toga, signali na izlazima vi1 i vi 2 su komplementarni. Pretpostavimo da

121

je neposredno pre poetka posmatranja napon v x < VP , gde je VP napon prelaza karakteristike prenosa. Onda je vi 2 (0 ) = VDD , vi1 (0 ) = 0 V , pa se kondenzator C puni strujom kroz otpornik R. Neka napon na kondenzatoru dostigne napon prelaza VP u trenutku t = 0 , to izaziva regenerativni proces po ijem se zavretku u trenutku t = 0 + stanje na izlazima menja i postaje vi 2 (0 + ) = 0V , vi1 (0 + ) = VDD . Posle promene stanja, napon v x trebalo bi da bude v x (0 + ) = v x (0 ) + vi1 = VP + VDD , ali, zbog toga to zatitna dioda pone da provodi, poraste samo do VDD . Posle toga, napon v x pone da opada jer se kondenzator C prazni kroz otpornik R. Kvazistabilno stanje se zavrava kada napon v x opadne do nivoa VP . Trajanje prvog kvazistabilnog stanja odreeno je izrazom: T1 = RC ln v x ( ) v x (0 + ) V = RC ln DD v x () v x (T1 ) VP
R C Vi1 Vx Vi2

Slika 11.28: Astabilni multivibrator sa CMOS NILI kolima.

Na poetku drugog kvazistabilnog stanja, zbog dejstva zatitne diode, napon v x naglo opadne do nule i raste ka naponu VDD . Trajanje drugog kvazistabilnog stanja dato je izrazom:
T2 = RC ln v x ( ) v x (0 + ) VDD = RC ln v x () v x (T2 ) VDD VP

pri emu je, zbog jednostavnosti, koordinatni poetak vremenske ose pomeren u taku t = T1 . Perioda oscilacija je onda:
V VDD T = T1 + T2 = RC ln DD VP VDD VP

U sluaju kada je VP = VDD 2 , izraz za periodu se uproava i postaje:


T = RC ln 4 1.4 RC

Vremenski dijagrami napona vi1 , vi 2 i v x su prikazani na slici 11.29. Slino kao kod monostabilnog kola, koje je opisano u prethodnom odeljku, perioda oscilacija astabilnog kola malo zavisi od temperature ali je jako zavisna od proizvodnih varijacija napona prelaza karakteristike prenosa VP . Osim toga, opisano kolo nije pogodno za generisanje takta ija je uestanost iznad 1 MHz. U tom sluaju vrednost kondenzatora C postaje suvie mala pa uslov oscilovanja nije zadovoljen. Naime, jasno je da ako se uzme C = 0 , tj. kondenzator ukloni iz kola, oscilacije moraju prestati. Ako se vrednost C poveava, oscilacije e zapoeti tek kad kondenzator bude vei od kritine vrednosti.
122

Vi1 Vi2

VDD

VDD

Vx VDD VT

Slika 11.29: Vremenski dijagrami napona kod astabilnog kola.

Koristei isti princip, mogu se konstruisati astabilna kola koja su pogodnija za rad na viim uestanostima, a koja imaju manju osetljivost na promene parametara.
11.8 Digitalno-analogna i analogno-digitalna konverzija

Poto su fizike veliine u prirodi analogne prirode, a u digitalnim sistemima se radi sa binarnim signalima, potrebno je omoguiti pretvaranje analognih veliina u digitalne i obrnuto. Tipian primer potrebe za ovakvom konverzijom predstavlja sistem za snimanje i reprodukciju zvuka. Prilikom snimanje se zvuni signal u mikrofonu pretvara u analogni elektrini napon, koji se zatim u analogno-digitalnom konvertoru pretvara u digitalni oblik i zapisuje na disk ili CD. Prilikom reprodukcije se deava inverzni proces. Digitalni signal se ita sa diska ili CD-a i u digitalno-analognom konvertoru pretvara u analogni napon, koji se pojaava i pobuuje sistem zvunika, gde se konano pretvara u zvuni signal koji sluamo. U binarnom brojnom sistemu, pozitivan broj N se predstavlja sa n binarnih cifara (bitova) bi [0,1] na sledei nain:
N = bn1 2 n1 + bn2 2 n2 + L + b1 21 + b0 2 0 = bi 2i
i =0 n 1

Bit bn1 se naziva bit najvee teine (engl. most significant bit MSB), dok se bit b0 naziva bit najmanje teine (engl. least significant bit LSB).
11.8.1 Digitalno-analogna konverzija

Prilikom digitalno-analogne konverzije, potrebno je digitalnom broju N dodeliti analogni napon vi , tako da bude vi = kN , gde je k konstanta proporcionalnosti. Jedno jednostavno kolo za digitalno-analognu konverziju, koje se naziva D/A konvertor sa teinskom otpornom mreom, je prikazano na slici 11.30. Radi jednostavnije analize pretpostaviemo da je upotrebljeni operacioni pojaava idealan, tako da se njegov invertorski prikljuak nalazi na virtuelnoj masi. Onda je struja kroz granu sa otpornikom R j = R 2 j , kada je odgovarajui prekida zatvoren, jednaka:
Ij = VREF VREF j = 2 Rj R
123

gde je VREF stabilan referentni napon. Zbir struja kroz sve otpornike:
I = d jI j = d j
j =0 i =0 n 1 n 1

VREF VREF = Rj R

d
j =0

n 1

2j

tee dalje kroz otpornik R f stvarajui izlazni napon:


vi = R f I = R f VREF R

d
j =0

n 1

2 j = kN

U prethodnim jednainama, kada je bit d j = 1 , prekida je zatvoren, dok kada je d j = 0 , prekida je otvoren.
VREF dn-1 dn-2 Rn-1= R/2n-1 Rn-2= R/2n-2

Rf +

vi

d0

R0= R

Slika 11.30: D/A konvertor sa teinskom otpornom mreom.

Greka konverzije zavisi od tanosti otpornika, tanosti i stabilnosti referentnog napona i neidealnosti karakteristika realnog operacionog pojaavaa.
11.8.2 Analogno-digitalna konverzija

Pri analogno-digitalnoj konverziji potrebno je analognom naponu vu dodeliti brojnu vrednost N, tako da bude N kvu , gde je k konstanta proporcionalnosti. U ovoj relaciji figurie znak , jer je tanu jednakost vrlo retko mogue ostvariti. Naime, analogne veliine se prikazuju realnim brojevima, a digitalne racionalnim ili celim brojevima, tako da je greka prilikom konverzije neminovna. Ova greka se naziva greka kvantizacije. Jedno jednostavno kolo za A/D konverziju, koje se naziva A/D konvertor sa paralelnim komparatorima, je prikazano na slici 11.31. Ulazni napon koji treba konvertovati se dovodi na neinvertorske krajeve svih komparatora. Ako se prikljuak otpornikog niza oznaen sa REF vee na masu, a prikljuak oznaen sa + REF vee na stabilni naponski referentni izvor VREF , onda se na spojnim takama otpornika dobijaju naponi koji se dovode na invertorske krajeve komparatora:
Vi =

1 VREF (i ) 2 m

Analogni komparator poredi napone na svom neinvertorskom i invertorskom ulazu, i ako je v+ > v daje na izlazu logiku jedinicu, a ako je v > v+ daje na izlazu logiku nulu. Dakle,
124

ako je vu > Vi onda je K i = 1 . Na primer, ako je vu > V p , vu < V p +1 , onda je K i = 1, 1 i p , i


K i = 0, p + 1 i m . Dakle, na ulazu kodera e se nai niz jedinica i niz nula, koje koder treba da pretvori u eljeni binarni kod kojim se predstavlja vrednost konvertovanog napona. Za realizaciju konvertora sa n izlaznih bita potrebno je m = 2 n 1 komparatora i m+1 otpornika.
vu +REF R/2

+ +

Km Km-1

Qn-1 Qn-2 Qn-3

Koder
K2 K1 Q1 Q0

R R/2 -REF

+ +

Slika 11.31: A/D konvertor sa paralelnim komparatorima.

Najvanija odlika opisanog A/D konvertora je velika brzina rada, ali mu je mana velika sloenost, zbog ega se koristi u sluajevima kada se analogni napon predstavlja sa najvie 10 bita. U ostalim sluajevima, kada je potrebna vea preciznost konverzije, koriste se drugi tipovi A/D konvertora koji omoguavaju konverziju sa 12-20 bita, ali po cenu dueg vremena konverzije.
11.9 Osnovna memorijska kola

Bistabilna kola opisana u odeljku 11.6 mogu da se iskoriste za pamenje informacije od 1 bita. Poto se u digitalnim sistemima najee pamte viebitne informacije, opisana bistabilna kola se mogu grupisati i imati neke zajednike kontrolne ulaze. Ako je potrebno pamtiti manju koliinu informacija, bistabilna kola se organizuju u registre, a za pamenje veih koliina informacija bistabilna kola se organizuju u memorije. Da bi se ostvarila memorija to veeg kapaciteta, na silicijumskoj ploici je potrebno realizovati to vei broj memorijskih elija, za ta je potrebno ispuniti odreene uslove. Prvo, dimenzije memorijskih elija treba da budu to manje. Drugo, potronja elija treba da bude to manja, da bi se generisana toplotna energija to lake odvela sa ipa. Zbog toga se memorijske elije u praksi ne realizuju sa ve opisanim bistabilnim kolima ve se koriste jednostavnije strukture. Postoje razne vrste i razne podele poluprovodnikih memorija. Po jednoj kategorizaciji one se dele na memorije kod kojih su procesi upisa i itanja informacija ravnopravni (read/write memory) i memorije kod kojih je itanje informacija brzo a upis jednokratan ili dugotrajan (read only memory ROM). Prva vrsta memorija se tradicionalno naziva RAM (random access memory memorija sa sluajnim pristupom). Ovaj naziv potie sa poetka razvoja raunarske tehnike kada se termin memorija sa sluajnim pristupom koristio za memorije sa magnetnim
125

jezgrima i poluprovodnike memorije, koje su omoguavale pristup do bilo koje elije za isto vreme, za razliku od sekvencijalnih memorija (disk, traka, CD, DVD) kod kojih je pristup informacijama najbri ako se one itaju u redosledu kako su upisane. Sa dananje take gledita podela memorija na RAM i ROM nije opravdana, jer obe omoguavaju sluajni pristup elijama, ali je ostala u upotrebi jer se teko moe izbaciti iz prakse. Po drugoj kategorizaciji, memorije se dele po sposobnosti uvanja informacija na statike memorije (SRAM) i dinamike memorije. Statike memorije zadravaju upisane informacije sve dok imaju napajanje ili dok se ne izvri ponovni upis. Dinamike memorije zadravaju upisane informacije veoma kratko vreme, reda desetak ms, pa se njihov sadraj mora periodino obnavljati.
11.9.1 Statike memorije

Osnovna jedinica statike memorije vrlo je slina RS le kolu, ali se zbog smanjenja broja potrebnih komponenata u realizaciji memorijskih elija ne koriste NILI ili NI kola ve CMOS invertori. ema statike memorijske elije je prikazana na slici 11.32.

Slika 11.32: CMOS SRAM memorijska elija.

Osnovu memorijske elije ini le kolo, koje ine dva CMOS invertora T1, T2 i T3, T4. Tranzistori T5 i T6 su tranzistori za spregu memorijske elije sa linijama za pristup. Ovi tranzistori su provodni kada linija rei (W) doe na potencijal logike jedinice ( VDD ) i onda spajaju memorijsku eliju sa komplementarnim bit linijama (B i B ). itanje sadraja elije se izvodi na sledei nain. Neka je u eliju upisan sadraj Q = 1 , Q = 0 . Pre operacije itanja, linije B i B se dovedu na neki potencijal izveu logike jedinice i logike nule, najee na VDD / 2 . Kada se selektuje linija rei i ukljue T5 i T6, protekne struja kroz T4 i T6 do linije B, punei parazitnu kapacitivnost linije C B . Istovremeno, tee struja od linije B kroz T5 i T1 do mase, koja prazni parazitnu kapacitivnost linije C B . Dakle, postoji diferencijalni napon vBB > 0 , koji osetljivi senzorski pojaava moe registrovati i na svom izlazu dati pravu vrednost napona logike jedinice. Ako je u eliju upisana logika nula, onda e diferencijalni napon biti vBB < 0 , i senzorski pojaava e na izlazu dati logiku jedinicu. Primetimo da se oitavanjem sadraja ne menja stanje memorijske elije, odnosno, itanje je nedestruktivno.

126

Prilikom procesa upisa, bit linije B i B se dovedu na potencijale koji odgovaraju sadraju koji treba da se upie u eliju. Pretpostavimo da je u eliju ve upisana jedinica i da treba upisati nulu. Onda se linija B dovodi na logiku nulu, vB = 0 , a linija B na logiku jedinicu, vB = VDD . Kada spreni tranzistori provedu, parazitna kapacitivnost vora Q , CQ , se puni, a parazitna kapacitivnost vora Q, CQ se prazni, to izaziva promenu stanja na izlazima invertora, odnosno promenu sadraja upisanog u eliju. Primetimo da je zbog toga to su parazitne kapacitivnosti bit linija B i B , C B i C B , znatno vee od parazitnih kapacitivnosti vorova Q i Q , CQ i CQ , proces itanja informacija znatno dui od procesa upisa informacija u statiku memorijsku eliju. Tipino vreme pristupa kod savremenih statikih memorija je manje od 10 ns. Statike memorije se koriste u primenama gde je potrebna velika brzina rada, kao to su na primer, ke memorije ili memorije u sistemima za digitalnu obradu signala. Kapacitet statikih memorija ide do nekoliko Mbita.
11.9.2 Dinamike memorije

Mada su se u prolosti koristile razliite elije, sve savremene dinamike memorije koriste istu eliju sa jednim MOS tranzistorom, koja je prikazana na slici 11.33.

Slika 11.33: Dinamika memorijska elija.

Dinamika memorijska elija pamti informacije u malom kondenzatoru CS , koji se pravi istim postupkom kao gejt MOS tranzistora. Kapacitet C S je veoma mali i iznosi svega 30-50 fF (1 fF = 10-15 F). Ako je u eliju upisana logika jedinica, napon na kondenzatoru je visok, VCS = VDD Vt , a kada je upisana logika nula, napon na kondenzatoru je priblino nula, VCS 0 . Poto je dielektrik (oksid silicijuma) kondenzatora veoma tanak, zbog efekata struje curenja kada je spreni tranzistor iskljuen, mala koliina elektriciteta akumulirana u kondenzatoru se isprazni za desetak milisekundi. Zbog toga je potrebno vriti obnavljanje ili osveavanje sadraja dinamike memorijske elije svakih 5 do 10 ms, odakle potie naziv ovih memorija. Proces itanja upisanih informacija se obavlja na sledei nain. Prvo se podigne potencijal na liniji rei W, ime se ukljuuje spreni tranzistor. Time se kondenzator C S povee paralelno kapacitivnosti bit linije C B , koja je 30-50 puta vea od CS . Kao i kod statikih memorija, pre operacije itanja bit linija se dovodi na potencijal VDD / 2 . Povezivanjem C B i C S u paralelu dolazi do preraspodele naelektrisanja izmeu kondenzatora prema jednaini o odranju naelektrisanja:

127

CSVCS + C B

VDD V = (C S + C B ) DD + V 2 2

odakle se dobija promena napona na bit liniji posle oitavanja sadraja elije:
V = CS V C V VCS DD S VCS DD CS + C B 2 CB 2

jer je C S << C B . Ako je u eliju bila upisana logika jedinica onda je promena napona:
V (1) C S VDD Vt CB 2

dok, ako je u eliju bila upisana logika nula:


V (0)

CS VDD CB 2

Kao to se vidi, promena napona na bit liniji B je veoma mala, jer je C S << C B . Na primer, ako je VDD = 5 V , Vt = 1.5 V , C B = 30C S , onda je V (1) = 33 mV a V (0) = 83 mV . Promena napona je jo manja kod novijih dinamikih memorija, kod kojih se zbog smanjenja potronje koristi napon napajanja VDD = 3.3 V ili jo manji. Dakle, za otkrivanje promena napona na bit liniji i ispravnu detekciju upisanog sadraja u eliju, potreban je vrlo osetljiv senzorski pojaava. Primetimo takoe da je proces itanja sadraja dinamike memorijske elije destruktivan, pa se posle itanja mora ponovo upisati isti sadraj u memorijsku eliju. Detektovani sadraj elije se na izlazu senzorskog pojaavaa dovodi na ispravan logiki nivo, VDD ili 0 V, pa se vraa na bit liniju i ponovo upisuje u eliju. Istovremeno sa itanjem jedne elije sprovodi se postupak osveavanja sadraja ostalih elija koje su vezane na istu liniju rei. Proces upisa je slian procesu itanja. Prvo se bit linija dovede na potencijal koji odgovara sadraju koji treba da se upie, VDD ili 0 V, pa se potom ukljui spreni tranzistor, ime se kondenzator C S optereti odgovarajuom koliinom naelektrisanja. Istovremeno sa upisom u jednu eliju sprovodi se postupak osveavanja sadraja ostalih elija koje su vezane na istu liniju rei. Kao to se vidi, prilikom upisa u neku eliju, ili prilikom oitavanja neke elije, vri se i osveavanje sadraja svih elija u selektovanoj vrsti. Meutim, da bi se sauvao i sadraj elija koje se nalaze u vrstama kojima se ne pristupa radi itanja ili upisa, mora se vriti periodino osveavanje cele memorije svakih 5-10 ms. Operacija osveavanja se uvek izvodi za celu vrstu, tako to se proitaju sadraji svih elija u vrsti i ponovo upiu na ve opisani nain. Tokom osveavanja ne moe se vriti ni itanje ni upis u memoriju. Meutim, poto se istovremeno osveava sadraj velikog broja elija, na osveavanje se potroi manje od 2 % raspoloivog vremena, tako da je dinamika memorija raspoloiva za normalan rad preko 98 % vremena. Tipino vreme pristupa kod savremenih dinamikih memorija je 50-60 ns, a kapacitet dinamikih memorija ide do nekoliko desetina Mbita. Dinamike memorije se najvie koriste za realizaciju operativne memorije raunarskih sistema, jer imaju niu cenu i vei kapacitet od statikih memorija.

128

You might also like