You are on page 1of 116

IN T S

Nguyn Trung Hiu Khoa K thut in t 1 Hc vin Cng ngh Bu chnh vin thng

V1.0

Bi ging in t s

Ni dung
Chng 1: H m Chng 2: i s Boole v cc phng php biu din hm Chng 3: Cng logic TTL v CMOS Chng 4: Mch logic t hp Chng 5: Mch logic tun t Chng 6: Mch pht xung v to dng xung Chng 7: B nh bn dn

V1.0

Bi ging in t s

H m

V1.0

Bi ging in t s

Ni dung

Biu din s Chuyn i c s gia cc h m S nh phn c du Du phy ng

V1.0

Bi ging in t s

Biu din s (1)


Nguyn tc chung
Dng mt s hu hn cc k hiu ghp vi nhau theo qui c v v tr. Cc k hiu ny thng c gi l ch s. Do , ngi ta cn gi h m l h thng s. S k hiu c dng l c s ca h k hiu l r. Gi tr biu din ca cc ch khc nhau c phn bit thng qua trng s ca h. Trng s ca mt h m bt k s bng ri, vi i l s nguyn dng hoc m.

Tn gi, s k hiu v c s ca mt vi h m thng dng


Tn h m
H nh phn (Binary) H bt phn (Octal) H thp phn (Decimal) H thp lc phn (Hexadecimal)

S k hiu
0, 1 0, 1, 2, 3, 4, 5, 6, 7 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F

C s (r)
2 8 10 16

Ch : Ngi ta cng c th gi h m theo c s ca chng. V d: H nh phn = H c s 2, H thp phn = H c s 10...

V1.0

Bi ging in t s

Biu din s (2)


Biu din s tng qut:
N = a n 1 r n 1 + ... + a1 r1 + a 0 r 0 + a 1 r 1 + ... + a m r m = a i ri
n 1 m

Trong mt s trng hp, ta phi thm ch s trnh nhm ln gia biu din ca cc h. V d: 3610 , 368 , 3616

V1.0

Bi ging in t s

H thp phn (1)


Biu din tng qut: N10 = d n 1 10n 1 + ... + d1 101 + d 0 100 + d 1 101 + ... + d m 10 m
m

= di 10i
n 1

Trong :
N10 : biu din bt k theo h 10,

d : cc h s nhn (k hiu bt k ca h), n : s ch s phn nguyn, m : s ch s phn phn s.

Gi tr biu din ca mt s trong h thp phn s bng tng cc tch ca k hiu (c trong biu din) vi trng s tng ng V d: 1265.34 l biu din s trong h thp phn:

1265.34 = 1 103 + 2 102 + 6 101 + 5 100 + 3 101 + 4 102


V1.0 Bi ging in t s
7

H thp phn (2)


u im ca h thp phn:
Tnh truyn thng i vi con ngi. y l h m con ngi d nhn bit nht. Ngoi ra, nh c nhiu k hiu nn kh nng biu din ca h rt ln, cch biu din gn, tn t thi gian vit v c.

Nhc im:
Do c nhiu k hiu nn vic th hin bng thit b k thut s kh khn v phc tp.

V1.0

Bi ging in t s

H nh phn (1)
Biu din tng qut:

N 2 = b n 1 2n 1 + ... + b1 21 + b 0 20 + b 1 21 + ... + b m 2 m = b i 2i
n 1 m

Trong : N 2 : biu din bt k theo h 2,


b : l h s nhn ly cc gi tr 0 hoc 1, n : s ch s phn nguyn, m : s ch s phn phn s.

H nh phn (Binary number system) cn gi l h c s hai, gm ch hai k hiu 0 v 1, c s ca h l 2, trng s ca h l 2n. V d: 1010.012 l biu din s trong h nh phn.

1010.012 = 1 23 + 0 22 + 1 21 + 0 00 + 0 21 + 1 22
V1.0 Bi ging in t s
9

H nh phn (2)
u im:
Ch c hai k hiu nn rt d th hin bng cc thit b c, in. H nh phn c xem l ngn ng ca cc mch logic, cc thit b tnh ton hin i - ngn ng my.

Nhc im:
Biu din di, mt nhiu thi gian vit, c.

Cc php tnh:
Php cng: 0 + 0 = 0, 1 + 0 = 1, 1 + 1 = 10 Php tr: 0 - 0 = 0 ; 1 - 1 = 0 ; 1 - 0 = 1 ; 10 - 1 = 1 (mn 1) Php nhn: (thc hin ging h thp phn) 0x0=0 , 0x1=0 ,1x0=0 ,1x1=1 Ch : Php nhn c th thay bng php dch v cng lin tip. Php chia: Tng t php chia 2 s thp phn

V1.0

Bi ging in t s

10

H bt phn (1)
Biu din tng qut:

N8 = O n 1 8n 1 + ... + O0 80 + O 1 81 + ... + O m 8 m = Oi 8i
m n 1

Trong :

N8 : biu din bt k theo h 8,

O : cc h s nhn (k hiu bt k ca h), n : s ch s phn nguyn, m : s ch s phn phn s.

H ny gm 8 k hiu : 0, 1, 2, 3, 4, 5, 6 v 7. C s ca h l 8. Vic la chn c s 8 l xut pht t ch 8 = 23. Do , mi ch s bt phn c th thay th cho 3 bit nh phn. V d: 1265.348 l biu din s trong bt phn.

V1.0

Bi ging in t s

11

H bt phn (2)
Php cng
Php cng trong h bt phn c thc hin tng t nh trong h thp phn. Tuy nhin, khi kt qu ca vic cng hai hoc nhiu ch s cng trng s ln hn hoc bng 8 phi nh ln ch s c trng s ln hn k tip.
+ 253 126 401 don vi : 3 + 6 = 9 = 1 + 8(viet 1 nho1len hang chuc) chuc : 5 + 1 + 2 = 8 = 0 + 8 (viet 0 nho1len hang tram) tram : 2 + 1 + 1 = 4 (1la nho tu hang chuc)

Php tr
Php tr cng c tin hnh nh trong h thp phn. Ch rng khi mn 1 ch s c trng s ln hn th ch cn cng thm 8 ch khng phi cng thm 10.
253 126 125 don vi : 3 < 6 8 + 3 6 = 5(no 1 hang chuc) chuc : 5 1 2 = 2 (1la cho hang don vi vay )

Ch : Cc php tnh trong h bt phn t c s dng.


V1.0 Bi ging in t s
12

H thp lc phn (1)


Biu din tng qut:

N16

= H n 1 16n 1 + .... + H 0 160 + H 1 161 + .... + H m 16 m = Hi 16i


m n 1

Trong :

N16 : biu din bt k theo h 16,

d : cc h s nhn (k hiu bt k ca h), n : s ch s phn nguyn, m : s ch s phn phn s.

H thp lc phn (hay h Hexadecimal, h c s 16).


H gm 16 k hiu l 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F. Trong , A = 1010 , B = 1110 , C = 1210 , D = 1310 , E = 1410 , F = 1510 .

V d: 1FFA l biu din s trong h thp lc phn


V1.0 Bi ging in t s
13

H thp lc phn (2)


Php cng
Khi tng hai ch s ln hn 15, ta ly tng chia cho 16. S d c vit xung ch s tng v s thng c nh ln ch s k tip. Nu cc ch s l A, B, C, D, E, F th trc ht, ta phi i chng v gi tr thp phn tng ng ri mi cng.

1 6 9 + 2 5 8 3 C 1 2 5 8 1 6 9 0 E F

Php tr
Khi tr mt s b hn cho mt s ln hn ta cng mn 1 ct k tip bn tri, ngha l cng thm 16 ri mi tr.

Php nhn
Mun thc hin php nhn trong h 16 ta phi i cc s trong mi tha s v thp phn, nhn hai s vi nhau. Sau , i kt qu v h 16.

V1.0

Bi ging in t s

14

Ni dung

Biu din s Chuyn i c s gia cc h m S nh phn c du Du phy ng

V1.0

Bi ging in t s

15

Chuyn i t h c s 10 sang cc h khc


V d: i s 22.12510, 83.8710 sang s nh phn i vi phn nguyn:
Chia lin tip phn nguyn ca s thp phn cho c s ca h cn chuyn n, s d sau mi ln chia vit o ngc trt t l kt qu cn tm. Php chia dng li khi kt qu ln chia cui cng bng 0.

i vi phn phn s:
Nhn lin tip phn phn s ca s thp phn vi c s ca h cn chuyn n, phn nguyn thu c sau mi ln nhn, vit tun t l kt qu cn tm. Php nhn dng li khi phn phn s trit tiu.

V1.0

Bi ging in t s

16

i s 22.12510 sang s nh phn


i vi phn nguyn: Bc Chia c D i vi phn phn s: Bc Nhn Kt qu Phn nguyn

1 2 3 4 5

22/2 11/2 5/2 2/2 1/2

11 5 2 1 0

0 1 1 0 1

LSB

1 2 3 4

0.125 x 2 0.25 x 2 0.5 x 2 0x2

0.25 0.5 1 0

0 0 1 0

MSB

Kt qu biu din nh phn: 10110.001

V1.0

Bi ging in t s

17

i s 83.8710 sang s nh phn


i vi phn nguyn: Bc Chia c D i vi phn phn s: Bc Nhn Kt qu Phn nguyn

1 2 3 4 5 6 7

83/2 41/2 20/2 10/2 5/2 2/2 1/2

41 20 10 5 2 1 0

1 1 0 0 1 0 1

LSB

1 2 3 4 5 6

0.87 x 2 0.74 x 2 0.48 x 2 0.96 x 2 0.92 x 2 0.84 x 2 0.68 x 2 0.36 x 2

1.74 1.48 0.96 1.92 1.84 1.68 1.36 0.72

1 1 0 1 1 1 1 0

MSB

7 8

Kt qu biu din nh phn: 1010011.11011110


V1.0 Bi ging in t s
18

i mt biu din trong h bt k sang h 10


Cng thc chuyn i:

N10

= a n 1 r n 1 + a n 2 r n 2 .... + a 0 r 0 + a 1 r 1 + .... + a m r m
Thc hin ly tng v phi s c kt qu cn tm. Trong biu thc trn, ai v r l h s v c s h c biu din.

V d: Chuyn 1101110.102 sang h thp phn

N10 = 1 26 + 1 25 + 0 24 + 1 23 + 1 22 + 1 21 + 0 20 + 1 21 + 0 22 = 64 + 32 + 0 + 8 + 4 + 2 + 0 + 0.5 + 0 = 110.5

V1.0

Bi ging in t s

19

i cc s t h nh phn sang h c s 8, 16
Quy tc:
V 8 = 23 v 16 = 24 nn ta ch cn dng mt s nh phn 3 bit l ghi 8 k hiu ca h c s 8 v t nh phn 4 bit cho h c s 16. Do , mun i mt s nh phn sang h c s 8 v 16 ta chia s nh phn cn i, k t du phn s sang tri v phi thnh tng nhm 3 bit hoc 4 bit. Sau thay cc nhm bit phn bng k hiu tng ng ca h cn i ti.

V d: Chuyn 1101110.102 sang h c s 8 v 16 Tnh t du phn s, chia s cho thnh cc nhm 3 bit Tnh t du phn s, chia s cho thnh cc nhm 4 bit

001

101

110

100

0110

1110

1000

Kt qu: 1101110.102 = 156.4

Kt qu: 1101110.102 = 6E.8

V1.0

Bi ging in t s

20

Ni dung

Biu din s Chuyn i c s gia cc h m S nh phn c du Du phy ng

V1.0

Bi ging in t s

21

3 phng php biu din s nh phn c du


S dng mt bit du.
Trong phng php ny ta dng mt bit ph, ng trc cc bit tr s biu din du, 0 ch du dng (+), 1 ch du m (-). V d: s 6: 00000110, s -6: 10000110.

S dng php b 1.
Gi nguyn bit du v ly b 1 cc bit tr s (b 1 bng o ca cc bit cn c ly b). V d: s 4: 00000100, s -4: 111111011.

S dng php b 2
L phng php ph bin nht. S dng th hin bng s nh phn khng b (bit du bng 0), cn s m c biu din qua b 2 (bit du bng 1). B 2 bng b 1 cng 1. C th biu din s m theo phng php b 2 xen k: bt u t bit LSB, dch v bn tri, gi nguyn cc bit cho n gp bit 1 u tin v ly b cc bit cn li. Bit du gi nguyn. V d: s 4: 00000100, s -4: 111111100.

V1.0

Bi ging in t s

22

Cng v tr cc s theo biu din bit du


Php cng
Hai s cng du: cng hai phn tr s vi nhau, cn du l du chung. Hai s khc du v s dng ln hn: cng tr s ca s dng vi b 1 ca s m. Bit trn c cng thm vo kt qu trung gian. Du l du dng. Hai s khc du v s dng ln hn: cng tr s ca s dng vi b 1 ca s m. Ly b 1 ca tng trung gian. Du l du m.

Php tr.
Nu lu rng, - (-) = + th trnh t thc hin php tr trong trng hp ny cng ging php cng.

V d:

V1.0

Bi ging in t s

23

Cng v tr cc s theo biu din b 1


Php cng
Hai s dng: cng nh cng nh phn thng thng, k c bit du. Hai s m: biu din chng dng b 1 v cng nh cng nh phn, k c bit du. Bit trn cng vo kt qu. Ch , kt qu c vit di dng b 1. Hai s khc du v s dng ln hn: cng s dng vi b 1 ca s m. Bit trn c cng vo kt qu. Hai s khc du v s m ln hn: cng s dng vi b 1 ca s m. Kt qu khng c bit trn v dng b 1.

Php tr
thc hin php tr, ta ly b 1 ca s tr, sau thc hin cc bc nh php cng.

V d:

V1.0

Bi ging in t s

24

Cng cc s theo biu din b 1: V d


Hai s dng: cng nh cng nh phn thng thng, k c bit du. 0 0 0 0 0 1 0 12 + 0 0 0 0 0 1 1 12 0 0 0 0 1 1 0 02 (510) (710) (1210)

Hai s m: biu din chng dng b 1 v cng nh cng nh phn, k c bit du. Bit trn cng vo kt qu. Ch , kt qu c vit di dng b 1 1 1 1 1 1 0 1 02 + 1 1 1 1 1 0 0 02 1 1 1 1 1 0 0 1 02 Bt trn + 1 (-12)
25

(-510) (-710)

1 1 1 1 0 0 1 12
V1.0

Bi ging in t s

Cng cc s theo biu din b 1: V d


Hai s khc du v s dng ln hn: cng s dng vi b 1 ca s m. Bit trn c cng vo kt qu. 0 0 0 0 1 0 1 02 + 1 1 1 1 1 0 1 02 1 0 0 0 0 0 1 0 02 Bt trn + 1 (+510) 0 0 0 0 0 1 0 12 (+1010) (-510)

Hai s khc du v s m ln hn: cng s dng vi b 1 ca s m. Kt qu khng c bit trn v dng b 1. 1 1 1 1 0 1 0 12 + 0 0 0 0 0 1 0 12 1 1 1 1 1 0 1 02


V1.0

(-1010) (+510) (-510)


26

Bi ging in t s

Cng v tr cc s theo biu din b 2


Php cng
Hai s dng: cng nh cng nh phn thng thng. Kt qu l dng. Hai s m: ly b 2 c hai s hng v cng, kt qu dng b 2. Hai s khc du v s dng ln hn: ly s dng cng vi b 2 ca s m. Kt qu bao gm c bit du, bit trn b i. Hai s khc du v s m ln hn: s dng c cng vi b 2 ca s m, kt qu dng b 2 ca s dng tng ng. Bit du l 1.

Php tr
Php tr hai s c du l cc trng hp ring ca php cng. V d, khi ly +9 tr i +6 l tng ng vi +9 cng vi -6.

V d:

V1.0

Bi ging in t s

27

Cng cc s theo biu din b 2: V d


Hai s dng: cng nh cng nh phn thng thng. Kt qu l dng. 0 0 0 0 1 0 1 12 + 0 0 0 0 0 1 1 12 0 0 0 1 0 0 1 02 (1110) (710) (1810)

Hai s m: ly b 2 c hai s hng v cng, kt qu dng b 2. 1 1 1 1 0 1 0 12 + 1 1 1 1 1 0 0 12 1 1 1 1 0 1 1 1 02 + 1 1 1 0 1 1 1 02


V1.0

(-1110) (-710)

Bt trn b i (-1810)
28

Bi ging in t s

Cng cc s theo biu din b 2: V d


Hai s khc du v s dng ln hn: ly s dng cng vi b 2 ca s m. Kt qu bao gm c bit du, bit trn b i. 0 0 0 0 1 0 1 12 + 1 1 1 1 1 0 0 12 1 0 0 0 0 0 1 0 02 + 0 0 0 0 0 1 0 02 (+410) Bt trn b i Hai s khc du v s m ln hn: s dng c cng vi b 2 ca s m, kt qu dng b 2 ca s dng tng ng. Bit du l 1. 1 1 1 1 0 1 0 12 + 0 0 0 0 0 1 1 12 1 1 1 1 1 1 0 02
V1.0

(+1110) (-710)

(-1110) (+710) (-410)


29

Bi ging in t s

Ni dung

Biu din s Chuyn i c s gia cc h m S nh phn c du Du phy ng

V1.0

Bi ging in t s

30

Biu din theo du phy ng


V d: 197,62710 = 197627 x 10-3 197,62710 = 0,197627 x 10+3 Gm hai phn: s m E (phn c tnh) v phn nh tr M (trng phn s). E c th c di t 5 n 20 bit, M t 8 n 200 bit ph thuc vo tng ng dng v di t my tnh. Thng thng dng 1 s bit biu din E v cc bit cn li cho M vi iu kin:
X = 2E x ( M x )
1/ 2 M 1

E v M c th c biu din dng b 2. Gi tr ca chng c hiu chnh m bo mi quan h trn y c gi l chun ha.

V1.0

Bi ging in t s

31

Cc php tnh vi biu din du phy ng


Ging nh cc php tnh ca hm m. Gi s c hai s theo du phy ng chun ha: E X = 2E x ( M x ) Y = 2 y ( M y ) th: Nhn: Chia:
Z = X.Y = 2
Ex +E y

( M x .M y ) = 2E

Mz
w

W = X/Y = 2

E x E y

( M x / M y ) = 2E

Mw

Tch: Thng: Mun ly tng v hiu, cn a cc s hng v cng s m, sau s m ca tng v hiu s ly s m chung, cn nh tr ca tng v hiu s bng tng v hiu cc nh tr.

V1.0

Bi ging in t s

32

Cu hi
i s nh phn sau sang dng bt phn: 0101 1111 0100 1110
A) 57514 B) 57515 C) 57516 D) 57517

Thc hin php tnh hai s thp lc phn sau: 132,4416 + 215,0216.
A) 347,46 B) 357,46 C) 347,56 D) 357,67

Thc hin php cng hai s c du sau theo phng php b 1: 0000 11012 + 1000 10112
A) 0000 0101 B) 0000 0100 C) 0000 0011 D) 0000 0010

Thc hin php cng hai s c du sau theo phng php b 2: 0000 11012 1001 10002
A) 1000 1110 B) 1000 1011 C) 1000 1100 D) 1000 1110

V1.0

Bi ging in t s

33

Ni dung
Chng 1: H m Chng 2: i s Boole v cc phng php biu din hm Chng 3: Cng logic TTL v CMOS Chng 4: Mch logic t hp Chng 5: Mch logic tun t Chng 6: Mch pht xung v to dng xung Chng 7: B nh bn dn

V1.0

Bi ging in t s

34

i s Boole v cc phng php biu din hm

V1.0

Bi ging in t s

35

i s Boole
Cc nh l c bn:
Stt 1 2 3 4 5 6 7 Tn gi ng nht Phn t 0, 1 B Bt bin Hp th Ph nh p nh l DeMorgan Dng tch X.1 = X X.0 = 0 Dng tng X+0=X X+1=1

X.X = 0
X.X = X X + X.Y = X

X + X =1
X+X=X X.(X + Y) = X

X Y

1 Z

X=X

( X.Y.Z...) = X + Y + Z + ... ( X + Y + Z + ...) = X.Y.Z...

Cc nh lut c bn:
Hon v: Kt hp: X.Y = Y.X, X + Y = Y + X X.(Y.Z) = (X.Y).Z, X + (Y + Z) = (X + Y) + Z

Phn phi: X.(Y + Z) = X.Y + X.Z, (X + Y).(X + Z) = X + Y.Z Bi ging in t s

V1.0

36

Cc phng php biu din hm Boole


C 3 phng php biu din: Bng trng thi Bng cc n (Karnaugh) Phng php i s

V1.0

Bi ging in t s

37

Phng php Bng trng thi


Lit k gi tr (trng thi) mi bin theo tng ct v gi tr hm theo mt ct ring (thng l bn phi bng). Bng trng thi cn c gi l bng s tht hay bng chn l. i vi hm n bin s c 2n t hp c lp. Cc t hp ny c k hiu bng ch mi, vi i = 0 2n -1 v c tn gi l cc hng tch hay cn gi l mintex.

m m0 m1 m2 m3 m4 m5 m6 m7

A 0 0 0 0 1 1 1 1

B 0 0 1 1 0 0 1 1

C 0 1 0 1 0 1 0 1

f 0 0 0 0 0 0 0 1

u im: R rng, trc quan. Sau khi xc


nh cc gi tr bin vo th ta c th tm c gi tr u ra nh bng trng thi.

Nhc im: S phc tp nu s bin qu nhiu, khng th dng cc cng thc v nh l tnh ton
V1.0 Bi ging in t s
38

Phng php Bng Cc n (Karnaugh)


T chc ca bng Cc n:
Cc t hp bin c vit theo mt dng (thng l pha trn) v mt ct (thng l bn tri). Mt hm logic c n bin s c 2n . Mi th hin mt hng tch hay mt hng tng, cc hng tch trong hai k cn ch khc nhau mt bin. A
0 1

BC A
0 1

Tnh tun hon ca bng Cc n:


Khng nhng cc k cn khc nhau mt bin m cc u dng v cui dng, u ct v cui ct cng ch khc nhau mt bin (k c 4 gc vung ca bng). Bi vy cc ny cng gi l k cn.

00

01

11

10

Thit lp bng Cc n ca mt hm:


Di dng chun tng cc tch, ta ch vic ghi gi tr 1 vo cc ng vi hng tch c mt trong biu din, cc cn li s ly gi tr 0 (theo nh l DeMorgan). Di dng tch cc tng, cch lm cng tng t, nhng cc ng vi hng tng c trong biu din li ly gi tr 0 v cc khc ly gi tr 1. V1.0 Bi ging in t s

CD AB
00 01 11 10

00

01

11

10

39

Phng php i s
C 2 dng biu din l dng tuyn (tng cc tch) v dng hi (tch cc tng).
Dng tuyn: Mi s hng l mt hng tch hay mintex, thng k hiu bng ch "mi". Dng hi: Mi tha s l hng tng hay maxtex, thng c k hiu bng ch "Mi".

Nu trong tt c mi hng tch hay hng tng c mt cc bin, th dng tng cc tch hay tch cc tng tng ng c gi l dng chun. Dng chun l duy nht. Tng qut, hm logic n bin c th biu din ch bng mt dng tng cc tch: n
f ( X n 1,..., X 0 ) =
2 1 i =0

a i mi

hoc bng ch mt dng tch cc tng:


f ( X n 1,..., X 0 ) =
2n 1 i =0

( a i + mi )

ai ch ly hai gi tr 0 hoc 1. i vi mt hm th mintex v maxtex l b ca nhau.


V1.0 Bi ging in t s
40

Cc phng php rt gn hm
C 3 phng php rt gn hm: Phng php i s Bng Ccn Phng php Quine Mc. Cluskey

V1.0

Bi ging in t s

41

Phng php i s
Da vo cc nh l hc a biu thc v dng ti gin. V d: Hy a hm logic v dng ti gin:
f = AB + AC + BC

p dng nh l A + A = 1 , X + XY = X , ta c:
f = AB + AC + BC ( A + A ) = AB + ABC + AC + ABC = AB + AC

Vy nu trong tng cc tch, xut hin mt bin v o ca bin trong hai s hng khc nhau, cc tha s cn li trong hai s hng to thnh tha s ca mt s hng th ba th s hng th ba l tha v c th b i.

V1.0

Bi ging in t s

42

Phng php i s (tip)


V d: Hy a hm logic v dng ti gin:
f = AB + BCD + AC + BC

p dng nh l A + A = 1 , X + XY = X , ta c:
f = AB + BCD(A + A) + AC + BC = (AB + ABCD) + (ABCD + AC) + BC = AB + AC + BC = AB + AB.C = AB(1 + C) + AB.C = AB + C

f1 = AD + BD + BCD + ACD + ABC


V1.0 Bi ging in t s
43

Phng php Bng Cc n (Karnaugh)


Phng php ny thng c dng rt gn cc hm c s bin khng vt qu 5. Cc bc ti thiu ha:
1. Gp cc k cn c gi tr 1 (hoc 0) li thnh tng nhm 2, 4, ...., 2i . S trong mi nhm cng ln kt qu thu c cng ti gin. Mt c th c gp nhiu ln trong cc nhm khc nhau. Nu gp theo cc c gi tr 0 ta s thu c biu thc b ca hm. 2. Thay mi nhm bng mt hng tch mi, trong gi li cc bin ging nhau theo dng v ct. 3. Cng cc hng tch mi li, ta c hm ti gin. CD AB
00 01 11 10

00

01

11 1 1

10 1 1 1 1

1 1 f2 = C

V d: Hy dng bng Cc n gin c hm:


f = AB + BCD + AC + BC
Kt qu f = AB + C V1.0

f1 = AB

f 3 ( A, B, C , D ) = (0,1,2,3,5,7,8,9,10,13)
Bi ging in t s
44

Phng php Quine Mc. Cluskey


Phng php ny c th ti thiu ha c hm nhiu bin v c th tin hnh cng vic nh my tnh. Cc bc ti thiu ha:
1. Lp bng lit k cc hng tch di dng nh phn theo tng nhm vi s bit 1 ging nhau v xp chng theo s bit 1 tng dn. 2. Gp 2 hng tch ca mi cp nhm ch khc nhau 1 bit to cc nhm mi. Trong mi nhm mi, gi li cc bin ging nhau, bin b i thay bng mt du ngang (-). Lp li cho n khi trong cc nhm to thnh khng cn kh nng gp na. Mi ln rt gn, ta nh du # vo cc hng ghp cp c. Cc hng khng nh du trong mi ln rt gn s c tp hp li la chn biu thc ti gin.

V d: f ( A, B, C, D ) = (10, 11, 12, 13, 14, 15 )

V1.0

Bi ging in t s

45

Phng php Quine Mc. Cluskey (tip)


Bc 1: Lp bng
Bng a Hng tch sp xp Nh phn (ABCD) 10 12 11 13 14 15 1010 1100 1011 1101 1110 1111 1011-10 11011-0 1-11 11-1 111# (10,11) # (10,14) # (12,13) # (12,14) # (11,15) # (13,15) # (14,15) Bng b Rt gn ln 1 (ABCD) Rt gn ln th 2 (ABCD) 11-1-1(12,13,14,15) (10,11,14,15)

Bc 2: Thc hin nhm cc hng tch


Ta nhn thy rng 4 ct c duy nht mt du "x" ng vi hai hng 11-v 1-1-. Do , biu thc ti gin l: A BCD 11-1-110 x 11 x 12 x 13 x 14 x x 15 x x

f ( A, B, C, D ) = AB + AC
V1.0

Bi ging in t s

46

Cng logic v cc tham s chnh


Cng logic c bn Mt s cng ghp thng dng Logic dng v logic m Cc tham s chnh

V1.0

Bi ging in t s

47

Cng logic c bn: AND, OR, NOT


Cng AND Cng OR Cng NOT

V1.0

Bi ging in t s

48

Cng AND
Hm ra ca cng AND 2 v nhiu bin vo nh sau:
f = f (A, B) = AB; f = f (A, B, C, D,...) = A.B.C.D...

K hiu cng AND


A B A B C f A B A B C
0

Bng trng thi cng AND 2 li vo


&
0 0

A 0 0 1 1
1 1 1 1 0 0 0 0 Li vo B 0 t Li vo A

B 0 1 0 1

f 0 0 0 1

A L L H H

B L H L H

f L L L H

0 0 0

&
0

Chun ANSI
0 0 0 1 0 0 1

Chun IEEE
0 1 0 0 1 0 0 0 0 1 0 0

Theo gi tr logic
Li ra f

Theo mc logic

1 1

t0

t1

t2

t3

t4

t5

t6

t7

t8

t9

t10

th dng xung vo, ra ca cng AND

V1.0

Bi ging in t s

49

Cng OR
Hm ra ca cng OR 2 v nhiu bin vo nh sau:
f = f (A, B) = A + B; f = f (A, B, C, D,...) = A + B + C + D + ...

K hiu cng OR
A B A B C f A B A B C
0

Bng trng thi cng OR 2 li vo


>=1
0 0

A 0 0

B 0 1 0 1

f 0 1 1 1

A L L H H

B L H L H

f L H H H

0 0 0

>=1
0

1 1

Chun ANSI
0 0 0 t0

Chun IEEE
1 0 1 t1 t2 1 1 1 t3 0 1 1 t4 0 1 1 t5 0 0 0 t6 1 0 1 t7 1 1 1 t8

Theo gi tr logic
1 0 1 t9 0 0 0 t10 t A f

Theo mc logic

th dng xung ca cng OR.

V1.0

Bi ging in t s

50

Cng NOT
Hm ra ca cng NOT:

f =A
K hiu cng NOT
A f A f

Bng trng thi cng NOT A 0 1 f 1 0 A L H f H L

Theo gi tr logic

Theo mc logic

Chun ANSI

Chun IEEE

Dng xung ra
V1.0 Bi ging in t s
51

Mt s cng ghp thng dng


Cng NAND Cng NOR Cng khc du (XOR) Cng ng du (XNOR)

V1.0

Bi ging in t s

52

Cng NAND
Ghp ni tip mt cng AND vi mt cng NOT ta c cng NAND.

Hm ra ca cng NAND 2 v nhiu bin vo nh sau:


f = AB f = ABCD...

K hiu cng NAND


A B A B C f A B A B C
0

Bng trng thi cng NAND 2 li vo


&
0 0

A 0 0

B 0 1 0 1

f 1 1 1 0

A L L H H

B L H L H

f H H H L

0 0 0

&
0

1 1

Chun ANSI

Chun IEEE

Theo gi tr logic

Theo mc logic

V1.0

Bi ging in t s

53

Cng NOR
Ghp ni tip mt cng OR vi mt cng NOT ta c cng NOR.

Hm ra ca cng NOR 2 v nhiu bin vo nh sau:


f =A+B f = A + B + C + D + ...

K hiu cng NOR


A B A B C f A B A B C
0

Bng trng thi cng NOR 2 li vo


>=1
0 0

A 0 0

B 0 1 0 1

f 1 0 0 0

A L L H H

B L H L H

f H L L L

0 0 0

>=1
0

1 1

Chun ANSI

Chun IEEE

Theo gi tr logic

Theo mc logic

V1.0

Bi ging in t s

54

Cng NAND
Ghp ni tip mt cng AND vi mt cng NOT ta c cng NAND.

Hm ra ca cng NAND 2 v nhiu bin vo nh sau:


f = AB f = ABCD...

K hiu cng NAND


A B A B C f A B A B C
0

Bng trng thi cng NAND 2 li vo


&
0 0

A 0 0

B 0 1 0 1

f 1 1 1 0

A L L H H

B L H L H

f H H H L

0 0 0

&
0

1 1

Chun ANSI

Chun IEEE

Theo gi tr logic

Theo mc logic

V1.0

Bi ging in t s

55

Cng NOR
Ghp ni tip mt cng OR vi mt cng NOT ta c cng NOR.

Hm ra ca cng NOR 2 v nhiu bin vo nh sau:


f =A+B f = A + B + C + D + ...

K hiu cng NOR


A B A B C f A B A B C
0

Bng trng thi cng NOR 2 li vo


>=1
0 0

A 0 0

B 0 1 0 1

f 1 0 0 0

A L L H H

B L H L H

f H L L L

0 0 0

>=1
0

1 1

Chun ANSI

Chun IEEE

Theo gi tr logic

Theo mc logic

V1.0

Bi ging in t s

56

Cng XOR - cng khc du


Cng XOR cn gi l cng khc du, hay cng modul 2.

Hm ra ca cng XOR 2 bin vo nh sau:

f = AB + AB
K hiu cng XOR
A B A B C f A B A B C
0

hay

f = AB
Bng trng thi cng XOR 2 li vo A 0 0 B 0 1 0 1 f 0 1 1 0 A L L H H B L H L H f L H H L

=1
0

0 0 0

=1
0

1 1

Chun ANSI

Chun IEEE

Theo gi tr logic

Theo mc logic

V1.0

Bi ging in t s

57

Cng XNOR - cng ng du


Cng XNOR cn gi l cng ng du.

Hm ra ca cng XNOR 2 bin vo nh sau: f = AB + AB hay f = AB= A B


K hiu cng XNOR
A B A B C f A B A B C
0

Bng trng thi cng XNOR 2 li vo


=
0 0

A 0 0

B 0 1 0 1

f 1 0 0 1

A L L H H

B L H L H

f H L L H

0 0 0

=
0

1 1

Chun ANSI

Chun IEEE

Theo gi tr logic

Theo mc logic

V1.0

Bi ging in t s

58

Logic dng v logic m


Logic dng l logic c in th mc cao H lun ln hn in th mc thp L (VH > VL). Logic m l o ca logic dng (VH < VL).
Khi nim logic m thng c dng biu din tr cc bin. Logic m v mc m ca logic l hon ton khc nhau.
V H L 0 a) Logic dng vi mc dng. V 0 H 0 L b) Logic dng vi mc m. 1 1 0 0 1 0 1 1 1 0 0 1 0 t 0 1 1 0 0 1 0 1 1 1 0 0 1 0 t

V1.0

Bi ging in t s

59

Cc tham s chnh
Mc logic chng nhiu H s ghp ti K Cng sut tiu th Tr truyn lan

V1.0

Bi ging in t s

60

Mc logic
5v 4v VVHmin 3v NH 2,4v VRHmin VVLmax 1v 0v 0,8v VVLmax NL 1,5v NL 0,1v 3,5v VVHmax VRHmax VVHmax NH VRHmax 4,9v VRHmin

2v

VVHmin

0,4v

VRLmax

VRLmax

H TTL

H CMOS

Mc logic l mc in th trn u vo v u ra ca cng tng ng vi logic "1" v logic "0", n ph thuc in th ngun nui ca cng (VCC i vi h TTL (Transistor Transistor Logic) v VDD i vi h MOS (Metal Oxide Semiconductor)). Lu : mc logic vo vt qu in th ngun nui c th gy h hng cho cng.

V1.0

Bi ging in t s

61

chng nhiu
chng nhiu (hay phng v nhiu) l mc nhiu ln nht tc ng ti li vo hoc li ra ca cng m cha lm thay i trng thi vn c ca n.
VNH VVL TTL VRH VVH TTL Cng II VVH TTL VRL Cng I VNL VVL TTL Cng II VRH

Cng I

a) Tc ng nhiu khi mc ra cao

b) Tc ng nhiu khi mc ra thp

nh hng ca nhiu c th phn ra hai trng hp:


+ Nhiu mc cao: u ra cng I ly logic H (hnh a), u ra cng II l logic L, nu cc cng vn hot ng bnh thng. Khi tnh ti tc ng ca nhiu:
VRHmin + VNH VVHmin VNH VVHmin VRHmin
Vi cng TTL:

+ Nhiu mc thp: u ra cng I ly logic L (hnh b), tng t ta c:


VRLmax + VNL VVLmax VNL VVLmax VRLmax
Vi cng TTL:
VNL 0,8V 0, 4V = 0, 4V

VNL 2V 2, 4V = 0, 4V
Vi cng CMOS: VNL 3,5V 4,9V = 1, 4V

Vi cng CMOS: VNL 1,5V 0,1V = 1, 4V

V1.0

Bi ging in t s

62

H s ghp ti K
Cho bit kh nng ni c bao nhiu li vo ti u ra ca 1 cng cho. H s ghp ti ph thuc dng ra (hay dng phun) ca cng chu ti v dng vo (hay dng ht) ca cc cng ti c hai trng thi H, L.
Cng chu ti A B IRH H Cc cng ti Cng chu ti L A B IRL Cc cng ti

a) Mc ra ca cng chu ti l H

b) Mc ra ca cng chu ti l L

Cng thc tnh h s ghp ti:

Kt =

I RL max I RL

IRL=1,6mA gi l n v ghp ti (Dt)

V1.0

Bi ging in t s

63

Cng sut tiu th


+Vcc L H ICCH H H H +Vcc ICCL L

Hai trng thi tiu th dng ca cng logic ICCH - L dng tiu th khi u ra ly mc H, ICCL - L dng tiu th khi u ra ly mc L. Theo thng k, tn hiu s c t l bit H / bit L khong 50%. Do , dng tiu th trung bnh ICC c tnh theo cng thc: ICC = (ICCH + ICCL)/ 2 Cng sut tiu th trung bnh ca mi cng s l: P0 = ICC . VCC
V1.0 Bi ging in t s
64

Tr truyn lan
Tn hiu i qua mt cng phi mt mt khong thi gian, c gi l tr truyn lan.
Vo Vo Ra Ra tTLH

tTHL

Tr truyn lan xy ra ti c hai sn ca xung ra. Nu k hiu tr truyn lan ng vi sn trc l tTHL v sn sau l tTLH th tr truyn lan trung bnh l: tTbtb = (t THL + tTLH)/2 Thi gian tr truyn lan hn ch tn s cng tc ca cng. Tr cng ln th tn s cng tc cc i cng thp.
V1.0 Bi ging in t s
65

Ni dung
Chng 1: H m Chng 2: i s Boole v cc phng php biu din hm Chng 3: Cng logic TTL v CMOS Chng 4: Mch logic t hp Chng 5: Mch logic tun t Chng 6: Mch pht xung v to dng xung Chng 7: B nh bn dn

V1.0

Bi ging in t s

66

Cng logic TTL v CMOS

V1.0

Bi ging in t s

67

Cc h cng logic
H DDL H DTL H RTL H TTL H MOS FET H ECL

V1.0

Bi ging in t s

68

H DDL
DDL (Diode Diode Logic) l h cng logic do cc diode bn dn to thnh. Cng AND, OR 2 li vo h DDL:
+5V R1 f
a) Cng AND

Bng trng thi


th hin nguyn l hot ng ca cc cng AND, OR h DDL

AND
A B f

OR f(V) 0,7 0,7 0,7 4,7 A(V) B(V) 0 0 5 5 0 5 0 5 f(V) 0 4,3 4,3 4,3

A B

D1 D2

A(V) B(V) 0 0 3 0 3

A B

D1 D2 R1

A B

0
f

3 3

b) Cng OR

Theo mc in p vo/ra

V1.0

Bi ging in t s

69

H DDL (2)
u im ca h DDL:
Mch in n gin, d to ra cc cng AND, OR nhiu li vo. u im ny cho php xy dng cc ma trn diode vi nhiu ng dng khc nhau; Tn s cng tc c th t cao bng cch chn cc diode chuyn mch nhanh; Cng sut tiu th nh.

Nhc im ca h DDL:
phng v nhiu thp (VRL ln) ; H s ghp ti nh.

ci thin phng v nhiu ta c th ghp ni tip mch ra mt diode. Tuy nhin, khi VRH cng b st i 0,6V.

V1.0

Bi ging in t s

70

H DTL
thc hin chc nng o, ta c th u ni tip vi cc cng DDL mt transistor cng tc ch kho. Mch cng nh th c gi l h DTL (Diode Transistor Logic). V d cc cng NOT, NAND thuc h DTL
+5V +5V 2k f Q1 A D4 B b) 5k D1 +5V +5V 2k f Q1

4k D1 A 5k a) D2 D3

4k D2 D3

Bng cch tng t, ta c th thit lp cng NOR hoc cc cng lin hp phc tp hn.
V1.0 Bi ging in t s
71

H DTL (2)
u im ca h DTL:
Trong hai trng hp trn, nh cc diode D2, D3 chng nhiu trn li vo ca Q1 c ci thin. Mc logic thp ti li ra f gim xung khong 0,2 V ( bng th bo ho UCE ca Q1). Do IRHmax v IRLmax ca bn dn c th ln hn nhiu so vi diode nn h s ghp ti ca cng cng tng ln.

Nhc im ca h DTL:
V ti ca cc cng l in tr nn h s ghp ti (c bit i vi NH) cn b hn ch, Tr truyn lan ca h cng ny cn ln. Nhng tn ti trn s c khc phc tng phn cc h cng sau.

V1.0

Bi ging in t s

72

H RTL
H RTL (Resistor Transistor Logic) l cc cng logic c cu to bi cc in tr v transistor. Cng NOT h RTL Cng NOR 2 li vo h RTL

Bng trng thi


A(V) 0 5 f(V) 5,7 0 A(V) 0 0 5 5

Bng trng thi


B(V) 0 5 0 5
73

f(V) 5,7 0 0 0

V1.0

Bi ging in t s

H TTL
Thay cc it u vo h DTL thnh transistor a lp tip gip BE ta c h TTL (Transistor Transistor Logic). Mt s mch TTL
Mch cng NAND Mch cng OR Mch cng collector h Mch cng TTL 3 trng thi H TTL c diode Schottky ( TTL + S )

V1.0

Bi ging in t s

74

Mch cng NAND TTL


S nguyn l ca mch NAND TTL c th c chia ra thnh 3 phn.
Mch u vo: gm Transistor Q1, tr R1 v cc diode D1, D2. Mch ny thc hin chc nng NAND. Mch gia: gm Transistor Q2, cc tr R2, R4. Mch u ra: gm Q3, Q4, R3 v diode D3.
+Vcc R1 4k R2 1,6k R3 300 Q3 A B Q4 D1 D2 R4 1k Q1 Q2 D3 f A B

Khi bt k mt li vo mc thp th Q1 u tr thnh thng bo ho, do Q2 v Q4 ng, cn Q3 thng nn u ra ca mch s mc cao. Li ra s ch xung mc thp khi tt c cc li vo u mc logic cao v lm transistor Q1 cm. Diode D3 c s dng nh mch dch mc in p, n c tc dng lm cho Q3 cm hon ton khi Q2 v Q4 thng. Diode ny nhiu khi cn c mc vo mch gia collector Q2 v base ca Q3.

V1.0

Bi ging in t s

75

Mch cng OR TTL


S nguyn l ca mch NAND TTL c th c chia ra thnh 3 phn.
Mch u vo: gm Transistor Q1, Q2, Q3, tr R1, R2 v cc diode D1, D2. Mch ny thc hin chc nng OR. Mch gia: gm Transistor Q4, Q5, cc tr R3, R4, v diode D3. Mch u ra: gm Q6, Q7, Q8, cc tr R5, R6, R7 v diode D4.
+Vcc R1 4k R2 4k R3 1,6k D3 Q4 Q2 D1 D2 Q3 R4 1 k Q5 R6 1 k R5 1,6k Q6 Q1 D4 f Q8 R7 130 Q7

A B

S mch in ca mt cng OR TTL 2 li vo.

Nguyn l hot ng ca mch vo ny cng ging vi cng NAND

V1.0

Bi ging in t s

76

Mch cng collector h


Nhc im ca h cng TTL c mch ra khp kn l h s ti u ra khng th thay i, nn nhiu khi gy kh khn trong vic kt ni vi u vo ca cc mch in t tng sau. Cng logic collector h khc phc c nhc im ny. +5V
R1 4k A D1 Q1 R2 1,6k Q2 Q3 R3 1,6k f A f

Hnh trn l s ca mt cng TTL o collector h tiu chun. Mun a cng vo hot ng, cn u thm tr gnh ngoi, t cc collector n +Vcc. Mt nhc im ca cng logic collector h l tn s hot ng ca mch s gim xung do phi s dng in tr gnh ngoi.

V1.0

Bi ging in t s

77

Mch cng TTL 3 trng thi

+5V R1 4k R2 4k D1 R3 1,6k R5 130 Q4 Q3 D2 f Q2 Q5 R4 1k

+Vcc R5

A B E

Q1

Q4 Li ra Z cao

Q5

V1.0

Bi ging in t s

78

H MOS FET
Bn dn trng (MOS FET) cng c dng rt ph bin xy dng mch in cc loi cng logic. c im chung v ni bt ca h ny l:
Mch in ch bao gm cc MOS FET m khng c in tr Di in th cng tc rng, c th t +3 n +15 V tr thi gian ln, nhng cng sut tiu th rt b

Tu theo loi MOS FET c s dng, h ny c chia ra cc tiu h:


PMOS NMOS CMOS Cng truyn dn

V1.0

Bi ging in t s

79

PMOS
Mch in ca h cng ny ch dng MOSFET c knh dn loi P. Cng ngh PMOS cho php sn xut cc mch tch hp vi mt cao nht. Hnh di l s cng NOT v cng NOR loi PMOS. y MOSFET Q2, Q5 ng chc nng cc in tr.
VDD A G D S G D G VSS D VSS a) Cng NOT b) Cng NOR Q2 S Q1 f=A B G D S Q5 A G D S Q4 f= A+B VDD S Q3

V1.0

Bi ging in t s

80

NMOS
Mch in ca h cng ny ch dng MOSFET c knh dn loi N. Hnh di l s cng NAND v cng NOR loi NMOS. y MOSFET Q1 ng vai tr in tr.
VDD VDD

Q1 1 f A Q2 A Q3 B VSS a) Cng NAND Q2 B

Q1 f Q3

VSS b) Cng NOR

V1.0

Bi ging in t s

81

CMOS
CMOS Complementary MOS. Mch in ca h cng logic ny s dng c hai loi MOS FET knh dn P v knh dn N. Bi vy c hin tng b dng in trong mch. Chnh v th m cng sut tiu th ca h cng, c bit trong trng thi tnh l rt b.
VDD VDD S G A G S B Q4 D D Q2 Q1 f A G D G S S Q1 G D D Q3 Q2 f

a) Cng NOT

b) Cng NAND

V1.0

Bi ging in t s

82

Cng truyn dn
Da trn cng ngh CMOS, ngi ta sn xut loi cng c th cho qua c tn hiu s ln tn hiu tng t. Bi vy cng c gi l cng truyn dn
G Q1 S Vo/Ra D D +5V S Q2 G a) Mch in b) K hiu iu khin Ra/Vo Vo/Ra Ra/Vo

V1.0

Bi ging in t s

83

H ECL
ECL (Emitter Coupled Logic) l h cng logic c cc E ca mt s bn dn ni chung vi nhau. H mch ny cng s dng cng ngh TTL, nhng cu trc mch c nhng im khc hn vi h TTL.
+Vcc D Li vo C B A Q4 Q1 Q2 Q3 Q5 Q6 -1,29 V D1 D2 - 1,75 V -Vcc = - 5V a) Mch in nguyn l - 1,4 V - 1,2 V Vo Q7 R5 R6 R8 Q8 Li ra OR Li ra NOR Ra - 0,9 V

R1

R2

R3

R4

RE

R7

R9

b) th mc vo/ra

V1.0

Bi ging in t s

84

Giao tip gia cc cng logic c bn


Giao tip gia TTL v CMOS Giao tip gia CMOS v TTL

V1.0

Bi ging in t s

85

Cu hi

V1.0

Bi ging in t s

86

Ni dung
Chng 1: H m Chng 2: i s Boole v cc phng php biu din hm Chng 3: Cng logic TTL v CMOS Chng 4: Mch logic t hp Chng 5: Mch logic tun t Chng 6: Mch pht xung v to dng xung Chng 7: B nh bn dn

V1.0

Bi ging in t s

87

Mch logic t hp

V1.0

Bi ging in t s

88

Ni dung
Khi nim chung Phn tch mch logic t hp Thit k mch logic t hp Mch m ha v gii m B hp knh v phn knh Mch cng Mch so snh Mch to v kim tra chn l n v s hc v logic (ALU) Hazzards
V1.0 Bi ging in t s
89

Khi nim chung


c im c bn ca mch t hp
Trong mch s, mch t hp l mch m tr s n nh ca tn hiu u ra thi im ang xt ch ph thuc vo t hp cc gi tr tn hiu u vo. c im cu trc mch t hp l c cu trc nn t cc cng logic. Vy cc mch in cng chng 2 v cc mch logic chng 3 u l cc mch t hp.

Phng php biu din chc nng logic


Cc phng php thng dng biu din chc nng logic ca mch t hp l hm s logic, bng trng thi, bng Cac n (Karnaugh), cng c khi biu th bng th thi gian dng xung. i vi vi mch c nh (SSI) thng biu din bng hm logic. i vi vi mch c va (MSI) thng biu din bng bng trng thi.

V1.0

Bi ging in t s

90

Khi nim chung (2)


Phng php biu din chc nng logic (tip)
S khi tng qut ca mch logic t hp c trnh by hnh v. Mch logic t hp c th c n li vo v m li ra. Mi li ra l mt hm ca cc bin vo. Quan h vo, ra ny c th hin bng h phng trnh tng qut sau: Y0 = f0(x0, x1, , xn-1); Y1 = f1(x0, x1, , xn-1); Ym-1 = fm-1(x0, x1, , xn-1).

x0 x1 Mch logic t hp xn-1

Y0 Y1 Ym-1

c im ni bt ca mch logic t hp l hm ra ch ph thuc cc bin vo m khng ph thuc vo trng thi ca mch. Cng chnh v th, trng thi ra ch tn ti trong thi gian c tc ng vo. Th loi ca mch logic t hp rt phong ph. Phm vi ng dng ca chng cng rt rng.
V1.0 Bi ging in t s
91

Phn tch mch logic t hp


nh ngha: l nh gi, ph phn mt mch. Trn c s , c th rt gn, chuyn i dng thc hin ca mch in c c li gii ti u theo mt ngha no y. Mch t hp c th bao gm hai hay nhiu tng, mc phc tp ca ca mch cng rt khc nhau. Thc hin:
Nu mch n gin th ta tin hnh lp bng trng thi, vit biu thc, rt gn, ti u (nu cn) v cui cng v li mch in. Nu mch phc tp th ta tin hnh phn on mch vit biu thc, sau rt gn, ti u (nu cn) v cui cng v li mch in.

V1.0

Bi ging in t s

92

V d
A 0 0 1 1 B 0 1 0 1 F=AB 0 1 1 0 Hnh 4-4. S logic th hin hm f

Bng 4-3. Bng trng thi m t hot ng ca h chiu sng

V1.0

Bi ging in t s

93

Thit k mch logic t hp


l bi ton ngc vi bi ton phn tch. Ni dung thit k c th hin theo tun t sau: 1. Phn tch bi ton cho gn hm v bin, xc lp mi quan h logic gia hm v cc bin ; 2. Lp bng trng thi tng ng; 3. T bng trng thi c th vit trc tip biu thc u ra hoc thit lp bng Cac n tng ng; 4. Dng phng php thch hp rt gn, a hm v dng ti gin hoc ti u theo mong mun; 5. V mch in th hin.
V1.0 Bi ging in t s
94

Cc bc thit k mch t hp

V1.0

Bi ging in t s

95

Thit k mch logic t hp


V d: Mt ngi nh hai tng. Ngi ta lp hai chuyn mch hai chiu ti hai tng, sao cho tng no cng c th bt hoc tt n. Hy thit k mt mch logic m phng h thng ? 1 1 Li gii: H thng chiu sng nh s Biu thc ca hm l:
f =A B+ A B = AB hay f = AB A AB B

B 0 0

VAC Mch in ca h thng chiu sng Bng trng thi

S logic th hin hm f A B

A 0 f 0 1 1

B 0 1 0 1
96

f 0 1 1 0

V1.0

Bi ging in t s

Mch m ha
M ho l dng vn t, k hiu hay m biu th mt i tng xc nh. B m ho l mch in thao tc m ho, c nhiu b m ho khc nhau, b m ho nh phn, b m ho nh - thp phn, b m ho u tin v.v. M nh phn n bit c 2n trng thi, c th biu th 2n tn hiu. Vy tin hnh m ho N tn hiu, cn s dng n bit sao cho 2n N. Mt s loi m thng dng
M BCD v m d 3 M Gray M chn, l

Mch m ho
Mch m ho t thp phn sang BCD 8421 Mch m ho u tin V1.0 Bi ging in t s

97

Mch gii m
Gii m l mt qu trnh phin dch hm c gn bng mt t m. Mch in thc hin gii m gi l b gii m. B gii m bin i t m thnh tn hiu u ra. Mch gii m
Mch gii m 7 on Mch gii m nh phn

V1.0

Bi ging in t s

98

M BCD v m d 3
M BCD (Binary Coded Decimal)
Cu to: dng t nh phn 4 bit m ha 10 k hiu thp phn, nhng cch biu din vn theo thp phn. V d i vi m NBCD, cc ch s thp phn c nh phn ho theo trng s nh nhau 23, 22, 21, 20 nn c 6 t hp d, ng vi cc s thp phn 10,11,12,13,14 v 15. ng dng: Do trng s nh phn ca mi v tr biu din thp phn l t nhin nn my c th thc hin trc tip cc php tnh cng, tr, nhn, chia theo m NBCD. Nhc im chnh ca m l tn ti t hp ton Zero, gy kh khn trong vic ng b khi truyn dn tn hiu. Thp BCD M phn 8421 D 3 0 1 2 3 4 5 6 7 8 9 0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 0011 0100 0101 0110 0111 1000 1001 1010 1011 1100

M D-3
Cu to: c hnh thnh t m NBCD bng cch cng thm 3 vo mi t hp m. Nh vy, m khng bao gm t hp ton Zero. ng dng truyn dn tn hiu m khng dng cho vic tnh ton trc tip. V1.0 Bi ging in t s

99

M Gray
M Gray cn c gi l m cch 1, l loi m m cc t hp m k nhau ch khc nhau duy nht 1 bit. Loi m ny khng c tnh trng s. Do , gi tr thp phn c m ha ch c gii m thng qua bng m m khng th tnh theo tng trng s nh i vi m BCD. M Gray c th c t chc theo nhiu bit. Bi vy, c th m theo m Gray. Cng tng t nh m BCD, ngoi m Gray chnh cn c m Gray d-3.
Thp phn 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 Gray 0000 0001 0011 0010 0110 0111 0101 0100 1100 1101 1111 1110 1010 1011 1001 1000 Gray D 3 0010 0110 0111 0101 0100 1100 1101 1111 1110 1010 1011 1001 1000 0000 0001 0011

V1.0

Bi ging in t s

100

M chn, l
M chn v m l l hai loi m c kh nng pht hin li hay dng nht. thit lp loi m ny ta ch cn thm mt bit chn/ l (bit parity) vo t hp m cho, nu tng s bit 1 trong t m (bit tin tc + bit chn/l) l chn th ta c m chn v ngc li ta c m l.
BCD 8421 BCD 8421chn BCD 8421 l PC 0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 0000 0 0001 1 0010 1 0011 0 0100 1 0101 0 0110 0 0111 1 1000 1 1001 0 PL 0000 1 0001 0 0010 0 0011 1 0100 0 0101 1 0110 1 0111 0 1000 0 1001 1

V1.0

Bi ging in t s

101

Mch m ho t thp phn sang BCD 8421


S khi tng qut ca mch m ho
Gm 9 li vo (bin) ng Vi cc ch s thp phn t 1 n 9. Li vo zero l khng cn thit, v khi tt c cc li vo khc bng 0 th li ra cng bng 0. Bn li ra A, B, C, D (hm) th hin t hp m tng ng vi mi ch s thp phn trn li vo theo trng s 8421. Bng trng thi S khi ca mch m ha
1 2 3 4 Mch 5 m ho 6 7 8 9 A 8 B 4 C 2 D 1 Ra BCD 8421

Vo Thp phn

Vo thp phn 1
2

3
4 5 6 7 8 9

Ra BCD 8 4 2 1 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1
102

T bng trng thi ta vit c cc hm ra:


A = 8 +9 B=4+5+6+7 C=2+3+6+7 D=1+3+5+7+9 = (8,9) = ( 4,5,6,7) = (2,3,6,7) = (1,3,5,7,9)

V1.0

Bi ging in t s

Bng m ho BCD 8421


S thp phn 0 (Y0) 1 (Y1) 2 (Y2) 3 (Y3) 4 (Y4) 5 (Y5) 6 (Y6) 7 (Y7) 8 (Y8) 9 (Y9) A 0 0 0 0 0 0 0 0 1 1 B 0 0 0 0 1 1 1 1 0 0 C 0 0 1 1 0 0 1 1 0 0 D 0 1 0 1 0 1 0 1 0 1

Bng 4-4. Bng m ho BCD 8421:

V1.0

Bi ging in t s

103

Mch m ho t thp phn sang BCD 8421


Cn c h phng trnh, ta xy dng c mch in ca b m ho. Hoc dng ma trn diode (cng OR) xy dng Hoc c th c vit li nh sau (dng nh l DeMorgan) v dng ma trn diode (cng AND) xy dng mch:
A = 8+9 = 8 . 9 B = 4+5+ 6+ 7 = 4 . 5 . 6 . 7 C = 2 +3+ 6 + 7 = 2 . 3 . 6 . 7 D = 1+ 3 + 5 + 7 + 9 = 1 . 3 . 5 . 7 . 9
+5V R4 R3 R2 R1

1 2 3 4 5 6 7 8 9

A B C D Mch in ca b m ho dng diode

V1.0

Bi ging in t s

104

Mch m ha u tin
Trong b m ho va xt trn, tn hiu vo tn ti c lp, (khng c trng hp c 2 t hp tr ln ng thi tc ng). gii quyt trng hp c nhiu u vo tc ng ng thi ta c B m ho u tin. Trong cc trng hp ny th b m ho u tin ch tin hnh m ho tn hiu vo no c cp u tin cao nht thi im xt. Vic xc nh cp u tin cho mi tn hiu vo l do ngi thit k mch. Xt nguyn tc hot ng v qu trnh thit k ca b m ho u tin 9 li vo, 4 li ra.

V1.0

Bi ging in t s

105

Mch m ha u tin (tip)


D s ly logic 1 ng vi u vo l 1, 3, 5, 7, 9. Tuy nhin, li vo 1 ch hiu lc khi tt c cc li vo cao hn u bng 0; li vo 3 ch c hiu lc khi 4, 6, 8 u bng 0 v tng t i vi 5, 7, 9, ngha l: 1=1 v 2,4,6,8 bng 0 3=1 v 4,6,8 bng 0 D=1 nu 5=1 v 6,8 bng 0 7=1 v 8 bng 0 9=1
D = 1.2.4.6.8 + 3.4.6.8 + 5.6.8 + 7.8 + 9 L lun tng t ta c:

Vo thp phn

Ra BCD

1 2 3 4 5 6 7 8 9 8 4 2 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 1 X 1 0 0 0 0 0 0 0 0 0 1 0 X X 1 0 0 0 0 0 0 0 0 1 1 X X X 1 0 0 0 0 0 0 1 0 0 X X X X 1 0 0 0 0 0 1 0 1 X X X X X 1 0 0 0 0 1 1 0 X X X X X X 1 0 0 0 1 1 1 X X X X X X X 1 0 1 0 0 0 X X X X X X X X 1 1 0 0 1
106

C = 2.4.5.8.9 + 3.4.5.8.9 + 6.8.9 + 7.8.9 B = 4.8.9 + 5.8.9 + 6.8.9 + 7.8.9 A = 8+9


V1.0

Bi ging in t s

Mch gii m 7 on
Dng c 7 on
hin th ch s ca mt h m phn bt k, ta c th dng dng c 7 on. Cu to ca n nh ch hnh 4-15. Cc on c hnh thnh bng nhiu loi vt liu khc nhau, nhng phi c kh nng hin th c trong cc iu kin nh sng khc nhau v tc chuyn mch phi ln. Trong k thut s, cc on thng c dng l LED hoc tinh th lng (LCD). i vi LED, mi on l mt Diode pht quang v khi c dng in i qua ln (5 n 30 mA) th on tng ng s sng. Ngoi 7 on sng chnh, mi LED cng c thm Diode hin th du phn s khi cn thit. LED c hai loi chnh: LED Ant chung v Ktt chung. Do , logic ca tn hiu iu khin hai loi ny l ngc nhau.
a f e g d Cu to dng c 7 on sng b c

V1.0

Bi ging in t s

107

Mch gii m 7 on
Mch gii m 7 on
Nhim v ca ta l phi thit k mt mch logic lin hp vi 4 li vo v 7 li ra chuyn m NBCD thnh m 7 on. S khi tng qut ca b gii m nh hnh b). T hnh a) d nhn thy rng, on a s sng khi hin th ch s : 0 hoc 2, hoc 3, hoc 5, hoc 7, hoc 8, hoc 9. Do , ta c th vit:
a = (0,2,3,5,6,7,8,9).
a f e g d a) Cu to dng c 7 on sng Mch 1 gii m 2 7 on 4 8 a b c d e f g b c

Tng t, ta c:
b = (0,1,2,3,4,7,8,9), c = (0,1,3,4,5,6,7,8,9), d = (0,2,3,5,6,8,9), e = (0,2,6,8), f = (0,4,5,6,8,9), g = (2,3,4,5,6,8,9).

D C B A

IC 7447, 74247 (Ant chung), 7448 (K chung ), 4511 (CMOS) l cc IC gii m t NBCD sang thp phn theo phng php hin th 7 on. V1.0 Bi ging in t s

b) S khi ca mch gii m 7 on sng

108

Mch gii m nh phn


B gii m nh phn cn c tn l b gii m "1 t n", b gii m a ch hoc b chn a ch nh phn. Chc nng ca n l la chn duy nht mt li ra (ly gi tr 1 hoc 0), khi tc ng ti u vo mt s nh phn. Nh vy, nu s nh phn l n bit (n li vo) s nhn din c 2n a ch khc nhau (trn 2n li ra). Ni khc i, mch chn a ch nh phn l mt mch logic t hp c n li vo v 2n li ra, nu tc ng ti u vo mt s nh phn th ch duy nht mt li ra c la chn, ly gi tr 1 (tch cc cao) hoc 0 (tch cc thp), cc li ra cn li u khng c la chn, ly gi tr 0 hoc 1.
V1.0 Bi ging in t s
A0 A1 An-1 B gii m nh phn D0 D1

D2n- 1

S khi ca b gii m nh phn

109

B hp knh v phn knh


B hp knh (MUX-Multiplexer)
nh ngha: B hp knh l mch c 2n li vo d liu, n li vo iu khin, 1 li vo chn mch v 1 li ra.

Tu theo gi tr ca n li vo iu khin m li ra s bng mt trong nhng gi tr li vo (Xj). Nu gi tr thp phn ca n li vo iu khin bng j th Y = Xj.

B phn knh (DEMUX-DeMultiplexer)


nh ngha: B phn knh l mch c 1 li vo d liu, n li vo iu khin, 1 li vo chn mch v 2n li ra.

Tu theo gi tr ca n li vo iu khin m li ra th i (Yi) s bng gi tr ca li vo. C th nu gi n li vo iu khin l An-1An-2A0 th Yi = X khi (An-1An-2A0)2 = (i)10.

V1.0

Bi ging in t s

110

B hp knh (MUX-Multiplexer)
Phng trnh tn hiu ra ca MUX 2n 1: Y = X 0 (A n 1 A n 2 ...A i ...A 0 ) + X1 (A n 1 A n 2 ...A i ...A 0 ) + ... + X 2n 1 (A n 1A n 2 ...A i ...A1A 0 )
En X0 X1 Xj X2n-1 An-1 An-2 A0 n li vo iu khin (a) S khi B hp knh MUX 2n 1 X0 X1 Xj X2n-1 (b). MUX l mt chuyn mch in t
E1 E2
Vo cho php A0 A1 A2

MUX 2n 1

74151
Vo iu khin Vo d liu

Y- Li ra

Thc cht, MUX l chuyn mch in t dng cc tn hiu iu khin (An-1An-2A0) iu khin s ni mch ca li ra vi 1 trong s 2n li vo. MUX c dng nh 1 phn t vn nng xy dng nhng mch t hp khc. IC 74151 l b MUX 8 li vo d liu - 1 li ra. V1.0 Bi ging in t s
111

B phn knh (DEMUX-DeMultiplexer)


Phng trnh tn hiu ra ca DEMUX 1 2n :
Y0 = X.A n 1 A n 2 ...A i ...A 0 Y1 = X.A n 1 A n 2 ...A i ...A1A 0
En Chn mch Li vo X Y0 Y1 Yj Y2n-1 Y0 Y1 Yj Y2n-1 (b). DEMUX l mt chuyn mch in t An-1 An-2 A0 n li vo iu khin (a) S khi
Hnh 4-19. B phn knh DEMUX 1 2n 74138
Vo iu khin Vo d liu

MUX 2n 1

.............. Y2n 1 = X.A n 1.A n 2 ...A i ...A 0

X Li vo

A0 A1 A2

B phn knh cn c gi l b gii m 1 trong 2n. Ti mt thi im ch c 1 trong s 2n li ra mc tch cc. IC 74138 l b DEMUX 1 li vo d liu - 8 li ra.

Vo cho php

V1.0

Bi ging in t s

112

Mch cng: Mch ton tng


nh ngha: Mch logic thc hin php cng hai s nh phn 1 bit c li nh u vo c gi l mch ton tng. Theo s khi tng qut ca mch ton tng v nguyn l cng hai s nh phn mt bit c trng s bt k, ta c th lp bng trng thi v cc hm ra Si, Ci. Si = ai bi Ci 1

Ci = ai bi Ci 1 + ai bi Ci 1 + ai bi Ci 1 Ci = ai bi + ( ai bi ) Ci 1

Ci-1 ai bi

Si

Ci

Bng trng thi


Ci-1 0 0 0 0 1 1 1 1 ai 0 0 1 1 0 0 1 1 bi 0 1 0 1 0 1 0 1 Si 0 1 1 0 1 0 0 1 Ci 0 0 0 1 0 1 1 1
Gi Pi a) Mch in Si Pi Ci-1 TT Ci Gi ai bi

b) K hiu

V1.0

Bi ging in t s

113

Mch cng: Mch cng nh phn song song


Ta c th ghp nhiu b cng hai s nh mt bit li vi nhau thc hin php cng hai s nh phn nhiu bit. S khi ca b cng c trnh by di, c gi l b cng song song
Si B ton tng S2 B ton tng CV2 CR1 S1 B ton tng S0 B ton tng CV0

CRi

CVi

CR2

CV1 CR0

bi

ai b2 a2 b1 a1 Hnh 4-22 S khi ca b cng nh phn song song

b0

a0

gim bt mc phc tp ca mch, trong thc t ngi ta thng sn xut b tng 4 bit. Mun cng nhiu bit, c th hp ni tip mt vi b tng mt bit theo phng php nu trn. Mt trong nhng b cng thng dng hin nay l 7483. IC ny c sn xut theo hai loi: 7483 v 7483A vi logic vo, ra khc nhau.
V1.0 Bi ging in t s
114

Mch so snh
Trong cc h thng s, c bit l trong my tnh, thng thc hin vic so snh hai s. Hai s cn so snh c th l cc s nh phn, c th l cc k t m ho nh phn. Mch so snh c th hot ng theo kiu ni tip hoc theo kiu song song. Trong phn ny ta s nghin cu b so snh theo kiu song song.
B so snh bng nhau
B so snh bng nhau 1 bit B so snh bng nhau 4 bit

B so snh
B so snh 1 bit B so snh 4 bit (So snh ln hn)

V1.0

Bi ging in t s

115

B so snh bng nhau


B so snh bng nhau 1 bit
Xt 2 bit ai v bi, gi gi l kt qu so snh. Ta c:
g i = ai .bi + ai .bi = ai bi
S logic ca hm ra b so snh bng 1 bit Bng trng thi ca b

so snh bng 1 bit


ai 0 0 1 1 bi 0 1 0 1 gi 1 0 0 1

ai bi

gi

B so snh bng nhau 4 bit


So snh hai s nh phn 4 bit A = a3a2a1a0 vi B = b3b2b1b0. C A = B a3 = b3, a2 = b2, a1 = b1, a0 = b0. Biu thc u ra tng ng l: G = g3g2g1g0 vi:
g3 = a3 b3 , g 2 = a2 b2 , g1 = a1 b1 , g 0 = a0 b0

V1.0

Bi ging in t s

116

B so snh 1 bit
Bng trng thi ca mch so snh
ai 0 0 1 1 bi 0 1 0 1 f< 0 1 0 0 f= 1 0 0 1 f> 0 0 1 0

Mch in ca b so snh 1 bit ai bi f< f= f>

Biu thc u ra:

f < = a i . bi f = = a i bi f > = a i . bi

V1.0

Bi ging in t s

117

B so snh 4 bit (So snh ln hn)


So snh hai s nh phn 4 bit A = a3a2a1a0 vi B = b3b2b1b0. C A > B khi:
hoc a3 > b3, hoc a3 = b3, v a2 > b2, hoc a3 = b3, v a2 = b2, v a1 > b1, hoc a3 = b3, v a2 = b2, v a1 = b1, v a0 > b0.

T ta c biu thc hm ra l:

f > = a3 .b3 + a3 b3 .a2 .b2 + a3 b3 .a2 b2 .a1.b1 + a3 b3 .a2 b2 .a1 b1.a0 .b0

a3 b3

a2 b2 a1 b1 a0 b0 Mch in ca b so snh ln hn 4 bit f>

V1.0

Bi ging in t s

118

Mch to v kim tra chn l


C nhiu phng php m ho d liu pht hin li v sa li khi truyn d liu t ni ny sang ni khc. Phng php n gin nht l thm mt bit vo d liu c truyn i sao cho s ch s 1 trong d liu lun l chn hoc l. Bit thm vo c gi l bit chn/l. thc hin c vic truyn d liu theo kiu a thm bit chn, l vo d liu chng ta phi:
Xy dng s to c bit chn, l thm vo n bit d liu. Xy dng s kim tra h xem l h chn hay l vi (n + 1) bit u vo (n bit d liu, 1 bit chn/l).

V1.0

Bi ging in t s

119

Mch to bit chn/l


n bit d liu To bit chn/l Xo Xe

S khi tng qut ca mch to bit chn/l

Xt trng hp 3 bit d liu d1, d2, d3 Gi Xe, X0 l 2 bit chn, l thm vo d liu. T bng trng thi ta thy
X o = Xe hay Xe = Xo

Bng trng thi ca mch to bit chn l Vo Ra


d1 0 0 0 0 1 1 1 1 d2 0 0 1 1 0 0 1 1 d3 0 1 0 1 0 1 0 1 Xe 0 1 1 0 1 0 0 1
120

V biu thc ca X0 v Xe l
Xe = d1 d 2 d 3 Xo = X e = d1 d 2 d3

Xo 1 0 0 1 0 1 1 0

V1.0

Bi ging in t s

Mch kim tra chn/l


n bit d liu Kim tra h chn/l Fo Fe

Vo
d1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 d2 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 d3 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 X 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1
121

Ra
Fo 0 1 1 0 1 0 0 1 1 0 0 1 0 1 1 0 Fe 1 0 0 1 0 1 1 0 0 1 1 0 1 0 0 1

Bit chn l (Xo, Xe) S khi ca mch kim tra chn/l

T bng trng thi ca mch kim tra tnh chn/l ta thy:


Fe = 1 nu h l chn (Fe ch ra tnh chn ca h). Fo = 1 nu h l l (Fo ch ra tnh l ca h).

Hai hm kim tra chn/l lun l ph nh ca nhau. Mt khc do tnh cht ca hm cng XOR, ta c:
Fo = d1 d2 d3 X Fe = Fo V1.0 Bi ging in t s

74LS180
8 9 10 11 12 13 1 2 I0 I1 I2 4 3 OI 54/74180 EI I3 I4 I5 I6 I7

5 VCC = 14 GND = 7

V1.0

Bi ging in t s

122

n v s hc v logic (ALU)
4 Thanh ghi A 4 ALU 4 Thanh ghi B 4 Cin M (Mode) F0 Chn chc nng F1 (Php tnh) 4 Ghi trng thi

S khi ca ALU 4 bit

n v s hc v logic (Arithmetic Logic Unit) l mt thnh phn c bn khng th thiu c trong cc my tnh. N bao gm 2 khi chnh l khi logic v khi s hc v mt khi ghp knh.
Khi logic: Thc hin cc php tnh logic nh l AND, OR, NOT, XOR. Khi s hc: Thc hin cc php tnh s hc nh l: cng, tr, tng 1, gim 1.

V1.0

Bi ging in t s

123

Hazzards/Glitch
Hazard cn c gi l s "sai nhm", hot ng lc c lc khng ca mch logic. S "sai nhm" ny c th xy ra trong mt mch in hon ton khng b hng linh kin lm cho mch hot ng khng c s tin cy. Hin tng ca Hazard trong mch t hp c th gp l:
- Hazard ch xut hin mt ln v khng bao gi gp li na. - Hazard c th xut hin nhiu ln (theo mt chu k no hoc khng theo mt chu k no). - Hazard c th do chnh chc nng ca mch in gy ra. y l trng hp kh gii quyt nht khi thit k.

V1.0

Bi ging in t s

124

Hazzards
Bn cht ca hazzards
Do s chy ua gia cc tn hiu VD: demo trn Logicworks

Phn loi hazzard


Hazzard tnh : u ra ch xung 0 hoc 1 mt ln Hazzard ng : u ra c th thay i nhiu hn 1 ln

V1.0

Bi ging in t s

125

Ni dung
Chng 1: H m Chng 2: i s Boole v cc phng php biu din hm Chng 3: Cng logic TTL v CMOS Chng 4: Mch logic t hp Chng 5: Mch logic tun t Chng 6: Mch pht xung v to dng xung Chng 7: B nh bn dn

V1.0

Bi ging in t s

126

Mch logic tun t

V1.0

Bi ging in t s

127

Ni dung
Khi nim chung v m hnh ton hc Phn t nh ca mch tun t Phng php m t mch tun t Phn tch mch tun t Thit k mch tun t Mch tun t ng b Mch tun t khng ng b Hin tng chu k v chy ua trong mch khng ng b Mt s mch tun t thng dng
V1.0 Bi ging in t s
128

Khi nim chung v m hnh ton hc


Khi nim chung
Mch logic tun t hay cn gi l mch dy - Sequential Circuit. Hot ng ca h ny c tnh cht k tip nhau, tc l trng thi hot ng ca mch in khng nhng ph thuc trc tip li vo m cn ph thuc vo trng thi bn trong trc ca chnh n. Ni cch khc cc h thng ny lm vic theo nguyn tc c nh. x z

M hnh ton hc Z = f(Q, X)


X - tp tn hiu vo. Q - tp trng thi trong trc ca mch. W - hm kch. Z - cc hm ra

x2 xi Q1 Ql
Mch nh Mch t hp

z2 zj W1 Wk

S khi ca mch tun t.

Biu din khc: Z = f (Q(n), X); Q (n +1) = f (Q(n), X)


Q(n +1): l trng thi tip theo ca mch. Q(n): l trng thi bn trong trc .

V1.0

Bi ging in t s

129

Trig Phn t nh ca mch tun t


nh ngha: Trig l phn t c kh nng lu tr (nh) mt trong hai trng thi 0 v 1. PR Cu trc
Trig c t 1 n mt vi li iu khin, c hai li ra lun lun ngc nhau l Q v Q. Tu tng loi trig c th c thm cc li vo lp (PRESET) v li vo xo (CLEAR). Ngoi ra, trig cn c li vo ng b (CLOCK). Hnh bn l s khi tng qut ca trig. Cc li vo iu khin Clock CLR Q TRIG
Q

Phn loi:
Theo chc nng lm vic ca ca cc li vo iu khin:
Trig 1 li vo nh trig D, T; Trig 2 li vo nh trig RS, trig JK.

Theo phng thc hot ng thi ta c hai loi:


Trig khng ng b Trig ng b, c hai loi: trig thng v trig chnh-ph (Master-Slave).
TRIG

TRIG D

TRIG T

TRIG RS

TRIG JK

KHNG NG B

NG B

LOI THNG

CHNH - PH

V1.0

Bi ging in t s

130

Trig RS (1)
Trig RS l loi c hai li vo iu khin S, R. Chn S gi l li vo "lp" (SET) v R c gi l li vo "xo" (RESET).
R

S khi:
S

R S C R
Q

S Q S nguyn l ca trig RS v RS ng b

Bng TT ca trig RS
S 0 0 1 1 V1.0 R 0 1 0 1 Qk Q 0 1 X Mod hot ng Nh Xa Lp Cm

Bng TT ca trig RS ng b cng NAND


C 0 1 1 1 1 S X 0 0 1 1 R X 0 1 0 1 Qk Q Q 0 1 X Mod hot ng Nh Nh Xa Lp Cm
131

Bi ging in t s

Trig RS (2)
Tri g RS khng ng b Bng trng thi Q 0 0 0 0 1 1 1 1 R 0 0 1 1 0 0 1 1 S 0 1 0 1 0 1 0 1 Qk 0 1 0 X 1 1 0 X Q
0 1

Bng Cc n RS
R

00 0 1

01 1 1

11 X X

10 0 0
S

hnh trng thi

Biu thc

RS = 0 (dieu kien de tranh to hop cam) Q K = S+ R .Q


Bi ging in t s
132

V1.0

Trig RS (3)
Tri g RS khng ng b
R Q

Bng trng thi Q 0 0 0 0 1 1 1 1 R 0 0 1 1 0 0 1 1 S 0 1 0 1 0 1 0 1 Qk 0 1 0 X 1 1 0 X

th dng xung
S R Q t1 t2 t3 t4

V1.0

Bi ging in t s

133

Trig RS (4)
Tri g RS ng b Bng trng thi

Bng TT ca trig RS ng b cng NAND


C 0 1 1 1 1 S X 0 0 1 1 R X 0 1 0 1 Qk Q Q 0 1 X Mod h.ng Nh Nh Xa Lp Cm

th dng xung

CS=1 (lp) V1.0

CR=1 (xa)

CRS=1 (khng xc nh) Bi ging in t s


134

Trig D
Trig D l loi trig c mt li vo iu khin D. Biu thc: Qk = D, mi khi xut hin xung nhp C. S khi:

Bng trng thi Q 0 0 1 1 D 0 1 0 1 Qk 0 1 0 1

hnh trng thi

ng dng: thng dng lm b ghi dch d liu hay b cht d liu. V1.0 Bi ging in t s
135

Trig T
Trig T l loi trig c mt li vo iu khin T. Mi khi c xung ti li vo T th li ra Q s thay i trng thi. Biu thc: S khi:

Q K = TQ+ TQ = T Q

Bng trng thi T 0 0 1 1 V1.0 Q 0 1 0 1 Qk 0 1 1 0

Bng trng thi rt gn T 0 1 Qk Q Q_

hnh trng thi

Bi ging in t s

136

Trig JK (1)
Trig JK l loi trig c hai li vo iu khin J, K. u im hn trig RS l khng cn tn ti t hp cm bng cc ng hi tip t Q v chn R v t Q v S. Trig JK cn c thm u vo ng b C. Trig c th lp hay xo trong khong thi gian ng vi sn m hoc sn dng ca xung ng b C. Ta ni, trig JK thuc loi ng b.

V1.0

Bi ging in t s

137

Trig JK (2)
Bng TT y
J 0 0 0 0 1 1 1 1
J

K 0 0 1 1 0 0 1 1
U5

Q 0 1 0 1 0 1 0 1

Qk 0 1 0 0 1 1 1 0

Bng TT rt gn
J 0 0 1 1 K 0 1 0 1 Qk Q 0 1 Q

U1

U3
Q

NAND_2 NAND_2

U2
K

U4
Q_

NAND_2 NAND_2

Bng TT ca trig JK ng b
U7
Q

NAND_3 NAND_2

C 0 1

U6
K

U8
Q_

NAND_2 NAND_3

J X 0 0 1 1

K X 0 1 0 1

Qk Q Q 0 1 Q
138

V1.0

Bi ging in t s

Bng hm kch thch ca cc loi Trig


Q
0 0 1 1

Qk
0 1 0 1

S
0 1 0 X

R
X 0 1 0

J
0 1 X X

K
X X 1 0

T
0 1 1 0

D
0 1 0 1

V1.0

Bi ging in t s

139

Trig Chnh-Ph (Master-Slave)


Do cc loi trig ng b trn u hot ng ti sn dng hay sn m ca xung nhp nn khi lm vic tn s cao th li ra Q khng p ng kp vi s thay i ca xung nhp, dn n mch hot ng tnh trng khng c tin cy. Li ra ca trig MS thay i ti sn dng v sn m ca xung nhp, nn cu trc ca n gm 2 trig ging nhau nhng cc tnh iu khin ca xung Clock th ngc nhau m bo sao cho ti mi sn ca xung s c mt trig hot ng.

V1.0

Bi ging in t s

140

Li vo khng ng b ca Trig
Cc li vo d liu thng thng ca trig nh D, S, R, J hoc K l nhng li vo ng b Cc trig cn c thm 2 u vo khng ng b, cc li ny tc ng trc tip ln cc li ra m khng ph thuc vo xung Clock Cc li vo ny thng c k hiu l: PRE (lp) v CLR (R -xa) hoc
PRE v CLR (R)

V1.0

Bi ging in t s

141

Mt s IC Trig thng dng


Trig JK: IC 54/7473- IC ny gm hai trig JK c li vo xa v khng c li vo lp hot ngti sn m ca xung Clock Trig D: IC 54/7474- IC ny gm hai trig D c li vo xa v li vo lp, hot ng ti sn dng ca xung Clock Trig JK: IC 54/7476- IC ny gm hai trig JK c li vo xa v li vo lp, hot ng ti sn m ca xung Clock.
Q1 Q2

Q2

Q1

Q2

Q1

V1.0

Bi ging in t s

142

Phng php m t mch tun t


Phng trnh logic (hay phng php i s)
Dng cc phng trnh logic m t trng thi v u ra.

Bng trng thi


Bng chuyn i trng thi Bng tn hiu ra

hnh trng thi


M hnh Mealy thc hin nh x M hnh Moore

th dng xung

V1.0

Bi ging in t s

143

Bng trng thi (1)


Bng chuyn i trng thi Bao gm cc hng v cc ct

Cc hng ghi cc trng thi trong cc ct ghi cc gi tr ca tn hiu vo. Cc ghi gi tr cc trng thi trong k tip m mch s chuyn n ng vi cc gi tr hng v ct
Trng thi trong Tn hiu vo V S S1 S2 . . Sn V1.0 Bi ging in t s
144

V1

V2

Vn

Trng thi k tip Qk

Bng trng thi (2)


Bng tn hiu ra Cc hng ca bng ghi cc trng thi trong

Cc ct ghi cc tn hiu vo. Cc ghi gi tr ca tn hiu ra tng ng.

Trng thi trong

Tn hiu vo V S S1 S2 : : Sn V1 V2 . Vn Tn hiu ra

V1.0

Bi ging in t s

145

hnh trng thi


hnh trng thi l hnh v phn nh quy lut chuyn i trng thi v tnh trng cc gi tr li vo v li ra tng ng ca mch tun t. hnh trng thi l mt hnh c hng gm hai tp: M - Tp cc nh v K - Tp cc cung c hng. M hnh Mealy M hnh Moore
Q 0 0 1 1 V1.0 Bi ging in t s D 0 1 0 1
146

Qk 0 1 0 1

Phn tch mch tun t - L thuyt


Vit chng trnh logic:
Vit chng trnh logic cho li vo ng b, ch ra iu kin chuyn trng thi ca cc phn t nh.

Vit c.trnh logic

Xc nh hm ra: Tm hm kch thch:


Cn c loi TG tm kch thch, phng trnh chuyn i trng thi (chnh l phng trnh c trng ca TG cho).

Xc nh hm ra

Tm hm kch thch

Phng trnh chuyn i trng thi:


Xc nh s t hp trng thi v thay cc t hp ny vo cc phng trnh kch thch, phng trnh chuyn i trng thi tnh bng chuyn i trng thi.

Pt chuyn i TT

V hnh trng thi di dng nh phn hoc dng rt gn V th dng xung gm:
Xung ng h, Xung ca mi bin trng thi, Xung ra.

hnh trng thi

th dng xung
Cc bc phn tch mch tun t

V1.0

Bi ging in t s

147

Phn tch mch tun t - V d


Bc 1: S c hai u vo l tn hiu X v xung nhp Clock. C mt tn hiu Z ra, mch s dng hai phn t nh l hai trig JK (Q0 v Q1). Bc 2: Xc nh u vo, u ra v s trng thi trong ca mch. Mch ny c th c biu din bng mt hp en c hai u vo v mt u ra. Do mch c cu to bng hai trig nn s trng thi c th c ca mch l 4. C th l:Q1Q0 = 00, 01, 10 v 11.

V1.0

Bi ging in t s

148

Phn tch mch tun t - V d


Bc 3: Xc nh phng trnh hm ra v hm kch cho trig.
T s trn ta tm c: Phng trnh hm ra: Phng trnh hm kch Z = C Q1 Q0

J0 = Q1; K0 = 1 J1 = Q0 ; K1 =

X Q0 = X + Q0

Bc 4. Bng chuyn i trng thi


k Phng trnh c trng ca trig JK l Q = J Q + K Q

Phng trnh chuyn i trng thi:


k Q0 = J 0 Q0 + K 0 Q0 = Q1 Q0 k Q1 = J1 Q1 + K1 Q1 = Q0 Q1 + X + Q0 Q1 = Q0 Q1 + X Q0 Q1

V1.0

Bi ging in t s

149

Phn tch mch tun t - V d


Trng thi hin ti Q 0Q 1 S
0

Trng thi k tip X=0 Q 0Q 1 01 10 00 00 X=1 Q 0Q 1 01 11 00 00

Tn hiu ra X=0 Z 0 0 1 0 X=1 Z 0 0 1 0

00 01
1

S S
2

11 10
3

Bc 5: hnh trng thi.

. Bng chuyn i trng thi

V1.0

Bi ging in t s

150

Phn tch mch tun t - V d


Bc 6: Chc nng ca mch: Trn hnh trng thi ta thy c hai ng chuyn i trng thi l S0 S1- S2 S--0 v S0 S1- S3 S--0. Theo ng S0 S1- S2 S--0 th tn hiu ra Z = 1 s c a ra cng thi im c xung nhp th 3. Theo ng S0 S1- S3 S--0 th khng c tn hiu ra (Z = 0). Do vy ta s phn tch theo con ng th nht S0 S1- S2 S--0 : S chuyn i trng thi u tin t S0 S1 ch nh tc ng ca xung nhp m khng ph thuc vo trng thi ca X. Chuyn i trng thi th hai t S1S2 nh tc ng ca xung nhp v s tc ng ca tn hiu vo X = 1. Cn s chuyn i trng thi th ba t S2 S0 ch nh tc ng ca xung nhp m khng ph thuc vo tn hiu vo.

0 011

1 011

V1.0

Bi ging in t s

151

Thit k mch tun t - L thuyt


Bi ton ban u:
Nhim v thit k c m t bng ngn ng hoc bng lu thut ton.

Bi ton ban u

Hnh thc ho:


T cc d kin bi cho m ta m t hot ng ca mch bng cch hnh thc ho d kin ban u dng bng trng thi, bng ra hay hnh trng thi. Sau rt gn cc trng thi ca mch c c s trng thi trong t nht.

Hnh thc ho

M ho trng thi:
M ho tn hiu vo ra, trng thi trong nhn c m nh phn (hoc c th l cc loi m khc) c tp tn hiu vo l X, tp tn hiu ra l Y, tp cc trng thi trong l Q.

M ho trng thi

H hm ca mch:
Xc nh h phng trnh logic ca mch v ti thiu ho cc phng trnh ny. Nu mch tun t khi thit k cn dng cc trig v mch t hp th tu theo yu cu m ta vit h phng trnh cho cc li vo kch cho tng loi trig .

H hm ca mch

Xy dng s :
T h phng trnh ca mch vit c ta xy dng mch in thc hin.

S
Cc bc thit k mch tun t

V1.0

Bi ging in t s

152

Thit k mch tun t - V d


Bi ton :Thit k mch iu khin n ng Hnh thc ha v m ha
K hiu trng thi cc n ( sng: 1, tt 0) Tnh ton s trng thi V s trng thi M ha trng thi Xy dng bng s tht

Xy dng hm
T bng s tht, rt gn v xy dng hm

Xy dng s mch
Xy dng s mch t cc phng trnh i s logic.

V1.0

Bi ging in t s

153

Mch tun t ng b
Bc 1: Xc nh bi ton, gn hm v bin, tm hiu mi quan h gia chng. Bc 2: Xy dng hnh trng thi, bng chuyn i trng thi v hm ra. Bc 3: Rt gn trng thi (ti thiu ho trng thi). Bc 4: M ho trng thi. Nu s lng trng thi trong l N, s bin nh phn cn dng l n th n phi tho mn iu kin: n log2N. Bc 5: Xc nh h phng trnh ca mch. C hai cch xc nh: + Lp bng chuyn i trng thi v tn hiu ra, t xc nh cc phng trnh kch cho cc trig. + Da trc tip vo hnh trng thi, vit h phng trnh Ton, Toff ca cc trig v phng trnh hm ra. Bc 6: V s thc hin. V1.0 Bi ging in t s
154

Mch tun t khng ng b


Bc 1: Xc nh bi ton, gn hm v bin, tm hiu mi quan h gia chng. Bc 2: Xy dng hnh trng thi, bng chuyn i trng thi v hm ra. Bc 3: Rt gn trng thi (ti thiu ho trng thi). Bc 4: M ho trng thi. Nu s lng trng thi trong l N, s bin nh phn cn dng l n th n phi tho mn iu kin: n log2N. Do mch khng ng b hot ng khng c s tc ng ca xung nhp cho nn trong mch thng c cc hin tng chy ua lm cho hot ng ca mch b sai, v vy khi m ho trng thi phi trnh hin tng ny. Bc 5: Xc nh h phng trnh ca mch. C hai cch xc nh: + Lp bng chuyn i trng thi v tn hiu ra, t xc nh cc phng trnh kch cho cc trig. + Da trc tip vo hnh trng thi, vit h phng trnh Ton, Toff ca cc trig v phng trnh hm ra. C hai cch ny u c dng phng trnh: Phng trnh ca mch ch dng NAND. Phng trnh ca mch dng trig RS khng ng b v cc mch NAND. Phng trnh ca mch dng cc loi trig khc. Bc 6: V s thc hin. Bi ging in t s 155

V1.0

Cc cch thit k mch tun t


Cch 1: Da vo bng chuyn i trng thi.
K hiu : A, B, N l cc bin nh phn dng m ho cc trng thi trong ca mch. X1, X2Xm l cc tn hiu vo c m ho nh phn. Z1, Z2Zm l cc tn hiu ra c m ho nh phn. Da vo bng chuyn i trng thi xc nh h phng trnh: Ak = fA (A, B, N , X1, X2Xm ) Bk = fB (A, B, N , X1, X2Xm ) Nk = fN (A, B, N , X1, X2Xm ) Z1 = g1 (A, B, N , X1, X2Xm ) Z2 = g2 (A, B, N , X1, X2Xm ) Zn = gn (A, B, N , X1, X2Xm )

Ti thiu ho h hm v vit phng trnh dng ch dng NAND.


V1.0 Bi ging in t s
156

Cc cch thit k mch tun t


Cch 2: Da trc tip vo hnh trng thi
Cho hnh trng thi ca mch c tp tn hiu vo V, tp tn hiu ra R, tp trng thi trong S (cha m ho nh phn).

Cc bc thit k
M ho tn hiu vo V, tn hiu ra R, trng thi trong S chuyn thnh mch dng nh phn c cc tp tn hiu vo X, tn hiu ra Y, trng thi trong Q. Xc nh h phng trnh tn hiu ra: Yi = fi (X, Q). Phng trnh ny c xc nh trn cc cung vi m hnh kiu Mealy, trn cc nh vi m hnh kiu Moore. Ti thiu cc hm ny. Xc nh h phng trnh hm kch cho cc trig v ti thiu ho n. Sau y gii thiu thut ton xc nh phng trnh li vo kch cho cc trig t hnh trng thi. i vi trig Qi bt k s thay i trng thi t Qi n Qki ch c th c 4 kh nng.

V1.0

Bi ging in t s

157

cc cung biu din s thay i t n c k hiu nh sau: 0 0 l (0)

1 1 (l 1) 0 1 l (2) 1 0 l (3).
Thut ton xc nh phng trnh li vo kch Qin +1 = Di cho trig Qi loi D.

Di = Qin+1
= tuyn tt c cc cung i ti nh c Qi = 1. = cc cung loi (2), k c khuyn ti nh tc l cung loi 1 = (1) v (2)
V1.0 Bi ging in t s
158

V d
Thit k b m ng b c M = 5
' Q1

' ' Q3Q'2Q1

' Q'2Q1

Hnh 5-38. hnh trng thi

' Q1

Bng 5-16. Bng m ha trng thi

\ Bng 5-17. Bng chuyn i trng thi

Bng 5-18. Bng Cc n tm hm ra

V1.0

Bi ging in t s

159

V d dng trig D
Nhn vo hnh trng thi ta thy: Q3 = 1 ti nh (4), Q2 = 1 ti nh (2), (3), Q2 = 1 ti nh (1), (3). D3 = Cc cung i n nh (4) = (3) = Q3 Q2 Q1 D2 = Cc cung i n nh (2), (3) = (1) + (2) = D1 = Cc cung i n nh (1), (3) = (0) + (2) =
Q3 Q2 Q1 + Q3 Q2 Q1

Q3 Q2 Q1 + Q3 Q2 Q1

T ta lp bng Cc n ti thiu ha hm Di

V1.0

Bi ging in t s

160

V d trig D
Q 2Q1 Q3 00 0 1 0 0 01 0 x 11 1 x 10 0 x Q 2 Q1 Q3 00 0 1 0 0 01 1 x 11 0 x 10 1 x

D 3 = Q2Q1

D 2 = Q 2 .Q1 + Q 2 .Q1 = Q1 Q 2
10 1 x

Q 2Q 1 Q3 00 0 1 1 0

01 0 x

11 0 x

B?ng 5-20. B?ng Cc n tm hm kch

D1 = Q1 .Q 3

D3 = Q2Q3 D2 = Q2 Q3 + Q2 Q3 = Q2 Q3 D1 = Q1 Q3

V1.0

Bi ging in t s

161

nh ngha hin tng chu k:


Hin tng chu k l hin tng ti mt t hp tn hiu vo no , mch lin tc chuyn t trng thi ny sang trng thi khc theo mt chu k kn. Ngha l trong qu trnh khng c trng thi no n nh. Do vy, khi thay i tn hiu vo khng xc nh c mch ang trng thi no trong dy trng thi ni trn.

X+ X

X+ X

V1.0

Bi ging in t s

162

Hin tng chy ua trong mch khng B


nh ngha:
Hin tng chy ua trong mch khng ng b l hin tng: do tnh khng ng nht ca cc phn t nh phn dng m ho trng thi, v mch hot ng khng ng b, khi mch chuyn trng thi t Si Sj mch c th chuyn bin trng thi theo nhng con ng khc nhau. Nu trng thi cui cng ca nhng con ng l n nh v duy nht th chy ua khng nguy him. Ngc li, chy ua nguy him l nhng cch chuyn bin trng thi khc nhau cui cng dn n cc trng thi n nh khc nhau, c th ti trng thi kho v khng thot ra c.

V1.0

Bi ging in t s

163

Mt s mch tun t thng dng


B m B ghi dch

V1.0

Bi ging in t s

164

B m
nh ngha : B m l mt mch tun t tun hon c mt li vo m v mt li ra, mch c s trng thi trong bng chnh h s m (k hiu l Md). Di tc dng ca tn hiu vo m, mch s chuyn t trng thi trong ny n mt trng thi trong khc theo mt th t nht nh. C sau Md tn hiu vo m mch li tr v trng thi xut pht ban u. B m c dng rt nhiu trong cc dng c o lng ch th s, cc my tnh in t. Bt k h thng s hin i no u s dng cc b m.
X d/0 X d/0 X d/0 X d/0 X d/0

X d/0

X d/0

X d/0

X d/0

X d/1

V1.0

Bi ging in t s

165

Phn loi b m
Theo s chuyn i trng thi:
B m ng b (Synchronous): Cc trig u chu tc dng iu khin ca mt xung ng h duy nht B m khng ng b (Asynchronous): c trig chu tc dng iu khin trc tip ca xung m u vo, nhng cng c trig chu tc dng iu khin ca xung u ra ca trig khc .

Theo h s m
B m nh phn B m thp phn B m N phn

Theo xung m
B m thun (Up counter) hay cn gi l b m tin B m nghch (Down counter) hay cn gi l b m li B m thun nghch
V1.0 Bi ging in t s
166

Mt s IC m
Tn IC M t c tnh

7492 7493 74190 74191 74192 74193 74390

Gm 4 trig JK mc thnh hai b m khng ng b mod 2 v mod 6 c lp. Gm 4 trig JK mc thnh hai b m khng ng b mod 2 v mod 8 c lp. B m thun nghch (UP/DOWN) thp phn B m thun nghch (UP/DOWN) nh phn 4 bit B m thun nghch (UP/DOWN) thp phn B m thun nghch (UP/DOWN) nh phn 4 bit Gm hai khi ging ht nhau, mi khi gm 4 trig JK mc thnh hai b m khng ng b mod 2 v mod 5 c lp Bi ging in t s
167

Preset ng b v khng Clear Preset ng b v khng Clear Preset ng b v Clear Preset ng b v Clear

V1.0

IC 74192, 74193
Trong cc b m ny, khi thc hin m thun th xung Clock c ni vi CLK-UP, cn chn CLK-DOWN c ni vi logic 1; khi m nghch th ngc li. Cc chn CARRY (nh) v BORROW (mn) c logic 1 v n s chuyn mc thp khi trn mc hoc di mc. Chn LOAD = 0 c th np d liu vo b m.

V1.0

Bi ging in t s

168

IC 7490, 74390
N bao gm 4 trig cung cp b m gm hai Mod m: Mod 2 v Mod 5. Cc b m Mod 2 v Mod 5 c th c s dng mt cch c lp. Trig A thc hin m Mod 2, Trig B, C, D thc hin m Mod 5. IC 74390 l bn kp (dual) ca 7490

V1.0

Bi ging in t s

169

IC 7492, 7493, IC 74293, 74393


N bao gm 4 trig cung cp b m gm hai Mod m: Mod 2 v Mod 6 hoc mod 8. Trig A thc hin m Mod 2, Trig B, C, D thc hin m Mod 5. Hot ng ca nhng b m ny ging nh IC 7490, ch khc l khng c cc li vo lp v Mod 6 khng m theo trnh t nh phn. Cc IC ny thng khng dng lm cc b m m dng lm b chia tn

V1.0

Bi ging in t s

170

Thit k b m bt k dng b m vn nng


Mt s b m c cc chn xa (CLR), li np d liu, chn RC (ripple carry) ra c th lp trnh c VD IC 74192, 74193 tm mt b m chia ht cho m th u vo np P c cho bi cng thc: P=(16-m) (nu dng b m hex) hoc =10-m nu dng b m thp phn Khi b m m ti gi tr m th dng gi tr ny ni vo chn CLR. Nhim v ca chn Clear l gp bit 1 th xa v 0. Nu s bit 1 nhiu hn s chn Clear th ta phi dng thm cng NAND (hoc cng AND) ty mc tch cc ca chn Clear Nu b m khng bt u t 0 (VD m t n n m) th phi np gi tr n khi bt u m li)

V1.0

Bi ging in t s

171

B ghi dch
C kh nng ghi (nh) s liu v dch thng tin (sang phi hoc sang tri). c cu to t mt dy phn t nh c mc lin tip vi nhau v mt s cc cng logic c bn h tr. Mun ghi v truyn mt t nh phn n bit cn n phn t nh (n trigger)

V1.0

Bi ging in t s

172

Phn loi:
Phn theo cch a tn hiu vo v ly tn hiu ra: Vo ni tip, ra song song SIPO (Serial Input, Parallel Output)

Vo song song, ra song song PIPO (Parallel Input, Parallel Output) Vo ni tip, ra ni tip SISO (Serial Input, Serial Output) Vo song song, ra ni tip PISO (Parallel Input, Serial Output):
Phn theo hng dch: Dch phi, dch tri, dch hai hng, dch vng Phn theo u vo: u vo n:

u vo i:
Phn theo u ra: u ra n:

u ra i:
V1.0 Bi ging in t s
173

ng dng ca b ghi dch


nh d liu chuyn d liu t song song thnh ni tip v ngc li. thit k b m to dy tn hiu nh phn tun hon Mt s IC ghi dch (gio trnh DTS mc 5.9.4)

V1.0

Bi ging in t s

174

B ghi dch song song


Cc s liu cn ghi a vo D1, D2, D3, D4 Khi c mt xung iu khin ghi a ti li vo CLK, d liu c np vo b nh song song v cho li ra song song Q1 Q2 Q3 Q4 = D1 D2 D3 D4. Mun cho d liu ti cc li ra, li vo iu khin ra phi bng 1.

V1.0

Bi ging in t s

175

B ghi dch ni tip


c th dch phi, dch tri v cho ra song song hoc ra ni tip mun ghi ni tip 4 bit cn 4 xung CLK v cho ra li ra song song. Cn ly s liu ra ni tip cn thm 3 xung nhp na

V1.0

Bi ging in t s

176

B m vng

V1.0

Bi ging in t s

177

B m vng xon (m Johnson)


l b dm c s bit 1 trong t m tng dn, sau li gim dn. Tng t c b m vng xon t khi ng.

D1 = Qn

V1.0

Bi ging in t s

178

Thanh cht d liu (Latch)


l mch logic s c dng lu tr trng thi s (1 hoc 0) trong b lu tr d liu. thng c s dng trong cc mch giao tip Bus d liu, cc b phn knh, hp knh, v trong cc mch iu khin
Dn H L X LE X
OE L L H

On H L Z

Bng 5-64b. Bng chc nng ca IC 74374

Dn H L X X

LE H H L X

OE L L L H

On H L Q0 Z

Bng 5-64a. Bng chc nng ca IC 74373

V1.0

Bi ging in t s

179

Ni dung
Chng 1: H m Chng 2: i s Boole v cc phng php biu din hm Chng 3: Cng logic TTL v CMOS Chng 4: Mch logic t hp Chng 5: Mch logic tun t Chng 6: Mch pht xung v to dng xung Chng 7: B nh bn dn

V1.0

Bi ging in t s

180

Mch pht xung v to dng xung

V1.0

Bi ging in t s

181

Ni dung
Mch pht xung
Mch dao ng a hi c bn cng NAND TTL Mch dao ng a hi vng RC Mch dao ng a hi thch anh Mch dao ng a hi CMOS

Trig Schmit Mch a hi i


Mch a hi i CMOS Mch a hi i TTL

IC nh thi

V1.0

Bi ging in t s

182

Mch pht xung


Mch dao ng a hi c bn cng NAND TTL Mch dao ng a hi vng RC Mch dao ng a hi thch anh Mch dao ng a hi CMOS

V1.0

Bi ging in t s

183

Mch dao ng a hi c bn cng NAND TTL (1)


Cng NAND khi lm vic trong vng chuyn tip c th k.i mnh tn hiu u vo. 2 cng NAND c ghp in dung thnh mch vng th c b dao ng a hi. VK l u vo iu khin, khi mc cao mch pht xung, v khi mc thp mch ngng pht.
Nu cc cng I v II thit lp im cng tc tnh trong vng chuyn tip v VK = 1, th mch s pht xung khi c ni ngun. Nguyn tc lm vic ca mch:
Gi s do tc ng ca nhiu lm cho Vi1 tng mt cht, lp tc xut hin qu trnh phn hi dng (hnh 6.2a). Cng I nhanh chng tr thnh thng bo ho, cng II nhanh chng ngt, mch bc vo trng thi tm n nh. Lc ny, C1 np in v C2 phng in. C1 np n khi Vi2 tng n ngng thng VT, trong mch xut hin qu trnh phn hi dng (hnh 6.2b). Cng I nhanh chng ngt cn cng II thng bo ho, mch in bc vo trang thi tm n nh mi. Lc ny C2 np in cn C1 phng cho n khi Vi1 bng ngng thng VT lm xut hin qu trnh phn hi dng a mch v trng thi n nh ban u. Mch khng ngng dao ng.

Hnh 6.1

Hnh 6.2a

Hnh 6.2b

V1.0

Bi ging in t s

184

Mch dao ng a hi c bn cng NAND TTL (2)


Gi s do tc ng ca nhiu lm cho Vi1 tng mt cht, lp tc xut hin qu trnh phn hi dng (hnh 6.2a). Cng I nhanh chng tr thnh thng bo ho, cng II nhanh chng ngt, mch bc vo trng thi tm n nh. Lc ny, C1 np in v C2 phng in.
Hnh 6.2a

C1 np n khi Vi2 tng n ngng thng VT, trong mch xut hin qu trnh phn hi dng (hnh 6.2b). Cng I nhanh chng ngt cn cng II thng bo ho, mch in bc vo trang thi tm n nh mi. Lc ny C2 np in cn C1 phng cho n khi Vi1 bng ngng thng VT lm xut hin qu trnh phn hi dng a mch v trng thi n nh ban u.
Hnh 6.2b

Mch khng ngng dao ng. V1.0 Bi ging in t s

Hnh 6.3
185

Mch dao ng a hi thch anh


c cc tn hiu ng h c tn s chnh xc v c n nh cao, cc mch a hi trnh by trn y khng p ng c. Tinh th thch anh thng c s dng trong cc trng hp ny. Thch anh c tnh n nh tn s tt, h s phm cht rt cao dn n tnh chn lc tn s rt cao. Hnh di l mt mch dao ng a hi in hnh s dng tinh th thch anh. Tn s ca mch dao ng ch ph thuc vo tinh th thch anh m khng ph thuc vo gi tr cc t in v in tr trong mch

V1.0

Bi ging in t s

186

Trig Schmit
Xem gio trnh

V1.0

Bi ging in t s

187

Mch a hi i
Xem gio trnh

V1.0

Bi ging in t s

188

IC nh thi (1)
8 4 R

Chn 1 2 3 4

Chc nng t - GND Chn kch thch u ra Xo - Reset

Chn 5 6 7 8

Chc nng in p iu khin Chn ngng u phng in Ngun Vcc

6 R
2

+ R

+ -

3 S

7 R
Q1

Bng 6-1. Bng m t chc nng ca cc chn trong IC

R1

Mch in IC 555.

V1.0

Bi ging in t s

189

To mch n n
Khi chn 2 nhn kch thch (ni t), ta thy S~ s lp Q ln 1 v xung s xut hin li ra 3. Lc ny, Q~ = 0 nn Q1 kha. T C np in. Khi in th trn t (chn 6) vt qu 2/3Vcc th R~ = 0, do Q~ = 1. Xung li ra kt thc, Q1 thng v t C phng rt nhanh qua Q1. Trng thi ny gi nguyn cho ti xung kch thch sau (nn chn R1 ln khng nng transistor Q1) rng xung ra c tnh theo cng thc: T = 1,1RC T C1 thng chn bng 0,1uF v c chc nng l t lc hn ch nhiu do ngun nui gy ra.
+Vcc R 6 7 8 555 5 C1 4 2/3Vcc 3 Ra
1 8 R
5

6 R
2

+ -

R 3 S R1

+ R
Q1

Kch thch in th trn t C


Xung ra

Vo
+ C

2 1

V1.0

Bi ging in t s

190

To mch dao ng a hi
Chn 2, 6 v t C c ni vi nhau, nn in th trn t s iu khin ng thi c hai b so p. Nu in th ny vt qu mc ngng 2/3Vcc, th xung trn u ra ca TG s b xo. Ngc li, khi t phng xung di mc 1/3 Vcc th xung ra li c lp. Qu trnh ny s tip din v cho mt chui xung li ra. Chu k ca dao ng s l:
1 8 R
5

6 R
2

+ -

R 3 S R1

+ R
Q1

T = TN + TP
TN l thi gian np v c tnh theo cng thc:

TN = 0,7C (R1+ R2)


TP thi gian phng v bng:
R1 7 R2 6 8 555 5 C1 4 3

+Vcc

VCC 2/3VCC 1/3VCC

TP = 0,7.C.R2

Ra

0 Xung ra

in th trn t C

Nh vy: T = 0,7C (R1+ 2R2)


V1.0

2 1 +

Bi ging in t s

191

To mch dao ng xung vung


8

Cc biu thc trn ch ra rng dy xung ra ch vung u khi TN v TP bng nhau, ngha l R1 = 0. iu ny khng thc t, v lc cc C ca Q1 ni trc tip vi Vcc. Khi Q1 dn in xem nh ngun Vcc b ngn mch. C th cn bng TN v TP bng cc diode ph nh ch hnh bn. Tn s dao ng ca chui xung ra l:

R
5

6 R
2

+ -

R 3 S R1

+ R
Q1

+Vcc R1
D1

f =

1, 4 C ( R1 + 2 R2 )

8 7 6 555

4 3 5 C1 Ra

R2
D2

Vi R1 = R2 = R th (c Diod):

2 1 + C

f =

0, 7 CR
Bi ging in t s

Hnh 6.

V1.0

192

Cu hi
Thit k mch to xung dng 555 cho cc tn s 1Hz, 10Hz, 100Hz, 1KHz

V1.0

Bi ging in t s

193

Ni dung
Chng 1: H m Chng 2: i s Boole v cc phng php biu din hm Chng 3: Cng logic TTL v CMOS Chng 4: Mch logic t hp Chng 5: Mch logic tun t Chng 6: Mch pht xung v to dng xung Chng 7: B nh bn dn

V1.0

Bi ging in t s

194

B nh bn dn

V1.0

Bi ging in t s

195

Ni dung
Khi nim chung DRAM SRAM B nh c nh ROM B nh bn c nh M rng dung lng b nh

V1.0

Bi ging in t s

196

Khi nim chung


Khi nim:
B nh l mt thit b c kh nng lu tr thng tin (nh phn). Mun s dng b nh, trc tin ta phi ghi d liu v cc thng tin cn thit vo n, sau lc cn thit phi ly d liu ghi trc s dng. Th tc ghi vo v c ra phi c kim sot cht ch, trnh nhm ln nh nh v chnh xc tng v tr nh v ni dung ca n theo mt m a ch duy nht.

V1.0

Bi ging in t s

197

Khi nim chung


Nhng c trng chnh ca b nh
Dung lng ca b nh. Dung lng b nh l s bit thng tin ti a c th lu gi trong n. Dung lng cng c th biu th bng s t nh n bit. T nh n bit l s bit (n) thng tin m ta c th c hoc ghi ng thi vo b nh. V d: Mt b nh c dung lng l 256 bit; nu n c cu trc c th truy cp cng mt lcc 8 bit thng tin, th ta cng c th biu th dung lng b nh l 32 t nh x 8 bit = 32 byte. Cch truy cp thng tin: C 2 cch l trc tip v gin tip Truy cp trc tip, hay cn gi l truy cp ngu nhin (random access). cch ny, khng gian b nh c chia thnh nhiu nh. Mi nh cha c 1 t nh n bit v c mt a ch xc nh, m ho bng s nh phn k bit. Nh vy, ngi s dng c th truy cp trc tip thng tin nh c a ch no trong b nh. Mi b nh c k bit a ch s c 2k nh v c th ghi c 2k t nh n bit. Truy cp tun t (serial access) hay cn gi l kiu truy cp tun t. Cc a t, bng t, trng t, thanh ghi dchc kiu truy cp ny. Cc bit thng tin c a vo v ly ra mt cch tun t. Tc truy cp thng tin. y l thng s rt quan trng ca b nh. N c c trng bi thi gian cn thit truy cp thng tin. V1.0 Bi ging in t s
198

Khi nim chung Phn loi b nh


B NH BN DN

B nh c nh ROM MROM PROM

B nh bn c nh EPROM EEPROM

B nh c/vit SRAM DRAM

Da trn thi gian vit v cch vit, c th chia thnh: b nh c nh, b nh bn c nh v b nh c/vit c. B nh c nh ROM (Read Only Memory): c ni dung c vit sn mt ln.
MROM: l loi ROM sau khi c vit (bng mt n-mask) t nh my th khng vit li c na. PROM l mt dng khc, cc bit c th c vit bng thit b ghi ca ngi s dng trong mt ln (Programmable ROM).

B nh c th c/ vit nhiu ln RAM (Random Access Memory) gm hai loi:


RAM tnh-SRAM (Static RAM) thng c xy dng trn cc mch in t trig. RAM ng-DRAM (Dynamic RAM) c xy dng trn c s nh cc in tch t in; b nh ny phi c hi phc ni dung u n, nu khng ni dung s mt i theo s r in tch trn t. V1.0 Bi ging in t s
199

Khi nim chung Phn loi b nh


B NH BN DN

B nh c nh ROM MROM PROM

B nh bn c nh EPROM EEPROM

B nh c/vit SRAM DRAM

Gia ROM v RAM c mt lp cc b nh c gi l EPROM (Erasable PROM), d liu trong c th xo c bng tia cc tm v ghi li c, EEPROM (Electric EPROM) c th xo c bng dng in. Cc loi ny cn c gi l b nh bn c nh. Cc b nh DRAM thng tho mn nhng yu cu khi cn b nh c dung lng ln; trong khi khi cn c tc truy xut ln th phi dng cc b nh SRAM c gi thnh t hn. Nhng c hai loi ny u c nhc im l thuc loi bay hi (volatile), thng tin s b mt i khi ngun nui b ngt. Do vy cc chng trnh dng cho vic khi ng PC nh BIOS thng phi np trn cc b nh ROM.
V1.0 Bi ging in t s
200

Khi nim chung T chc ca b nh


B nh thng c t chc gm nhiu vi mch nh c ghp li c di t v tng s t cn thit. Nhng chip nh c thit k sao cho c y mt s chc nng ca b nh nh:
Mt ma trn nh gm cc nh, mi nh ng vi mt bit nh. Mch logic gii m a ch nh. Mch logic cho php c ni dung nh. Mch logic cho php vit ni dung nh. Cc b m vo, b m ra v b m rng a ch.

V1.0

Bi ging in t s

201

Cu trc c bn ca b nh ROM
ROM bao gm 4 khi c bn: + B nh cha cc nh v trong cc nh l cc t nh. + Mch iu khin tip nhn cc tn hiu vo t knh iu khin. + B gii m a ch dng nh v nh. + Mch ra dng a ni dung nh ti cc thit b c lin quan cn tip nhn ni dung ny. ROM
Knh a ch A9 A0

B gii m a ch

Khi nh
nh c nh v

Knh CE1 iu CE2 khin CE3

B iu khin

Mch ra

D7 Knh d liu

D0

V1.0

Bi ging in t s

202

B nh
Mi nh nh phn c chc nng lu gi mt trong hai trng thi 0 hoc 1. M rng b nh

V1.0

Bi ging in t s

203

B gii m a ch
B gii m a ch l giao din gia knh a ch v khi nh. N c kh nng truyn rt nhiu a ch trn mt s t ng truyn. a ch nh phn phi c gii m trc khi tc ng ti mng nh.
33 32 31 30

23

22

21

20

13

12

11

10

03

02

01

00

A3 A2 Cho php c A1 A0 0 1

V1.0

Bi ging in t s

204

VD

CS

Hnh 7-2. V d v b gii m cho ma trn ROM 128 x 128

V1.0

Bi ging in t s

205

Mch ra ca b nh
Mch ra c nhim v kt ni d liu chn vi knh d liu vo lc thch hp.

V1.0

Bi ging in t s

206

Mch iu khin
Mch iu khin trong ROM c chc nng kh n gin.
ROM Knh a ch A9 A0

B gii m a ch

Khi nh
nh c nh v

Knh CE1 iu CE2 khin CE3

B iu khin

Mch ra

D7 Knh d liu

D0

V1.0

Bi ging in t s

207

B nh c nh MROM
Cc chip RAM khng thch hp cho cc chng trnh khi ng do cc thng tin trn b mt khi tt ngun. Do vy phi dng n ROM, trong cc s liu cn lu tr c vit mt ln theo cch khng bay hi nhm gi c mi.
1 0

+V

+5V

R4

R3

R2

R1

Cc dy hng (i hng)

Cc dy bit (j ct)

MROM ROM lp trnh theo kiu mt n

Hnh 7-6. MROM diode n gin

c ch to trn mt phin silic theo mt s bc x l nh quang khc v khch tn to ra nhng tip gip bn dn c tnh dn in theo mt chiu (nh diode, transistor trng). Ngi thit k nh r chng trnh mun ghi vo ROM, thng tin ny c s dng iu khin qu trnh lm mt n. Hnh 7-6 l mt v d n gin v s MROM dng diode. Ch giao nhau gia cc dy t (hng) v cc dy bit (ct) to nn mt phn t nh ( nh). Mt diode c t ti (hnh v) s cho php lu tr s liu 0. Ngc li nhng v tr khng c diode th s cho php lu tr s liu 1. Khi c mt t s liu th i ca ROM, b gii m s t dy t xung mc logic thp, cc dy cn li mc cao. Do vy ch nhng diode ni vi dy ny c phn cc thun, do n s dn lm cho in th li ra trn cc dy bit tng ng mc logic thp, cc dy bit cn li s gi mc cao.
V1.0 Bi ging in t s
208

B nh c nh PROM
PROM cng gm c cc diode nh MROM nhng chng c mt y to cc v tr giao nhau gia dy t v dy bit. Mi diode c ni vi mt cu ch. Bnh thng khi cha lp trnh, cc cu ch cn nguyn vn, ni dung ca PROM s ton l 0. Khi nh v n mt bit bng cch t mt xung in li ra tng ng, cu ch s b t v bit ny s bng 1. Bng cch ta c th lp trnh ton b cc bit trong PROM. Nh vy, vic lp trnh c th c thc hin bi ngi s dng ch mt ln duy nht, khng th sa i c.

V1.0

Bi ging in t s

209

PROM
Hnh 7-11. PROM dng diode

V1.0

Bi ging in t s

210

B nh bn c nh - EPROM (Erasable PROM)


S liu vo c th c vit vo bng xung in nhng c lu gi theo kiu khng bay hi. l loi ROM c th lp trnh c v xa c. Hnh 7- 7 ch ra cu trc ca mt transistor dng lm mt nh gi l FAMOST (Floating gate avalanche injection MOS transistor). Trong nh dng transistor ny, cc ca c ni vi ng t, cc mng c ni vi ng bit v cc ngun c ni vi ngun chun c coi l ngun cho mc logic 1. Khc vi transistor MOS bnh thng, transistor loi ny cn c thm mt ca gi l ca ni (floating gate); l mt vng vt liu c thm vo vo gia lp cch in cao nh hnh 7-7. Nu ca ni khng c in tch th n khng nh hng g n cc ca iu khin v transistor hot ng nh bnh thng. Tc l khi dy t c kch hot (cc ca c in th dng) th transtor dn, cc mng v ngun c ni vi nhau qua knh dn v dy bit c mc logic 1. Nu ca ni c cc in t trong vi in tch m th chng s ngn trng iu khin ca ca ca v d dy t c kch hot th cng khng th pht ra trng u mnh vi cc ca iu khin lm thng transistor. Lc ny ng bit khng c ni vi ngun chun v nh coi nh c gi gi tr 0.
ID

v0

v1

vGS

Hnh 7-7. Cu trc ca mt EPROM


V1.0 Bi ging in t s
211

B nh bn c nh - EPROM (Erasable PROM)


Vic np cc in t vo vng ca ni, tc l to ra cc nh mang gi tr 0 c thc hin bi xung in c di c 50 ms v ln + 20 V t gia cc ca va cc mng. Lc nhng in tch mang nng lng ln s i qua lp cch in gia v ca ni. Chng tch t trong vng ca ni v c gi y sau khi xung lp trnh tt. l do ca ni c cch in cao vi xung quanh v cc in t khng cn nng lng sau khi lnh i, c th vt ra ngoi lp cch in na. Chng s c gi y trong mt thi gian rt di (t nht l 10 nm). xo cc thng tin, tc l lm mt cc in tch in t trong vng ca ni, phi chiu nh sng t ngoi UV vo chp nh. Lc ny, nhng in t hp th nng lng v s nhy ln cc mc nng lng cao v ri khi ca ni ging nh cch m chng thm nhp vo. Trong chip EPROM c mt ca s lm bng thu tinh thch anh ch cho nh sng t ngoi i qua khi cn xo s liu trong b nh.

V1.0

Bi ging in t s

212

B nh bn c nh - EEPROM (Electrically Erasable PROM)


Ca s thch anh c gi thnh kh t v khng tin li nn nhng nm gn y xut hin cc chip PROM c th xo s liu bng phng php in. Cu trc ca nh ging nh hnh 7-8. Vic np cc in t cho ca ni c thc hin nh cch EPROM. Bng mt xung in tng i di, cc in tch mang nng lng cao c pht ra trong s thm qua lp ca xit v tch t trong ca ni. xo EEPROM, mt lp knh mng mng xit gia vng ca ni tri xung di v cc mng gi vai tr quan trng. Cc lp cch in khng th l l tng c, cc in tch c th thm qua lp phn cch vi mt xc sut thp. Xc sut ny tng ln khi b dy ca lp gim i v in th gia hai in cc hai mt lp cch in tng ln. Mun phng cc in tch trong vng ca ni mt in th (-20 V) c t vo cc ca iu khin v cc mng. Lc ny cc in t m trong ca ni c chy v cc mng qua knh mng mng xit v s liu lu gi c xo i. iu lu l phi lm sao cho dng in tch ny chy khng qu lu v nu khng vng ca ni ny li tr nn tch in dng lm cho hot ng ca transistor khng c trng thi bnh Ngun Mng Ca thng (mc nh 1)
Ca iu khin Ca ni Lp xit Lp xit n- Ngun

- - Lp xit n- Mng ng hm xt

- - - - -

bn dn loi p

Hnh 7-8. Cu trc ca mt EEPROM


V1.0 Bi ging in t s
213

RAM
RAM c kh nng cho php ghi lu tr d liu thng tin tam thi trong mt thi gian, sau li c thng tin tip tc x l khi cn thit nn n c tn l b nh c/vit. Mt c tnh quan trng khc ca RAM l cc d liu trong RAM ch c tnh cht tm thi, d b xa khi mt ngun nng lng cp

V1.0

Bi ging in t s

214

Cu trc khi ca RAM


RAM cng c 4 phn chnh nh m t trn hnh 7-17. im khc bit l:
+ Mch iu khin ca RAM phi c thm u vo R/W iu khin hai qu trnh c bn trong thao tc ca RAM: ghi d liu thng tin vo n v qu trnh xut (c) thng tin ghi. + Mch u ra c kh nng kim sot hai chiu trc khi cho php giao tip vi knh d liu. Qu trnh ny tun theo nguyn tc: (ng b vi vic iu khin R/W) khi b nh ang c th khng c ghi v ngc li; trng thi th ba c th ch quyt nh.

V1.0

Bi ging in t s

215

Cu trc RAM
Cu trc 4 khi ca mt RAM c 8 bit d liu v 8 bit a ch

V1.0

Bi ging in t s

216

Mch vo ra

Bus d liu

V1.0

Bi ging in t s

217

Mch iu khin
+ Khi ch c, xung R/W mc logic 1. ng thi cc tn hiu cho php chn CE1, CE2 c kch hot mc 1 nn lc ny RE = 1, tc l ch c c thit lp. Khi tn hiu = 0 nn tn hiu cho php ghi WE = 0 (cm ghi). + Khi ch ghi, xung R/W mc logic 0, = 1, ng thi cc tn hiu cho php chn CE1, CE2 c kch hot mc 1 nn lc ny WE = 1, tc l n ch ghi. Khi tn hiu R/W = 0 nn tn hiu cho php c RE = 0 (cm c). + Tn hiu tch cc ng thi CE1 = CE2 = 1 c hai ch c v ghi phi c chuyn cng lc ti mng nh nhm thng bo vic xut (khi c) hay vic nhp (khi ghi) d liu ti a ch nh c mch gii m chn. + Khi tn hiu CE1 . CE2 = 0 (c t nht mt tn hiu CE trng thi khng tch cc) th mch iu khin hnh 7-19 s chuyn b nh sang ch ch (Standby) bt chp tn hiu R/W c tch cc hay khng, lc ny RE = 0 v WE = 0.

V1.0

Bi ging in t s

218

DRAM

Cc nh c xp xp theo hng v ct trong mt ma trn nh. a ch nh c chia thnh hai phn: a ch hng v ct. Hai a ch ny c c vo b m mt cch ln lt. X l kiu ny c gi l hp knh, l do l gim kch thc b gii m, tc l gim kch thc v gi thnh vi mch. Qu trnh dn knh a ch ny c iu khin bi cc tn hiu RAS (Row Access Strobe) v CAS (Column Access Strobe). Nu RAS mc tch cc thp th DRAM nhn c a ch t vo n v s dng nh a ch hng. Nu CAS mc tch cc thp th DRAM nhn c a ch t vo n v s dng nh a ch ct.
V1.0 Bi ging in t s
219

DRAM
Mt nh ca DRAM gm c mt transistor trng MOS c tr li vo rt ln v mt t in C l linh kin lu tr mt bit thng tin tng ng vi hai trng thi c hoc khng c in tch trn t. Transistor hot ng nh mt cng tc, cho php np hay phng in tch ca t khi thc hin php c hay vit. Cc ca (Gate) ca transistor c ni vi dy hng (cn gi l dy t-WL-Word Line) v cc mng (Drain) c ni vi dy ct (cn c gi l dy bit BL-Bit Line), cc ngun (Source) c ni vi t in. in p np trn t tng i nh, v th cn s dng khuch i nhy trong mch nh. Do dng r ca transistor nn nh cn c np li trc khi in p trn t thp hn mt ngng no . Qu trnh ny c thc hin nh mt chu k lm ti (refresh), khi in p trn t c xc nh ( trng thi 0 hay 1) v mc in p logic ny c vit li vo nh.

V1.0

Bi ging in t s

220

SRAM
VCC
Tra Tra
Tra C

WL
BL

Trs Trs
WL

BL

BL

BL

Mt nh ca SRAM gi thng tin bi trng thi ca mch trig. Thut ng tnh ch ra rng khi ngun nui cha b ct th thng tin ca nh vn c gi nguyn. Khc vi nh DRAM, y nh trig cung cp mt tn hiu s mnh hn nhiu v c cc transistor trong cc nh, chng c kh nng khuch i tn hiu v do c th cp trc tip cho cc ng bit. Trong DRAM, s khuch i tn hiu trong cc b khuch i cn nhiu thi gian v do thi gian truy nhp di hn. Khi nh a ch trong cc trig SRAM, cc transistor b sung cho cc trig, cc b gii m a chcng c i hi nh DRAM.
V1.0 Bi ging in t s
221

SRAM
Nh trong DRAM, cc ca ca transistor c ni vi ng t v cc mng ni vi cp ng bit. Nu s liu c c t nh, khi b gii m hng kch hot ng dy t WL tng ng. Hai transistor T dn v ni trig nh vi cp dy bit. Nh vy hai li ra Q v Q_ c ni vi cc ng bit v cc tn hiu c truyn ti b khuch i cui ng dy ny. V in th chnh lch ln nn x l khuch i nh vy s nhanh hn trong DRAM (c 10 ns hoc ngn hn), do chip SRAM cn a ch ct sm hn nu thi gian truy nhp khng c gim. Nh vy SRAM khng cn thc hin phn knh cc a ch hng v ct. Sau khi s liu n nh, b gii m ct chn ct ph hp v cho ra tn hiu s liu ti b m s liu ra v ti mch ra.

V1.0

Bi ging in t s

222

SRAM
Vit s liu c thc hin theo cch ngc li. Qua b m vo v b gii m ct, s liu vit c t vo b khuch i ph hp. Cng lc b gii m hng kch hot ng dy t v lm transistor T dn. Trig a s liu c lu tr vo cp dy bit. Tuy vy, b khuch i nhy hn cc transistor nn n s cp cho cc ng bit mt tn hiu ph hp vi s liu vit. Do , trig s chuyn trng thi ph hp vi s liu mi hoc gi gi tr c lu tr ph thuc vo vic s liu vit trng vi s liu lu tr hay khng.

V1.0

Bi ging in t s

223

B nh bn c nh - B nh FLASH
Trong nhng nm gn y, mt loi b nh khng bay hi mi xut hin trn th trng, thng c s dng thay th cho cc a mm v cng trong nhng my tnh. l b nh flash. Cu trc ca chng c bn nh EEPROM, ch c lp knh xit cc nh mng hn. Do vy ch cn in th c 12 V l c th cho php thc hin 10 000 chu trnh xo v lp trnh. B nh flash c th hot ng gn mm do nh DRAM v SRAM nhng li khng b mt s liu khi b ct in. Hnh 7- 9 ch ra s khi ca n. Phn chnh l mng nh bao gm cc nh FAMOST nh c m t mc trn. Ging nh SRAM, b nh flash khng dn phn knh a ch. Cc b gii m hng v ct chn mt ng t v mt hoc nhiu cp ng bit. S liu c c a ra ngoi b m s liu I/O hoc c vit vo nh c nh a ch bi b m ny qua cng I/O. X l c c thc hin vi in th MOS thng thng l 5V. lp trnh mt nh, n v iu khin flash t mt xung in th ngn c 10 s v 12 V gy nn mt s chc thng thc l vo transistor nh np vo ca ni. Mt chip nh flash 1 Mb c th c lp trnh trong khong 2 sec, nhng khc vi EEPROM vic xo c thc hin tng chip mt. Thi gian xo cho ton b b nh flash khong 1 sec. X l c, lp trnh v xo c iu khin bi cc lnh c di 2 byte c b x l vit vo cc thanh ghi lnh ca mch iu khin flash.
V1.0 Bi ging in t s
224

B nh bn c nh - B nh FLASH
Mc ch s dng chnh ca b nh flash l thay th cho cc a mm v a cng dung lng nh. Do n l mch tch hp nn c u im l kch thc nh v tiu th nng lng thp, khng b nh hng ca va p. Cc a cng cht rn da trn c s cc b nh flash c li th v cng sut tiu th cng nh gi thnh c dung lng ti vi Mbyte. Cc card nh loi ny c u im l khng gp phi vn mt thng tin nh trng hp RAM CMOS khi pin Ni-Cd b hng. Thi gian lu tr thng tin trong b nh flash t nht l 10 nm, thng thng l 100 nm, vi khong thi gian ny th cc a mm v cng b hng ri. Nhc im ca b nh flash l ch c th xo theo kiu ln lt tng chip hoc ln lt tng trang.
VPP
WE CE
OE

Hnh 7-9. S b nh FLASH


225

V1.0

Bi ging in t s

B nh bn c nh - EPROM (Erasable PROM)


Vic np cc in t vo vng ca ni, tc l to ra cc nh mang gi tr 0 c thc hin bi xung in c di c 50 ms v ln + 20 V t gia cc ca va cc mng. Lc nhng in tch mang nng lng ln s i qua lp cch in gia v ca ni. Chng tch t trong vng ca ni v c gi y sau khi xung lp trnh tt. l do ca ni c cch in cao vi xung quanh v cc in t khng cn nng lng sau khi lnh i, c th vt ra ngoi lp cch in na. Chng s c gi y trong mt thi gian rt di (t nht l 10 nm). xo cc thng tin, tc l lm mt cc in tch in t trong vng ca ni, phi chiu nh sng t ngoi UV vo chp nh. Lc ny, nhng in t hp th nng lng v s nhy ln cc mc nng lng cao v ri khi ca ni ging nh cch m chng thm nhp vo. Trong chip EPROM c mt ca s lm bng thu tinh thch anh ch cho nh sng t ngoi i qua khi cn xo s liu trong b nh.

V1.0

Bi ging in t s

226

B nh bn c nh - B nh CACHE
Gia CPU v b nh chnh bng DRAM, ngi ta xen vo mt b nh SRAM nhanh c dung lng nh bng 1/10 hoc 1/100 ln b nh chnh gi l cache; di s iu khin ca mch iu khin cache, b nh ny s lu tr tm thi cc s liu thng c gi v cung cp n cho CPU trong thi gian ngn. Cache cha cc thng tin mi va c CPU s dng gn y nht. Khi CPU c s liu n s a ra mt a ch ti b iu khin cache. Sau mt trong hai qu trnh sau s xy ra: Cache hit: nu a ch c sn trong RAM cache. Cache miss: ngc li, nu a ch khng c sn trong RAM cache.
SRAM Cache

CPU B iu khin CACHE

DRAM trong b nh chnh

V1.0

Bi ging in t s

227

M rng dung lng b nh


Cc vi mch nh bn dn ch c dung lng xc nh. Mun c b nh c dung lng ln hn, ta tm cch ghp nhiu vi mch nh nhm mt trong ba mc ch sau:
Tng di nh, nhng khng lm tng s lng t nh. Tng s lng t nh nhng khng lm tng di t nh. Tng c s lng v di t nh.

V1.0

Bi ging in t s

228

M rng di t
Trn mt chp nh, c th c c 1 n mt s hu hn li ra, thng l 4 hoc 8 bit. Mun c di t ln hn, chng hn t 4 ln 8 hoc 16 bit, ta tin hnh ghp nhiu chp nh nh ch hnh 7-10 i vi RAM. i vi ROM cch lm cng tng t, ch khc trong trng hp ny, c th khng c li vo R/W.
A0 An-1 BUS a ch

RAM I

RAM II

D0 BUS d liu

Dn-1

BUS d liu

Hnh 7-10. S m rng di t.

V1.0

Bi ging in t s

229

M rng dung lng (1)


Mun m rng dung lng, ta cng ghp nhiu chp li vi nhau. Nh bit, dung lng c lin quan n s li vo a ch (C = 2N x di t, vi N l s li vo a ch). C tng 1 chp th cn c thm mt li vo a ch. Khc vi trng hp m rng di t, khi m rng dung lng cc li vo/ra d liu D v R/ c ni song song. Mt phn dung lng c tr vo mi chp. S phn chia ny da trn c s t hp a ch vo v li vo iu khin. Hnh 7-11 l mt s v d.
A0 A11 A0 IC 1 A11 2k B gii m vo 2 ra 4
Hnh 7-11. Phng php m rng dung lng.

A0 IC 2 A11 2k

A0 IC 3 A11 2k

A0 IC 4 A11 2k

A12 A13

V1.0

Bi ging in t s

230

M rng dung lng (2)


thc hin php m rng ta phi s dng mt s li vo a ch dnh ring cho b gii m (thng l cc a ch c trng s cao). s trn ta chn 2 a ch A12 v A13 gii m. Do ta c th nhn c 4 gi tr ra tng ng. Cc gi tr ny tc ng ln cc li vo CS m tun t cc IC nh. Cc IC nh ny c th lm ROM hoc RAM hoc c hai l ty chn. Tun t m cc IC theo A12, A13 nh ch ra bng hot ng sau. A13 0 0 1 1
A0 A11

A12 _CS 0 1 0 1 _CS1 _CS2 _CS3 _CS4


A0 IC 1 A11 2k

IC m IC I IC II IC III IC IV
A0 IC 2 A11 2k

Khong a ch 000016 - 0FFF16 100016 - 1FFF16 200016 - 2FFF16 300016 - 3FFF16


A0 IC 3 A11 2k A0 IC 4 A11 2k

A12 A13

B gii m vo 2 ra 4
Hnh 7-11. Phng php m rng dung lng.

V1.0

Bi ging in t s

231

Ti liu tham kho


Gio trnh K thut s - Trn Vn Minh, NXB Bu in 2002. C s k thut in t s, i hc Thanh Hoa, Bc Kinh, NXB Gio dc 1996. K thut s, Nguyn Thy Vn, NXB Khoa hc v k thut 1994. L thuyt mch logic v K thut s, Nguyn Xun Qunh, NXB Bu in 1984. Fundamentals of logic design, fourth edition, Charles H. Roth, Prentice Hall 1991. Digital engineering design, Richard F.Tinder, Prentice Hall 1991. Digital design principles and practices, John F.Wakerly, Prentice Hall 1990. VHDL for Programmable Logic by Kevin Skahill, Addison Wesley, 1996 The Designer's Guide to VHDL by Peter Ashenden, Morgan Kaufmann, 1996. Analysis and Design of Digital Systems with VHDL by Dewey A., PWS Publishing, 1993.

V1.0

Bi ging in t s

232

You might also like