You are on page 1of 1

USE IEEE.STD_LOGIC_1164.ALL USE IEEE.STD_LOGIC_ARITH.ALL USE IEEE.STD_LOGIC_UNSIGNED.ALL LIBRARY UNISIM; USE UNISIM.VComponents.

ALL ENTITY sumador4 IS PORT(A:IN STD_LOGIC_VECTOR(3 DOWNTO 0); B: IN STD_LOGIC_VECTOR(3 DOWNTO 0); CIN:IN STD_LOGIC; SUMA:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); COUT:OUT STD_LOGIC); END SUMADOR4; ARCHITECTURE SUMADORB OF SUMADOR4 IS SIGNAL CARRY :STD_LOGIC_VECTOR(4 DOWNTO 0); BEGIN CARRY(0)<=CIN; F1:FOR i IN A'RANGE GENERATE BEGIN SUMA(i)<=a(i) XOR B(i) XOR CARRY(i); CARRY(+1)<=(CARRY(i) AND (A(i) OR B(i))) OR (A(i AND B(i)); END GENERATE; COUT<=CARRY(4); END;

You might also like