You are on page 1of 42

Bi Ging K Thut S

4.6. MY TRNG THI

Chng 4

He tuan t con co ten goi khac la may trang thai (State Machine), ten nay thng s dung khi dung mot he tuan t e ieu khien 1 he thong so thc hien mot thu tuc hoac mot thuat toan tng bc mot. Co the nh ngha cac may trang thai bang cac gian o trang thai, hoac mot kieu lu o ac biet c goi la lu o may trang thai hay lu o SM (State Machine) dung e mo ta ac tnh lam viec cua mot may trang thai Trong thiet ke so, thng s dung t may trang thai e ch cac mach ong bo nh mch m o cac gia tr ra thay oi t mot trang thai nh phan nay sang mot trang thai nh phan khac khi mach c cung cap xung clock. SM co hai loai: Moore va Mealy. 4.6.1. My trng thi kiu MOORE v du cho may trang thai kieu Moore
S o khoi may trang thai kieu Moore va mot mach counter nh phan 2bit la mot

Cac t/hieu ngoai

Giai ma t.thai ke

Lu tr t.thai hien tai

Cac FF

Giai ma ngo ra

Clock

X1 X2 Xm

Mang to hp Q1 Q2 Qk

+ Q1

D1 CK D2 CK

Q1 Q2

Q+ 2

Q+ k

Dk CK

Qk

He to hp (cho cac ngo ra)

Z1 Z2 Zn

Clock

GV: Nguyn Trng Hi

Trang 104

Bi Ging K Thut S
4.6.2. My trng thi kiu MEALY S o khoi may trang thai Mealy

Chng 4

Gia tr vao

Kch Logic thch trang thai ke

Bo nh trang thai Ngo CK

Trang thai hien hanh

Logic ra G

Cac gia tr ra (Output)

Clock
X1 X2 Xm Q1 Q2 Qk

HE TO HP Q+ 1
Q+ 2

Z1 Z2 Zn
D1 CK D2 CK

Q1

Q2

+ Qk

Dk CK

Qk

Clock 4.7. LU MY TRNG THI Cac lu o SM con c goi la lu o ASM (Algorithmic State Machine). Lu o SM cho nhieu thuan li trong viec de hieu hn hoat ong cua mot he thong so bang cach quan sat thay v la gian o trang thai tng ng. Co the chuyen mot lu o SM cho trc thanh nhieu dang tng ng, va moi dang dan trc tiep en mot cai at phan cng. Ba thanh phan chnh cua mot lu o SM
tn trng thi M trng thi nhnh ng 1 iu kin nhnh sai 0

danh sch xut theo iu kin (c) Hop xuat theo k

(a) Hop trang thai

(b) Hop quyet nh

GV: Nguyn Trng Hi

Trang 105

Bi Ging K Thut S

Chng 4

Trang thai cua mot he thong c bieu dien bang hop trang thai (state box), hop trang thai co the cha mot danh sach gia tr ra. Ma trang thai co the at ben canh hop phan tren. Ten trang thai c at trong hnh tron ben trai hop trang thai. Hop quyet nh (decision box) c bieu dien bang mot ky hieu hnh thoi vi cac nhanh ung va sai. ieu kien at trong hop la mot bieu thc Boolean c xac nh e lay nhanh nao. Hop xuat theo ieu kien (conditional output box) cha danh sach va cac gia tr ra theo ieu kien phu thuoc vao ca trang thai cua he thong va cac gia tr vao

Mot lu o SM c xay dng t cac khoi SM (SM block) moi khoi SM cha chnh xac mot hop trang thai cung vi cac hop quyet nh va cac hop xuat theo ieu kien lien he vi trang thai o. Mot khoi SM co chnh xac mot ng vao va mot hoac nhieu ng ra va mo ta hoat ong cua may trong thi gian ma may ang trong trang thai. Khi mot he thong so i vao trang thai lien he vi mot khoi SM cho trc, cac gia tr ra danh sach xuat trong hop trang thai se tr thanh ung. Cac ieu kien trong cac hop quyet nh se c nh tr e xac nh xem ng nao i theo qua khoi SM. Khi tren ng i co gap mot hop xuat theo ieu kien th cac gia tr ra tng ng se tr thanh ung. Mot ng dan qua khoi SM t ngo vao en ngo ra c goi la ng dan noi ghep (link path) V d, mot khoi SM S1 nhanh a Z1, Z2 nhanh b

X1 0

Z3, Z4 0 1 1 2 Z5 3

X3

X3

n ng ra khi vao trang thai S1, cac gia tr ra Z1 va Z2 = 1. Neu gia tr vao X1 va X2 eu bang 0 th Z3 va Z4 bang 1 va cuoi thi gian trang thai, may i vao trang thai

GV: Nguyn Trng Hi

Trang 106

Bi Ging K Thut S

Chng 4

ke qua ng ra 1, ngc lai neu X1 =1 va X3 = 0, gia tr ra Z5 = 1 va i vao trang thai ke qua ng ra 3


Tong quat th mot khoi SM co the c ve bang nhieu dang khac nhau. Hai khoi

SM sau la tng ng.

S1 0 Z2

Z1 X1 1

S1 0 X1 1

Z1 1 X1 0 Z2 S3 1

X2

X3 S3

0 Z2 S2

S2

V d, Ve mot khoi SM tng ng vi khoi SM sau.

`
1

0 C 0 1

1 B 0 Z1

Phai tuan theo mot so quy tac nhat nh khi xay dng mot khoi SM: Th nhat, vi moi ket hp cac bien vao hp le phai co chnh xac mot ng ra c nh ngha. ieu nay la can thiet v moi to hp vao c cho phep phai dan en 1 trang thai ke duy nhat. Th hai, khong cho phep co ng hoi tiep noi trong mot khoi SM.

GV: Nguyn Trng Hi

Trang 107

Bi Ging K Thut S

Chng 4

V d, 1 cach ve sai va 1 cach ve ung oi vi 1 khoi SM co ng hoi tiep

(a) Sai

(b) ung

Mot khoi SM co the co nhieu ng song song ma dan en cung mot ng ra, va hn mot ng trong cac ng nay co the tch cc ong thi. Ngoai ra cung co the bieu dien bang mot khoi SM noi tiep tng ng. Trong khoi noi tiep nay co the co mot ng dan noi ghep tch cc duy nhat gia ngo vao va ra. Vi bat c to hp nao cua cac gia tr vao, cac gia tr ra se giong nh trong dang song song tng ng. V d,

Z1

Z1

X1 0

X2 0

X1 X3 0 Z2

Z2

Z3

Z4

X2

(a) dang song song

Z3

X3 Z3

(b) dang noi tiep

GV: Nguyn Trng Hi

Trang 108

Bi Ging K Thut S

Chng 4

Co the chuyen mot gian o trang thai cua may tuan t sang lu o SM tng ng mot cach de dang. V d, Gian o trang thai 1/0 S0 0/0 Za 0/0 S1 Zb 0/Z1 1/0 S2 Zc 1/Z2

Va lu o SM tng ng
00 S0 Za link 1 0 X 1 S1 Zb link 2 0 X S1 0 Z1 1 Zc 1 Z2 11 link 3 01

Gian o nh th cho lu o SM clock trang thai X Za Zb Zc Z1 Z2 S0 S1 S2 S2 S0 S0

GV: Nguyn Trng Hi

Trang 109

Bi Ging K Thut S

Chng 4

gian o trang thai, co ca 2 gia tr ra Moore va Mealy, lu o SM tng ng co 3 khoi, mot khoi ng vi mot trang thai. Cac gia tr ra Moore (Za, Zb, Zc) c at trong cac hop trang thai, t o chung khong phu thuoc vao gia tr vao. Cac gia tr ra Mealy (Z1, Z2) xuat hien trong cac hop xuat theo ieu kien, chung phu thuoc vao ca trang thai va gia tr vao. Trong v du nay, moi khoi SM ch co mot hop quyet nh, do o ch phai kiem tra 1 bien vao. oi vi ca hai gian o trang thai va lu o SM, Zc luon bang 1 trong trang thai S2. Neu X=0 trong trang thai S2, Z=1 va trang thai ke la S0. Neu X=1, Z2 =1 va trang thai ke la S2 gian o nh th cho lu o SM, vi chuoi vao X=1,1,1,0,0,0. Tat ca cac thay oi trang thai xay ra ngay sau canh len cua xung nhp. V cac gia tr Moore phu thuoc vao trang thai va ch co the thay oi tc thi sau 1 thay oi trang thai hoac mot thay oi gia tr vao. Noi chung, tat ca cac gia tr ra se co gia tr ung cua chung canh tch cc cua xung nhp dng, so b chia (dividend) 6 bit cho so chia (divisor) 3 bit e co c thng 3 bit. Minh hoa qua trnh chia
V d, Lap lu o SM cho bo chia nh phan song song cho cac so nh phan

100010 110 101 000 1010 110 100

110 101

Phep chia co the thc hien bang phep toan tr va dch. e xay dng bo chia se s dung thanh ghi so b chia 7 bit va thanh ghi so chia 3 bit nh sau Thanh ghi s b chia x7 x6 x5 x4 x3 x2 x1 Sh start

V
iu khin Ch bo trn trn

Su B tr v so snh C (so snh)

y3

y2 y1

clock

S chia Trong qua trnh chia thay v dch so chia sang phai trc moi lan tr, ay se dch so b chia sang trai. Chu y la can them 1 bit au ben trai thanh ghi so b

GV: Nguyn Trng Hi

Trang 110

Bi Ging K Thut S

Chng 4

chia e cho khong mat 1 bit khi dch trai so b chia. Thay v dung 1 thanh ghi e cha thng so, co the a thng so tng bit mot vao au ben phai cua thanh ghi so b chia khi dch trai so b chia Neu ban au x7x6x5x4 y3y2y1 (ngha la neu 4 bit trai cua thanh ghi so b chia vt qua hoac bang so chia) thng so se ln hn 8 va tran tren xay ra. Chu y la neu x 7 x 6 x 5 x 4 x 3 x 2 x 1 x 7 x 6 x 5 x 4 0000 x 7 x 6 x 5 x 4 8 = 8 y 3 y 2 y1 y 3 y 2 y1 y 3 y 2 y1 bao tran v thng so ch co 3 bit (toi a bang 7) Tn hieu dch (Sh = shift) se dch so b chia sang trai mot v tr. Tn hieu tr (Su = Subtract) se tr so chia cho 4 bit tan cung ben trai cua thanh ghi so b chia va at bit thng so (bit tan cung ben phai thanh ghi so b chia) len 1. Neu so chia ln hn 4 bit tan cung ben trai cua so b chia, ngo ra cua bo so sanh la C=0, ngc lai C=1. Mach ieu khien tao ra chuoi cac tn hieu dch va tr mong muon. Bat c luc nao C=1, tn hieu tr c tao ra va bit thng so c at len Gian o trang thai cua mach ieu khien Start/0 S0 Stop C/0 C.Start/V C.Start/Sh S1 C/Sh S2 C/Su Ban au so bit chia 6 bit va so chia 3 bit c a vao cac thanh ghi thch hp. Mach van trang thai dng Stop (S0) cho en khi co tn hieu Start. Neu gia tr ban au cua C=1, thng so se can 4 bit tr len. V khong gian ch cung cap cho thng so 3 bit, ieu kien nay lam tran tren do o bo chia dng va bo ch tran se at len 1 bang ngo ra tran tren. Bnh thng gia tr ban au cua C=0, v vay dch se xay ra au tien va mach se i vao trang thai S1. Roi neu C=1 th xay ra tr. Sau khi hoan tat tr C se luon luon bang 0 v vay xung nhp ke se tao ra dch. Qua trnh nay tiep tuc cho en khi xay ra 3 lan dch va ieu khien o trong trang thai S3. Roi phep tr cuoi cung xay ra neu can, va ieu khien quay ve trang thai dng. Vi vd nay se gia s la khi tn hieu bat au (start) xay ra no se la 1 trong thi gian 1 clock va roi gi la 0 cho en khi he ieu khien quay ve trang thai S0. Do o Start se luon la 0 trong cac trang thai S1, S2, S3 C/Su

C/Su S3

C/Sh

GV: Nguyn Trng Hi

Trang 111

Bi Ging K Thut S
T o suy ra lu o SM nh sau 00 S0 0 Start 1 C 1 V S2 0 Sh S3 0 C 1 Su 11 0 Sh 10 1 Su S1 0 Sh

Chng 4

01 C 1 Su

S0 la trang thai bat au, neu Start=1, tn hieu so sanh C c kiem tra, neu C=1, thng so ln hn 3 bit, v vay bao tran V=1 c tao ra va khong co s thay oi xay ra. Neu C=0, Sh=1, xung nhp ke so b chia c dch sang trai va trang thai chuyen sang S1. C c kiem tra trong trang thai S1. Neu C=1 co the co phep tr, Su=1 va khong co s thay oi trang thai. Neu C=0, Sh=1 va so b chia c dch khi trang thai chuyen sang S2. Hoat ong S2 th giong S1. Trong trang thai S3 trang thai ke luon la S0, va C=1 lam cho phep tr xay ra
V d, Lap lu o Sm cho ieu khien bo nhan song song cho cac so nh phan

dng.

Nhan nh phan ch can phep toan dch va cong. Thay v ban au tao ra tat ca cac tch bo phan roi cong lai, th moi tch bo phan (partial product) c cong moi khi c tao ra va do o khong can cong mot lan hn 2 so nh phan Nhan 2 so 4 bit can 1 thanh ghi so b nhan (multiplicant register) 4bit, mot thanh ghi so nhan 4 bit va thanh ghi 8bit cho so hang tch. Thanh ghi tch so lam viec nh thanh ghi tch luy la tch luy tong cac tch bo phan. Thay v dch so b nhan sang trai trc khi cong, ay se dch thanh ghi tch sang phai

GV: Nguyn Trng Hi

Trang 112

Bi Ging K Thut S
So b nhan So nhan Cac tch bo phan 1101 1011 1101 1101 10111 0000 100111 1101 10001111

Chng 4

Tch so Thanh ghi tch so PC Thanh ghi tch luy

S o khoi cua bo nhan song song M=1: cong &dch M=0: dch N PC Sh So b nhan V du, nhan 13 x 11 c lam lai sau ay ch v tr cac bit trong cac thanh ghi moi thi iem xung nhp Noi dung ban au cua t.ghi tch luy (cong so b nhan v M=1) Sau khi cong Sau khi dch phai Cong so b nhan v M=1 Sau khi cong Sau khi dch phai Bo qua cong v M=0 Sau khi dch cong so b nhan v M=1 Sau khi cong Sau khi dch (ap so) 000001011 1101 011011011 001101101 1101 100111101 010011110 001001111 1101 100011111 010001111 M(11) (13) M=1 Ad: tn hieu cong Sh: tn hieu dch PC: xung clock M: bit bo nhan N: tn hieu start C: so nh

So nhan

Bo cong

Ad

ieu khien

M=0 M=1

ng cham cham la ng chia gia bo nhan va tch so Mach ieu khien phai c thiet ke e cho ra chuoi cac tn hieu cong va dch ung.

GV: Nguyn Trng Hi

Trang 113

Bi Ging K Thut S

Chng 4

Gian o trang thai cho ieu khien bo nhan, SV giai thch tng t nh mach chia
N/0 - /Sh

S0

MN/Ad

S7
M/Ad MN/Sh MN/Sh

S1
- /Sh

S6
- /Sh MN/Sh

S2
MN/Sh M/Ad

S5
M/Ad

S3 S4
- /Sh

N/0 Ad Sh ieu khien Cong - dch N PC MN/Ad S0 S0 M/Ad - /Sh S0 MN/Sh M/Sh

K=1 neu n-1 lan dch Bo em (a) ieu khien bo nhan N/0 S0
K/Sh

(b) Gian o trang thai cua ieu khien cong dch (c) Gian o trang thai cuoi cua ieu khien cong dch MK/Sh S0

MN/Sh
MK/Sh

MN/Ad

S0

K/Sh M/Ad

Lap lu o SM cho ieu khien bo nhan, ieu khien nay tao ra chuoi cac xung cong va dch mong muon cua bo nhan nh phan. Bo em se em so lan dch va xuat K=1 trc khi lan dch cuoi cung xay ra. Lu o SM cho ieu khien bo nhan tng ng vi gian o trang thai hnh (c)

GV: Nguyn Trng Hi

Trang 114

Bi Ging K Thut S
S0

Chng 4

0
1 Ad S1 1 Sh K 0

N 1 M 0 Sh S2 1 Ad 1 M 0 Sh M 0

GV: Nguyn Trng Hi

Trang 115

Bi Ging K Thut S 4.8. B NH BN DN Bo nh ban dan chia lam hai loai chnh Bo nh bang (table memory) Bo nh ham (function memory) Bo nh ban dan

Chng 4

Bo nh bang

Bo nh ham

RAM Tnh ong

ROM MROM PROM EPROM EPROM

PLD

PLA

PAL LCA PPAL EPPAL EEPPAL

EPLD

Vi RAM = Random Access Memory ROM = Read Only Memory PLD = Programmable Logic Device PLA = Programmable Logic Array PAL = Programmable Array Logic LCA = Logic Cell Array M = Mask programed P = Programmable EP = Erasable and Programmable EEP = Electrically Erasable and Programmable B nh bng, a ch A c nh ngha trong day 0 A 2N 1

PEEL (Pro. elec. erasable logic

GAL (generic array logic)

Vi o rong t cua a ch la N, gia tr cua N phu thuoc vao kch thc cua bo nh. Co the cat d lieu mot a ch trong 2N a ch. o rong t d lieu la m = 116 bit Dung lng bo nh K c xac nh bi: K=mxn (bit) Vi m la o rong t d lieu, n la so a ch Tnh theo byte (K/8) cho cac o rong t d lieu la 8 hay 16 bit

GV: Nguyn Trng Hi

Trang 116

Bi Ging K Thut S V d, Bang cho ROM co N=3bit a ch, m=2 bit d lieu

Chng 4

Gia tr thap phan 0 1 2 3 4 5 6 7 B nh hm

a ch a2 a1 a0 0 0 0 1 0 0 0 1 0 1 1 0 0 0 1 1 0 1 0 1 1 1 1 1

D lieu d1 d0 d01 d00 d11 d10 d21 d20 d31 d30 d41 d40 d51 d50 d61 d60 d71 d70

D0 D1 D2 D3 D4 D5 D6 D7

Cha cac ham logic (Boolean) thay v la cac bang. Moi bien cua bang chan tr co the c bieu dien bang ham logic. Viet di dang tch chuan th ham logic cua bien d0 v du tren la
d0 = a2 .a1.a0 d00 +a2 .a1.d10 +...+a2a1a0 d70

= m0d00 + m1d10++m7d70 (vi mi: minterm th i) neu trong bang co t dio = 1 th viec s dung bo nh ham tien li hn bo nh bang Cc thit b logic lp trnh c PLD (Programmable Logic device) PLD la ten goi tong quat cua mot IC so ma ngi ta co the lap trnh c no e thc hien cac ham logic khac nhau. V ham logic c bieu dien di dang tong cac tch hay tch cac tong nen cau truc mach trong PLD thong thng la mot day AND va OR ong dang (identical cell) ma co the lap trnh tng cong c. Cac thiet ke dung PLD co cac u iem sau: Tang kha nang tch hp. co the giam khoi lng ve mach thiet ke trong khi van co the tang chc nang cho mach Cong suat thap. CMOS va mot so t hn cac mach ket hp se lam giam ang ke cong suat nguon cung cap Tang o tin cay. Nguon cung cap thap va viec giam toi a cac mach lien ket ngoai lam cho viec truyen gia cac khoi ang tin cay hn Gia thanh thap. De s dung. De dang thay oi. Khi can co s thay oi trong thiet ke, khong can phai them that cac day noi, tat ca la thay oi ben trong cua PLD va co the thc hien cac thay oi o mot cach nhanh chong.

GV: Nguyn Trng Hi

Trang 117

Bi Ging K Thut S 4.9. ROM - THIT K H THNG S DNG ROM

Chng 4

4.9.1. ROM ROM la mot mach to hp co n ngo vao va m ngo ra. Cac ngo vao c goi la a ch (address input) va thng c at la A0A1An-1. Cac ngo ra c goi la cac ngo ra d lieu (data output) va thng pc at ten la D0D1D2Dm-1

2n x m ROM

address input (n ng)

A0 A1 A2

D0 D1 D2

address output (m ng)

An-1

Dm-1

Hnh 1-2. Cau truc c ban cua mot ROM 2n x m ROM cha bang chan tr cua mot ham logic to hp n ngo vao, m ngo ra. V d, bang chan tr cua ham to hp 3 ngo vao 4 ngo ra va ta co the cha no trong 1 ROM 23 x 4 (8x 4). Bo qua cac tr hoan ve thi gian, cac ngo ra d lieu cua mot ROM moi luc la cac bit ra cua hang trong bang chan tr c chon bi cac ngo vao a ch Ch Co the dung ROM nh bat c phan t logic to hp nao ROM la bo nh khong boc hi (nonvolatile memory) v noi dung cua no van gi c ngay ca khi khong cap ien Van e hoan v cac cot bit trong bang chan tr cua ham can ghi ROM Khi dung ROM e cha mot bang chan tr cho trc, cac tn hieu input va output oc t phai sang trai trong bang chan tr thng c gan vao cac ngo vao a ch va ngo ra data cua ROM vi vi cac nhan theo th t tang dan A2 0 0 0 0 1 1 1 1 Input A1 0 0 1 1 0 0 1 1 A0 0 1 0 1 0 1 0 1 D3 1 1 1 0 0 0 0 1 Output D2 D1 1 1 1 0 0 1 1 1 0 0 0 1 1 0 0 0 D0 0 1 1 1 1 0 0 0

V d, Dung ROM e thc hien ham nhan nh phan khong dau cho 2 so nh phan 4 bit. Neu dung mach ri ta dung cac IC 74284 va 74285, con dung ROM th s dung ROM 28 x 8 (256 x 8) vi ket noi nh hnh 1-5

GV: Nguyn Trng Hi

Trang 118

Bi Ging K Thut S

Chng 4

256 x 8 ROM Y (S b nhn) X (S nhn)


A0 A1 A2 A3 A4 A5 A6 A7 D0 D1 D2 D3 D4 D5 D6 D7

Tch s

Noi dung ghi ROM tren nh sau (dang file van ban hex)

a ch

00: 10: 20: 30: 40: 50: 60: 70: 80: 90: A0: B0: C0: D0: E0: F0:

00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00

00 01 02 03 04 05 06 07 08 09 0A 0B 0C 0D 0E 0F

00 02 04 06 08 0A 0C 0E 10 12 14 16 18 1A 1C 1E

00 03 06 09 0C 0F 12 15 18 1B 1E 21 24 27 2A 2D

00 04 08 0C 10 14 18 1C 20 24 28 2C 30 34 38 3C

00 05 0A 0F 14 19 1E 23 28 2D 32 37 3C 41 46 4B

Tch so cua 1 x 5

4.9.2. Cu trc ni ca ROM C che s dung ROM e lu tr th thay oi ng vi cac cong nghe cua ROM khac nhau. Trong phan ln cac ROM, s xuat hien hoac vang mat cua mot diode hay transistor se phan biet gia 0 va 1 Cu trc vi gii m 1 chiu

GV: Nguyn Trng Hi

Trang 119

Bi Ging K Thut S

Chng 4

S o ROM 8x 4 vi cau truc giai ma 1 chieu (dung 1 bo giai ma TTL va cac diode).
HI

A0 A1 A2
HI

A B C G1 G2A G2B

Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7

D0 D1 D2 D3

Moi ng ra bo giai ma c goi la ng t (word line) bi v no chon mot hang hoac mot t cua bang cha trong ROM Moi ng thang ng trong hnh c goi la ng bit (bit line) bi v no tng ng vi mot bit ra cua ROM Nhn xt: co diode tng ng mc 0, khong co diode tng ng mc 1 Cac MOS ROM thng dung transistor thay v la diode moi v tr ma bit se c lu tr. Cu trc vi gii m 2 chiu Neu xay dng mot ROM 128x1 dung cau truc phan trc phai s dung mot bo giai ma 7 sang 128, ngha la phai s dung en mot lng ln 128 cac cong NAND 7 ngo vao, neu thiet ke cho ROM vi hang trieu bits hoac nhieu hn se khong co bo giai ma 20 sang 1048576. Thay vao o ngi ta se s dung cau truc khac c goi la giai ma hai chieu (two-dimentional decoding)

GV: Nguyn Trng Hi

Trang 120

Bi Ging K Thut S V d, ROM 128 x 1

Chng 4

HI

A4 A5 A6
HI

A B C G1 G2A G2B

Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7

0 1 2 3 4 5 6 7 8 9 101112131415 G2 S0 S1 S2 S3 D0

A0 A1 A2 A3

D0

3 bit a ch cao A6A5A4 se chon hang, moi hang cha 16 bit bat au tai a ch A6A5A40000. Khi at a ch cho ROM, 16bit hang c chon a vao bo MUX va 4 bit a ch thap se chon bit data mong muon. Ngoai viec giam tnh phc tap viec giai ma, giai ma 2 chieu co mot thuan li khac la ROM co mot kch thc vat ly gan vuong, ieu nay quan trong cho viec che tao va ong goi IC Vi ROM co nhieu ngo ra d lieu, cac day lu tr tng ng vi moi ngo ra d lieu co the c lam hep hn e at c bo tr chip gan vi hnh vuong hn. V d, Mot bo tr cua ROM 32K x 8
A6 A7 A8 A9 A10 A11 A12 A13 A14 A0 A1 A2 A3 A4 A5

9 to 512 decoder

512 x 64 512 x 64 512 x 64 512 x 64 512 x 64 512 x 64 512 x 64 512 x 64 array array array array array array array array

64 to 1 64 to 1 64 to 1 64 to 1 64 to 1 64 to 1 64 to 1 64 to 1 MUX MUX MUX MUX MUX MUX MUX MUX

GV: Nguyn Trng Hi

Trang 121

Bi Ging K Thut S 4.9.3. Cc kiu ROM thng mi Bang cac kieu ROM thng mai Kieu Cong nghe Chu ky oc Mask ROM NMOS, CMOS 25 500ns Mask ROM Bipolar <100ns PROM EPROM EEPROM Bipolar NMOS, CMOS NMOS 8K x 8
10 9 8 7 6 5 4 3 25 24 21 23 2 20 22 27 1 A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 CE OE PGM VPP 2764 O0 O1 O2 O3 O4 O5 O6 O7 11 12 13 15 16 17 18 19
10 9 8 7 6 5 4 3 25 24 21 23 2 26 20 22 27 1

Chng 4

Chu ky ghi 4 tuan 4 tuan 5 phut 5 phut 10ms/bytes

<100ns 25-500ns 50-500ns

Chu thch Ghi 1 lan, cong suat thap Ghi 1 lan, cong suat cao, mat o thap Ghi 1 lan cong suat cao, khong co mat na Dung lai c, cong suat thap Gii han 10000 lan ghi/v tr 32K x 8

Cac ROM thong dung nhat la cac EPROM: 2764, 27128, 27256, va 27512 16K x 8
A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 A13 CE OE PGM VPP 27128 O0 O1 O2 O3 O4 O5 O6 O7 11 12 13 15 16 17 18 19 10 9 8 7 6 5 4 3 25 24 21 23 2 26 27 20 22 1 A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 A13 A14 CE OE VPP 27256 O0 O1 O2 O3 O4 O5 O6 O7 11 12 13 15 16 17 18 19

Chu y:

chan VCC phai noi vi +5V chan VIH phai noi vi tn hieu logic HIGH hp le chan VPP dung e a vao ien ap lap trnh

4.9.4. Cc ng vo iu khin v nh th ROM Cac ngo ra cua ROM thng phai c noi vao mot BUS 3 trang thai, o cac thiet b khac co the lai BUS cac thi iem khac nhau. Do o, phan ln cac chip ROM thng mai co ngo ra d lieu 3 trang thai va mot ngo vao Output Enable (OE: cho phep xuat) e cho phep cac ngo ra Nhieu ng dung ROM ac biet la ng dung lu tr chng trnh se co nhieu ROM c noi chung vao 1 BUS, moi lan ch co 1 ROM lai BUS. Phan ln cac ROM co ngo vao chip select (CS: chon chip) e lam n gian viec thiet ke cac he thong. Ngoai OE con can phai co CS e cho phep ngo ra 3 trang thai Tuy nhien trong nhieu ROM, CS cung lam viec nh ngo vao cat nguon cap ien (power down input), CS mc khong tch cc th khong cap nguon cho cac decoder noi, cac driver va cac MUX cua ROM. che o ch nay (standby mode) 1 ROM tieu thu t hn 10% cong suat so vi che o hoat ong (active mode).

GV: Nguyn Trng Hi

Trang 122

Bi Ging K Thut S

Chng 4

Hnh sau ch cac ngo vao CS va OE c s dung nh the nao ben trong mot ROM tieu bieu
A0 A1

row decoder Power on

Storage Array Power on

Am-1

Am Am+1 An-1
CS OE

Power on colum MUX

4.9.5. Thit k h tun t dng ROM Co the thiet ke de dang mot he tuan t dung ROM ket hp vi cac FF. Mo hnh tong quat cua he tuan t dung ROM va cac D-FF co xung nhp X1 X2 Xm Q1 Q2 Qk Z1 Z2 Zn
+ Q1

ROM

Q+ 2

D1 CK D2 CK

Q1

Q2

+ Qk

Dk CK

Qk

Clock Phan to hp cua he tuan t co the dung ROM e thc hien cac ham ra (Z1, Z2, , Zn) va cac ham trang thai ke (Q1+, Q2+, , Qk+). Trang thai cua he c cha trong mot thanh ghi (tao bang cac D-FF) va a hoi tiep ve ngo vao cua ROM.

GV: Nguyn Trng Hi

Trang 123

Bi Ging K Thut S

Chng 4

Nh vay he tuan t vi m input, n output va k bien trang thai co the c cai at bang k D-FF va ROM vi (m+k) ngo vao (ngha la 2m+k t word) va (n+k) output Thng dung D FF hn JK FF v s dung cac FF vi 2 ngo vao se can phai tang so ngo ra ROM. V d, Thiet ke mach chuyen oi ma BCD sang ma qua 3, ngo vao va ra la noi tiep vi LSB i trc input (BCD) X t2 t1 t0 t3 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 Output (excess 3) Y t3 t2 t1 t0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0

Bang tren liet ke cac input va output mong muon tai cac thi iem t0, t1, t2 va t3 Trc het thanh lap bang trang thai, sau o rut gon bang bang cach so cac hang giong nhau th gom lai. Khi so cac hang co cha dau gach ngang (ay la trng hp dont care th dau gach ngang se khp vi bat c trang thai nao hoac bat c gia tr ra nao. Bang cach so khp cac hang theo cach nay, ta co H I J K L va M N P, sau khi kh I, J, K, L N va P thay rang E F G va bang c thu gon thanh 7 hang sau Thi gian t0 t1 t2 Chui vo nhn c reset 0 1 00 01 10 11 000 001 010 011 100 101 110 111 Trng thi hin ti A B C D E F G H I J K L M N P Trng thi k X = 0 X=1 B C D F E G H L I M J N K P A A A A A A A A A A Gi tr ra hin ti (Z) X=0 X=1 1 0 1 0 0 1 0 1 1 0 1 0 1 0 0 1 0 1 0 0 0 1 1 1 -

t3

GV: Nguyn Trng Hi

Trang 124

Bi Ging K Thut S Bang trang thai c rut gon cua bo chuyen oi ma Thi gian t0 t1 t2 t3 Trng thi hin ti A B C D E H M Trng thi k X = 0 X=1 B C D F E G H H H M A A A Gi tr ra hin ti (Z) X=0 X=1 1 0 1 0 0 1 0 1 1 0 0 1 1 -

Chng 4

Co the dung mot cach khac e suy ra bang tren bang cach bat au bang gian o trang thai. Gian o trang thai co dang hnh cay. Moi ng bat au trang thai reset bieu dien mot trong 10 chuoi vao co the co A
Reset

t0 B
0/1 1/0

0/1

1/0

t1 D t2
0/0

C
0/0 1/1

F
1/1 0/1 1/0 0/1

E
1/0 0/1

G
1/0

H t3
0/0 1/1

L
0/0

J
0/0

N
0/1 0/0

I
1/1

M
0/1

K
0/0

P
0/1

Bang gan trang thai va bang chuyen trang thai Thi gian t0 t1 t2 t3 Trang thai hien tai A B C D E H M Q 1Q 2Q 3 000 010 011 101 100 111 110 Q1+Q2+Q3+ X=0 X=1 010 011 101 100 100 100 111 111 111 110 000 000 000 --Z 0 1 1 0 0 1 0 1 1 0 0 1 1 0 1 ---

GV: Nguyn Trng Hi

Trang 125

Bi Ging K Thut S

Chng 4

Cai at bo chuyen oi ma nay dung ROM va cac D-FF. V co 7 trang thai nen can 3 DFF. Nh vay can ROM co 4 input (24 word) va 4 output . Dung gan nh phan trc tiep, xay dng bang chuyen trang thai cho trang thai ke cua cac D-FF nh la ham cua trang thai hien tai va input. V ang s dung cac D FF, D1 = Q1+, D2=Q2+, D3=Q3+. bang chan tr cho ROM xay dng c de dang t bang chuyen trang thai. Nh vay cac output cua ROM (Z, D1, D2, D3) la cac ham cua cac input cua ROM (X, Q1, Q2, Q3) (a) Bang trang thai T. thai h.tai A B C D E H M T. thai ke X=0 B D E H H A A X=1 C E E H M A --G.tr ra h.tai Z X=0 1 1 0 0 1 0 1 X=1 0 0 1 1 0 1 --A B C D E H M (b) bang chuyen trang thai Q 1Q 2Q 3 000 001 010 011 100 101 110 Q1+Q2+Q3+ X=0 001 011 100 101 101 000 000 X=1 010 100 100 101 110 000 --X=0 1 1 0 0 1 0 1 Z X=1 0 0 1 1 0 1 ---

Bang chan tr cho ROM

X 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

Q1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

Q2 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

Q3 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

Z 1 1 0 0 1 0 1 x 0 0 1 1 0 1 x x

D1 (Q1+) 0 0 1 1 1 0 0 x 0 1 1 1 1 0 x x

D2 (Q2+) 0 1 0 0 0 0 0 x 1 0 0 0 1 0 x x

D3 (Q3+) 1 1 0 1 1 0 0 x 0 0 0 1 0 0 x x

GV: Nguyn Trng Hi

Trang 126

Bi Ging K Thut S Cai at mang tuan t dung ROM X1 ROM 16 words x 4 bit Q1 Q2 Q3 Z
+ Q1
D1 CK D2 CK Q1 Q2

Chng 4

Q+ 2

Q+ 3

Dk CK

Q3

Clock 4.10. PLD t hp (Combinational PLD) 5.3.1. Dy logic lp trnh c (PLA) PLA thc hien cung chc nang c ban nh ROM. Mot PLA vi n input va m output co the cai at m ham cua n bien. To chc noi cua PLA th khac vi to chc not cua ROM Cau truc PLA (PLA nxm vi p so hang tch) n input

Dy AND

Dy OR

p ng word (s hng tch) V d, To chc noi cua PLA 4x3 vi 6 so hang tch a b c d

m ng ra

Dy OR

a'bd abd ab'c' b'c c bc Dy AND F1 F2 F3 Output

Cc ng Word

GV: Nguyn Trng Hi

Trang 127

Bi Ging K Thut S Vi ky hieu a' b d a'bd a' b d c bc a'bd c a'bd ab'c' bc F3 Bang PLA cho PLA tren a 0 1 1 b 1 1 0 0 1 c 0 1 1 1 d 1 1 F1 1 1 1 1 0 0 F2 1 0 0 0 1 0 F3 0 1 1 0 0 1 F3 ab'c' a'bd

Chng 4

Moi hang trong bang bieu dien mot so hang tch tong quat. C hai loi PLA: PLA lap trnh mat na (Mask programmable): c lap trnh luc che tao (tng t PROM) PLA lap trnh trng (field programmable) co cac noi ket cau ch (fusible link) co the lam t e lu tr cac mau trong cac day AND va OR.

Ch . Khi so bien vao nho, th tong quat la ROM kinh te hn dung PLA. Tuy nhien khi so bien vao ln, PLA thng cho giai phap kinh te hn ROM 5.3.2. Logic dy lp trnh c PAL (Programmable Array Logic) PAL la trng hp ac biet cua PLA, trong o cac day AND lap trnh c va day OR la co nh. Cau truc c ban cua PAL th giong nh PLA Mot oan PAL

GV: Nguyn Trng Hi

Trang 128

Bi Ging K Thut S

Chng 4

V ch co day AND la lap trnh c, do o PAL kinh te hn PLA. Cac nha thiet ke logic thng s dung cac PAL e thay the cac cong logic khi phai cai at nhieu ham Phan ln cac nha san xuat PAL ch ra thiet ke noi cua PAL ky hieu cua no V d PAL `so input Cau truc ra So output Vi cau truc ra H = High ngo ra tac ong mc cao L = Low ngo ra tac ong mc thap C = Complement ngo ra co ca hai ng tac ong mc cao va thap Toc o: khoang trang toc o chuan A= toc o cao B=toc o rat cao D=toc o cc cao Tieu tan cong suat blank = full -2 = -4 = V d, ky hieu logic truyen thong cho PAL 16L8 PAL16L8
1 2 3 4 5 6 7 8 9 11 I1 I2 I3 I4 I5 I6 I7 I8 I9 I10 O1 O2 O3 O4 O5 O6 O7 O8 19 18 17 16 15 14 13 12

16 L 8 B 4 tieu tan cong suat 1/4w toc o

chan 10, 20 cho nguon va at Xem them s o logic cua PAL 14L4 va PAL 1246 cac trang 240, 241 trong Fundamentals of logic design cua Roth

GV: Nguyn Trng Hi

Trang 129

Bi Ging K Thut S

Chng 4

Khi thit k vi PAL phai n gian hoa cac phng trnh logic va co a chung khp vao mot (hoac nhieu) cac PAL. Khong giong nh cac PLA (tong quat hn) cac so hang AND khong the chia se cho 2 hay nhieu cong OR, vi mot kieu PAL cho trc, so so hang AND a vao moi cong OR la co nh va b gii han. Neu so so hang AND trong ham c n gian la qua ln th ta co the chon PAL co nhieu ngo vao va t output hn V d, dung PAL thiet ke mach oi mot so nh phan 4 bit sang so HEX va xuat ma ASCII 7 bit cho so hex W 0 0 . . 1 1 . . 1 Input X Y 0 0 0 0 0 0 1 0 1 1 Z 0 1 1 0 1 s hex 0 1 9 A F A6 0 0 0 1 1 m ASCII cho s m hex A5 A4 A3 A2 A1 A0 1 1 0 0 0 0 1 1 0 0 0 1 1 0 0 1 0 0 1 0 0 0 0 1 0 0 1 1 1 0

W X Y Z A5 A4 A3 A2 A1 A0

A6 PAL

Ch : V A5 = A4 va A6 = A 4 nen ch can dung PAL tao ra 5 ham cua 4 bien e viet c bang PAL phai rut gon cac ham ra va ket qua nh sau
A 4 =W+X.Y A 3 =W X.Y A 2 =WX+XZ+XY A1=WX.Y.Z+YZ+ WY A 4 =WX+WY A 3 =W+X+Y A 2 =X+W Y.Z

A 0 =WZ+X.YZ+WXZ+WYZ

Sau o tm cac PAL thch hp e cai at cac ham tren. Chon c PAL12H6, co mot output khong dung. Co the viet lai chng trnh cho A2 nh sau

GV: Nguyn Trng Hi

Trang 130

Bi Ging K Thut S
A 2 =WX+(XZ+XY)=WX+B vi B=XZ+XY

Chng 4

roi co the s dung ngo ra them e tao ra B va noi B vao mot trong cac ngo vao PAL nh hnh tren. Vi cach nay ta the tao ra 5 ham vi mot PAL Xem them cac v du trong chng 8 cua Digital desgn principle and practices cua John F. Wakerly 5.3.3. Thit k h tun t dng PLA Co the cai at cac he tuan t dung PLA va cac FF theo cach tng t nh dung ROM va cac FF. Tuy nhien trong trng hp cac PLA, s gan trang thai la quan trong v viec s dung cac phep gan tot co the giam c so cac so hang tch can co va t o giam c kch thc cua PLA V d, cai at bang trang thai cua VD thiet ke dung ROM nhng bay gi dung 1 PLA 3 DFF. T. thai h.tai T. thai ke G.tr ra h.tai Z X=0 X=1 X=0 X=1 A B C 1 0 B D E 1 0 C E E 0 1 D H H 0 1 E H M 1 0 H A A 0 1 M A --1 --Cau hnh mach th giong nh dung ROM ngoai tr thay the ROM bang mot PLA vi kch thng thch hp. S dung phep gan nh phan trc tiep dan en bang chan tr sau

X 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

Q1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

Q2 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

Q3 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

Z 1 1 0 0 1 0 1 x 0 0 1 1 0 1 x x

D1 (Q1+) 0 0 1 1 1 0 0 x 0 1 1 1 1 0 x x

D2 (Q2+) 0 1 0 0 0 0 0 x 1 0 0 0 1 0 x x

D3 (Q3+) 1 1 0 1 1 0 0 x 0 0 0 1 0 0 x x

GV: Nguyn Trng Hi

Trang 131

Bi Ging K Thut S

Chng 4

Co the cha bang nay trong mot PLA 4 input, 13 so hang tch va 4 output nhng thay rang no se khong thuan li hn viec dung ROM a lam phan trc. Neu s dung phep gan trang thai, phng trnh ra va phng trnh vao cac D-FF

D1 = Q1 Q 2 + Q1Q 2

D2 = Q2
D 3 = Q 2 .Q 3 + XQ 1 Q 2 + XQ 1 .Q 2 + X.Q 1 .Q 2 Q 3 Z = XQ 3 + XQ 3

Bang PLA tng ng vi cac phng trnh nay nh sau.

X 0 1 0 0 1

Q1 1 0 1 0 0 -

Q2 0 1 0 0 0 0 1 -

Q3 1 0 0 1

Z 0 0 0 0 0 0 0 1 1

D1 (Q1+) 1 1 0 0 0 0 0 0 0

D2 (Q2+) 0 0 1 0 0 0 0 0 0

D3 (Q3+) 0 0 0 1 1 1 1 0 0

e cai at bang nay can 1 PLA co 4 input, 9 so hang tch va 4 output. 5.3.4. Thit k h tun t dng PAL Dung cac PAL to hp, cach thiet ke tng t nh vi PLA nhng phai chu y rut gon ham ra 4.11. PLD tun t (sequential PLD = PLA(PAL)+cc FF) Phan ln cac thiet ke so can cac FF, cac PLD co cha cac FF nh vay thng c goi la PLD co thanh ghi (registered PLD) hay PLD tuan t. Mot oan cua PAL tuan t.

A A

B Q

clock D Q
Q

EN

Q
B m ra o 3 t.thi

A B

D-FF c lai t mot cong OR. Ngo ra FF c a hoi tiep ve day AND lap trnh c qua mot bo em. Nh vay cac ngo vao cong AND co the c noi vao A, A ,,Q hay Q . Cac phng trnh trang thai ke

GV: Nguyn Trng Hi

Trang 132

Bi Ging K Thut S

Chng 4

Q + = D = ABQ + A BQ
Ngo ra FF c noi vao bo em ao 3 trang thai, cho phep khi EN=1 ac tnh cua mot so PAL tuan t thong dung. Moi PAL cha 1 thanh ghi co t 4 en 10 D-FF. 6 PAL au trong bang tng t vi 16R4 (co 1 day cong AND vi 16 input va 4 D-FF) Kieu 16R4 16R6 16R8 20R4 20R6 20R8 20X4 20X8 20X10 So ngo vao (trc tiep+hoi tiep + cac IO) 8+4+4 8+6+2 8+8+0 12+4+4 12+6+2 12+8+0 10+4+6 10+8+2 10+10+0 So ngo ra (FF + IO) 4+4 6+2 8+0 4+4 6+2 8+0 4+6 8+2 10+0 So cac cong AND tren cong OR 8 8 8 8 8 8 3/2* 3/2* -/2

Ghi chu: * 3 vi cac ngo ra to hp, 2 cho cac ngo vao D FF 3 PAL cuoi trong bang ch cac XOR PAL. XOR PAL co mot cong XOR lai moi ngo vao D FF nh sau
clock D Q
Q

EN

Trong PAL nay, moi cong XOR c lai bang hai cong OR, va moi cong OR c lai bang 2 cong AND, cac ngo ra FF co the c hoi tiep ve cac cong AND nh trong cac PAL co thanh ghi khac. Dang tong quat cua phng trnh trang thai ke cho moi FF trong XOR PAL la

Q + = D = (P1 + P2 ) (P3 + P4 )
Vi P1 P2 P3 P4 la cac so hang tch e minh hoa viec s dung cac XOR PAL, thiet ke mot bo em nh phan 4 bit (em len, em xuong) vi 3 ngo vao ieu khien U(up), D(down) va L (load). Khi U=1 bo em tang them 1, khi D = 1 bo em giam 1, va khi L =1, bo em nap d lieu song song t cac ngo vao d lieu cua no (DI) Bang cac trang thai ke cua bo em cho U=1 va cho D=1, gia s rang U=D=1 khong xay ra

GV: Nguyn Trng Hi

Trang 133

Bi Ging K Thut S U=1 Q2 Q 1+ 0 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 0 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0


+

Chng 4 D=1 Q2 Q 1+ 1 1 0 0 0 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 0 0 1 0 1 0 0 1 0 1 1 1
+

Q3 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

Q2 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

Q1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

Q0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

Q3 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0

Q0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0

Q3 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1

Q 0+ 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0

Trc het, suy ra cac phng trnh trang thai ke cho bo em len. Trong moi hang Q0 khac Q0+ v vay FF Q0 phai thay oi trang thai moi xung nhp khi U=1 v le o Q 0+ = Q 0 U Nhan thay Q1 thay oi (Q1 Q1+) ch neu Q0 =1. v the Q1+ = Q1 UQ0 Q2 thay oi trong cac hang 3, 7, 11 va 15 ch khi Q1 = Q0 = 1 v the Q2+ = Q2 UQ1Q0 Q3 thay oi trang thai ch trong nhng hang ma Q2 =Q1=Q0=1, v the Q3+= Q3 UQ2Q1Q0 Tng t, bang cach quan sat bang, co the suy ra cac phng trnh cho bo em xuong khi D=1 Q 0+ = Q 0 D Q 1+ = Q 1 D Q 0 Q2+ = Q2 D Q 0 .Q 1 Q3+ = Q3 D Q 0 .Q 1 .Q 2 Tong quat e s dung XOR PAL, phai bieu dien trang thai ke cua Qi dang Qi+ = Qi Fi Cac Fi c tm bang phng phap quan sat hoac rut gon bang bang Karnaugh Tiep tuc thiet ke bo em len xuong vi ngo vao ieu khien U, D, L.

GV: Nguyn Trng Hi

Trang 134

Bi Ging K Thut S

Chng 4

Trc het xet trng hp khi U=D=0. Khi L=1, bo em c nap song song t cac ngo vao d lieu cua no (DI), va khi L=0 trang thai bo em gi khong oi. Da vao tnh chat ham Boolean f(x1, x2,, xn) = x1f(1, x2, ,xn) + x 1 f(0,x2,,xn) Nh vay phng trnh trang thai ke cho moi FF la Qi+ = LDi +LDI Ket hp cac phng trnh khi xet ca U, D, L. Gia s L=1 anh hng len ca U=1 hay D=1 va U=D=1 khong bao gi xay ra. Neu bat au bang phng trnh Q 0+ = Q 0 U thay Q0+ bang LD0+LQ0 va thay U bang U+D, c Q0+ = (LD0+LQ0) (U+D). Phng trnh nay cho ket qua ung khi L=0 va U hoac D la 1. e am bao L=1 anh hng u tien hn em len em xuong, ta phai nhan U va D cho L, cac phng trnh sau con lai cung lam tng t, ta co Q0+ = (LD0+LQ0) (UL+DL) Q1+ = (LD1+LQ1) (ULQ0 + DLQ0) Q2+ = (LD2+LQ2) (ULQ0Q1 + DLQ0Q1 ) Q3+ = (LD3+LQ3) (ULQ0Q1Q2 + DLQ0Q1Q2) Khi L=D=0, cac phng trnh nay rut gon trng hp ch em len, va khi L=U=0 cac phng trnh nay rut gon ve trng hp em xuong Cac dang phng trnh tong hp tren thch hp e cai at bang XOR PAL

4.12. Cc PLD tun t khc Khi cong nghe IC a c cai tien, nhieu loai PLD khac ra i, cac PLD mi da tren nhng m rong cua khai niem PAL hoac da tren cac day cong 22V10 la 1 PLD rat linh hoat ma co the dung no e cai at cac mang to hp va tuan t. 22V10 co 12 chan danh rieng cho ngo vao va 10 chan co the lap trnh hoac lam ngo vao hoac ngo ra. Cha 10 D-FF, 10 cong OR, so cong AND a vao moi cong OR t 8 en 16. Moi cong OR lai mot logic macrocell, moi macrocell cha 1 D-FF, cac FF co cung clock, mot ngo vao reset bat ong bo chung (AR= Asynchronuos Reset) va mot ngo vao at trc ong bo chung (SP= synchronuos Preset)

GV: Nguyn Trng Hi

Trang 135

Bi Ging K Thut S
S o khoi cua 22V10

Chng 4

Chi tiet cua mot macrocell xuat cua 22V10.

S1 S0 F0
S

VCC

VCC

F1

GV: Nguyn Trng Hi

Trang 136

Bi Ging K Thut S

Chng 4

Cac ket noi en cac chan ra co the ieu khien c bang cach lap trnh macrocell nay. Cac ngo va ieu khien MUX xuat S1 S0 chon mot trong cac ngo vao d lieu. V d, S1S0 =10 chon ngo vao d lieu 2. Khi cau ch F1 h (S1 =1), FF b bo qua va ngo ra t cong OR. Ngo ra cong OR cung c hoi tiep ve e co the dung nh mot ngo vao cua day cong AND Neu F1 con nguyen (S1=0), th ngo ra FF c noi vao chan ngo ra va no cung c hoi tiep ve e co the dung no lam ngo vao cong AND. Khi cau ch Fo h (S0=1), ngo ra khong b ao e no tac ong mc cao. Khi F0 con nguyen (S0=0), ngo ra b ao nen no tac ong mc thap. Chan ngo ra c lai bang bo em ao 3 trang thai. Khi ngo ra bo em trang thai tong tr cao, cong OR va FF khong noi vi chan ngo ra (xuat) va chan nay co the dung lam ngo vao V d, Dung 22V10 thiet ke bo ieu khien en giao thong cho giao lo cua ng A va ng B. Moi ng co cac cam bien e phat hien s xuat hien xe co en gan hoac dng giao lo. Sa = 1 ngha la xe ang tien en ng A Sb = 1 ngha la xe ang tien en ng B A la ng chnh va se co en xanh cho en khi co mot xe chay en B, roi en thay oi va B co en xanh. Va het 50giay cac en se thay oi ve nh cu tr khi co mot xe tren ng B va khong co xe A, trng hp nay chu ky B c m rong them 10s. Khi A xanh no gi xanh toi thieu 60 giay va roi cac en thay oi ch khi xe tien en B. Cac noi ket ben ngoai cua bo ieu khien

Clock

Sa Sb PAL 22V10

Ga Ya Ra

Gb Yb Rb

Gian o trang thai cho bo ieu khien. Cho muc ch nh th, mang tuan t c lai bang 1 clock co chu ky 10giay. Nh vay s thay oi trang thai co the xay ra hau nh 10giay. e n gian hoa gian o. Ta dung ky hieu sau: GaRb trong mot trang thai co ngha la Ga=Rb=1 va tat ca cac bien ra khac la 0, SaSb cung mot cung ngha la Sa=0 va Sb=1 se gay ra chuyen trang thai theo cung o. Mot cung khong co nhan ham y rang chuyen trang thai se xay ra khi co clock xay ra, oc lap vi cac bien vao. Nh vay en A xanh se sang trong 6 chu ky clock va oi trang thai sang vang neu co 1 xe ang i ng B

GV: Nguyn Trng Hi

Trang 137

Bi Ging K Thut S

Chng 4

S0 GaRb

10s

S1 GaRb

10s

S2 GaRb

10s

S3 GaRb

10s

S4 GaRb

10s

S5 GaRb Sb S6

Sb

S6 YaRb (Sa+Sb) S11 RaGb SaSb Bang trang thai cua bo ieu khien. SaSb S0 S1 S2 S3 S4 S5 S6 S7 S8 S9 S10 S11 S12 00 S1 S2 S3 S4 S5 S5 S7 S8 S9 S10 S11 S12 S0 01 S1 S2 S3 S4 S5 S6 S7 S8 S9 S10 S11 S11 S0 10 S1 S2 S3 S4 S5 S5 S7 S8 S9 S10 S11 S12 S0 11 S1 S2 S3 S4 S5 S6 S7 S8 S9 S10 S11 S12 S0 Ga 1 1 1 1 1 1 0 0 0 0 0 0 0 Ya 0 0 0 0 0 0 1 0 0 0 0 0 0 Bien ra Ra Gb 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 0 Yb 0 0 0 0 0 0 0 0 0 0 0 0 1 S10 RaGb S9 RaGb S8 RaGb

YaRb

S7 RaGb

Rb 1 1 1 1 1 1 1 0 0 0 0 0 0

Cai at bang tren dung 4 D-FF vi cac ngo vao D1, D2, D3 , D4 va cac ngo ra Q1, Q2, Q3, Q4. Bang phep gan trang thai nh phan trc tiep, suy ra cac phng trnh D1=Q1Q2+Q2Q3Q4 D2=Q1 Q2Q3Q4 + SaQ1Q3Q4 + SbQ1Q3Q4 + Q1Q2Q4 + Q1Q2Q3 D3= Q3Q4 + SbQ3 Q4 + SaQ3 Q4 + Q2Q3 Q4 + SaSbQ1Q4 D4= SaSbQ1Q3 + Q2 Q4 + Q1 Q4 + SaSbQ2Q3 Q4 13 trang thai co 4 bit ma hoa trang thai Q3Q2Q1Q0 Ga = Q1Q3 + Q1Q2 Ya = Q2Q3Q4 Ra= Q1 + Q2Q3Q4

GV: Nguyn Trng Hi

Trang 138

Bi Ging K Thut S
Gb = Q1Q2 + Q2Q3Q4 Yb = Q1Q2

Chng 4
Rb=Q1Q2+Q1Q4+Q1'Q3

V tat ca phng trnh nay co t hn 8 so hang AND, 22V10 la phu hp. Neu 1 so phng trnh co qua nhieu so hang khong khp cho 22V10 th se can th cac phep gan trang thai khac Neu cai at bo ieu khien dung JK FF va cac cong, 4FF va 34 cong se can cho gan trang thai nh phan trc tiep. Q3Q2Q1Q0 = trang thai 6 bien vao SaSb Q3Q2Q1Q0 Theo tnh chat ham Boolean: f(x1, x2, x3, , xn) = x 1 .x 2 f(0,0, x3, ,xn) + x 1 x2f(0,1,x3,,xn) + x1 x 2 f(1,0,x3,,xn)+ x1x2 f(1,1,x3,,xn) => Ga = SaSbF00 + SaSbF01 + SaSbF10 + SaSbF11 Cac FF va cac cong nay can khoang 11 IC loai SSI, so vi giai phap 22V10 th tiet kiem nang lng va tin cay hn 4.13. Dy cng lp trnh c (PGA) Cac PLD co kha nang dung e cai at mot he tuan t nhng khong phai la mot he thong so ay u. Cac PGA (programmable gate Array) linh ong hn va co the c s dung e cai at mot he thong so nho tren mot chip IC. Mot PGA tieu bieu la mot IC cha mot day cac logic cell ong dang vi cac lien ket noi lap trnh c. Ngi s dung co the lap tnh cac ham c cai at bang moi logic cell va cac ket noi gia cac cell

Configurable logic block

khoi IO

Vung lien ket noi

GV: Nguyn Trng Hi

Trang 139

Bi Ging K Thut S

Chng 4

V du, Hnh tren la mot phan cua cau truc Xilinx XC2064 LCA (logic cell array) gom mot day noi 64 khoi logic co the at cau hnh c CLB (configurable logic block), xung quanh la mot vong 58 khoi giaoo tiep nhap xuat. Cac lien ket gia cac khoi nay co the lap trnh c bang cach cha d lieu trong cac o nh RAM noi. Moi CLB cha mot logic to hp va 1 D-FF va co the lap trnh c e thc hien nhieu ham logic Cau truc cua mot CLB

*
preset A J B KC clear D

*
G

Khi logic t hp

*
D
S

Y(Q)

Q
R

CK

*
clock A B C A B C D
Q

Hm 3 bin bt k

Hm 4 bin bt k
G

D
Q

A B C D Q

Hm 3 bin bt k

La chn mt hm 4 bin

La chn hai hm 3 bin


Cac khoi hnh thang trong s o bieu dien cac bo don kenh (MUX) co the lap trnh c e chon mot trong cac ngo vao. V du: ngo vao thap nhat khoi logic to hp co the en t D hay Q va ngo ra Q co the en t F, G hay Q. Khoi logic to hp cha cac te bao nh RAM va co the c lap trnh e cai at mot ham 4

GV: Nguyn Trng Hi

Trang 140

Bi Ging K Thut S

Chng 4

bien bat ky hoac 2 ham 3 bien bat ky (hnh 1-29. V du co the at F=G=f1(A, B, C, Q) hay F=f2 (A, B,) va G =f3(B, C, Q). Cac ng t net ch cac ket noi can e at cau hnh logic cell la mot JK-FF vi cac ngo vao preset va clear. Logic to hp co cac ngo vao J,K va Q va cai at trang thai ke cho FF, F=Q+ = JQ + KQ. Ngoai ra cai at G=Q e cung cap mot ngo ra bu cho FF. Cac tn hieu Preset va Clear c dan trc tiep ti S (asynchronuos set) va R (asynchronuos reset) cua D-FF

GV: Nguyn Trng Hi

Trang 141

Bi Ging K Thut S
Bi tp chng 4

Chng 4

4.1. Gi s ban u Q = 0, a X, Y n ng vo SET, RESET ca 1 RS-FF dng NAND, xc nh dng sng Q v Q

X Y Z
4.2. Gi s ban u Q= 0, o dng sng ng vo X, Y bi 4.1, s dng RS-FF dng cng NOR, xc nh dng sng Q v Q . 4.3. Dng sng bi 4.1 c kt ni vi mch hnh sau. Gi s rng ban u Q=0, xc nh dng sng Q.

X Z

Q Q

Y
4.4. Mt clocked FF c th c tS = 20ns v tH = 5ns. Mt bao lu cc ng vo iu khin n nh trc khi xy ra s chuyn i xung CK. . 4.5. Mt Toggle FF l 1 FF c 1 ng vo n v hot ng sao cho trng thi ng ra thay i mi khi c xung a n ng vo. clocked SR-FF c th c ni hot ng trong ch ny (hnh) . Dng sng a n ng vo CLK l sng vung 1KH. Xc nh dng sng ng ra Q, gi s ban u Q = 0
1 KHz
S CLK R Q Q

4.6.a. Hy trnh by lm th no J-K FF c th hot ng nh 1 toggle FF. a sng vung 10kHz n ng vo v xc nh dng sng ng ra. b.Ni ng ra Q ca FF phn a n ng vo J-K FF th 2 (J2=K2=1). Xc nh tn s dng sng ti ng ra Q ca FF th 2. 4.7. Xung CK c a n 2 FF khc nhau: (a) J-K FF kch bng cnh ln; (b) JK FF kch bng cnh xung. Hy v dng sng ng ra Q cho mi FF trn, gi s ban u Q = 0.

GV: Nguyn Trng Hi

Trang 142

Bi Ging K Thut S 1 Clock 2 3 4 5 6 7 8 9 10 11

Chng 4

J input

K input

4.8. Mt D-FF c dng lm mch to tr sao cho thng tin xut hin ng ra tr mt thi gian sau khi n xut hin ti ng vo D. a/ Xc nh dng sng Q hnh sau v so snh vi dng sng ng vo.
Data Input
CK D Q

Data Input Ck

1 0 1 0

b/ Lm th no c th delay thi gian 2 clock? 4.9. Mt D-FF c ni nh hnh sau. Gi s ban u Q = 0 , xc nh dng sng Q.

1KHz

D CK

4.10. Xc nh dng sng Q cho FF hnh sau. Gi s ban u Q = 0 CK 0 CLR 1 0 Pr 1 0


1 1 CK 1 K J

Pr

Q Q

CLR

4.11. Ban u ng vo A, B, C trong mch in u mc LOW. Gi s ng ra Y mc HIGH ch khi A, B, C mc HIGH trong 1 trnh t nht nh. a/ Xc nh trnh t lm Y mc HIGH b/ Gii thch ti sao xung START l cn thit. c/ bin i mch ny dng D-FF.

GV: Nguyn Trng Hi

Trang 143

Bi Ging K Thut S A B C
J CLK X J CLK Y

Chng 4

K Clear

K Clear

Start 4.12. Hy v mch logic truyn song song d liu ng b t 1 thanh ghi 3 bit sang thanh ghi khc dng FF J- K. 4.13. lm li bi 4.12 khi truyn song song bt ng b. 4.14. Thit k mch m ln MOD 6 dng T-FF c xung tc ng cnh ln, Pr va` CLR tch cc mc cao 4.15. Thit k mch m ln MOD 10 dng D-FF c xung tc ng cnh ln, Pr va` CLR tch cc mc cao 4.16. Thc hin mch m MOD 60 t bi 4.14 v 4.15 4.17. Hy v lu chuyn i trng thi ca mch m xung MOD 6 dng xung CK tc ng cnh ln v so snh vi s m xung dng xung CK tc ng cnh xung. Chng khc nhau nh th no? 4.18. a/ xy dng 1 mch m nh phn m t 0 n 1023 th phi s dng bao nhiu FF? b/ xc nh tn s ti ng ra ca FF cui cng ca mch m ny khi tn s xung clock ng vo l 2 Mhz. c/ S MOD ca mch m l bao nhiu?. d/ Nu ban u mch m m l 0 th sau 2060 xung s m c l bao nhiu?. 4.19. Mt mch m c tn hiu clock l 256 KHz , tn s ng ra FF cui cng l 2KHz a/ Hy xc nh s MOD. b/ Hy xc nh phm vi m. 4.20. 1 mch tch sng quang c s dng to 1 xung mi khi c 1 khch hng i vo. Cc xung ny c a n b m 8 bit. B m ny dng m s lng khch hng i vo trong ca hng. Sau khi ca hng ng ca, ngi ch ca hng kim tra mch m v thy rng n ch mt s m l 000010012 = 910. Anh ta bit rng iu ny khng ng v c nhiu hn 9 ngi trong ca hng ca ng ta. Gi s mch m dang lm vic ng. L do no cho s tri ngc ny?. 4.21. Hy v lu chuyn i trng thi ca mch m MOD 16. 4.22. Hy xc nh dng sng ti Q1, Q2, Q3 . 1 0
T Q1 OS Q1 T Q2 OS Q2 T Q3 OS Q3

tp=10ms

tp=5ms

tp=20ms

tp=10ms

GV: Nguyn Trng Hi

Trang 144

Bi Ging K Thut S 4.23. Xt mch sau ` 1 1


J CLK K C C

Chng 4

1 1

J CLK K

J CLK

1Hz Z

X Y

D CLK PRE

B C W

CLK PRE

Start pule

1 0
Ban u tt c cc FF trong trng thi 1. Hot ng ca mch bt u vi xung Start tc th c a n cc ng vo PRE ca FF X v Y. Hy xc nh dng sng ti A,B,C,X,Y,Z v W trong 20 chu k xung ng h. 4.24. Mch in sau c th s dng pht 2 tn hiu clock khng trng khp ti cng 1 tn s. Cc tn hiu clock ny c s dng trong vi h thng vi x l i hi 4 s chuyn i clock khc nhau ng b cc hot ng ca chng. V dng sng ti CP1 v CP2 khi tn s clock ng vo l 1Mhz. +5V

Clock

CP1

CLK K Q

CP2 4.25. Mot mach ong bo co vong em sau:

000

111

010

101

011

a). Thiet ke bo em tren dung JK FF co xung tac ong canh xuong. b). Gia s trang thai hien tai cua mach la 001 tm trang thai ke cua mach cau a. GV: Nguyn Trng Hi Trang 145

You might also like