You are on page 1of 37

Model { Name "pll" Version 7.7 MdlSubVersion 0 GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.

10" NumModelReferences 0 NumTestPointedSignals 0 } SavedCharacterEncoding "windows-1252" slprops.hdlmdlprops { $PropName "HDLParams" $ObjectID 1 Array { Type "Cell" Dimension 2 Cell "HDLSubsystem" Cell "pll" PropName "mdlProps" } } SaveDefaultBlockParams on ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" MaxMDLFileLineLength 120 Created "Sat Mar 24 17:53:16 2012" Creator "rajeshsura" UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%<Auto>" LastModifiedBy "rajeshsura" ModifiedDateFormat "%<Auto>" LastModifiedDate "Sun Jun 10 01:24:21 2012" RTWModifiedTimeStamp 261191876 ModelVersionFormat "1.%<AutoIncrement:10>" ConfigurationManager "None" SampleTimeColors off SampleTimeAnnotations off LibraryLinkDisplay "none" WideLines off ShowLineDimensions off ShowPortDataTypes off ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowSignalResolutionIcons on ShowViewerIcons on SortedOrder off ExecutionContextIcon off ShowLinearizationAnnotations on BlockNameDataTip off BlockParametersDataTip off

BlockDescriptionStringDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off BrowserLookUnderMasks off SimulationMode "normal" LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off Object { $PropName "DataLoggingOverride" $ObjectID 2 $ClassName "Simulink.SimulationData.ModelLoggingInfo" model_ "pll1" signals_ [] overrideMode_ [0.0] Array { Type "Cell" Dimension 1 Cell "pll1" PropName "logAsSpecifiedByModels_" } Array { Type "Cell" Dimension 1 Cell [] PropName "logAsSpecifiedByModelsSSIDs_" } } RecordCoverage off CovPath "/" CovSaveName "covdata" CovMetricSettings "dw" CovNameIncrementing off CovHtmlReporting on CovForceBlockReductionOff on covSaveCumulativeToWorkspaceVar on CovSaveSingleToWorkspaceVar on CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovReportOnPause on CovModelRefEnable "Off" CovExternalEMLEnable off ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "normal" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off"

ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect on ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock on BufferReuse on ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 3 Version "1.11.0" Array { Type "Handle" Dimension 9 Simulink.SolverCC { $ObjectID 4 Version "1.11.0" StartTime "0.0" StopTime "20" AbsTol "auto" FixedStep "auto" InitialStep "auto" MaxNumMinSteps "-1" MaxOrder 5 ZcThreshold "auto" ConsecutiveZCsStepRelTol "10*128*eps" MaxConsecutiveZCs "1000" ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "1e-4" MinStep "1e-5" MaxConsecutiveMinStep "1" RelTol "1e-3" SolverMode "Auto" ConcurrentTasks off Solver "ode23s" SolverName "ode23s" SolverJacobianMethodControl "auto" ShapePreserveControl "DisableAll" ZeroCrossControl "UseLocalSettings" ZeroCrossAlgorithm "Nonadaptive" AlgebraicLoopSolver "TrustRegion" SolverResetMethod "Fast" PositivePriorityOrder off AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" InsertRTBMode "Whenever possible" } Simulink.DataIOCC { $ObjectID 5 Version "1.11.0" Decimation "1" ExternalInput "[t, u]" FinalStateName "xFinal"

InitialState "xInitial" LimitDataPoints on MaxDataPoints "1000" LoadExternalInput off LoadInitialState off SaveFinalState off SaveCompleteFinalSimState off SaveFormat "Array" SignalLoggingSaveFormat "ModelDataLogs" SaveOutput on SaveState off SignalLogging on DSMLogging on InspectSignalLogs off SaveTime on ReturnWorkspaceOutputs off StateSaveName "xout" TimeSaveName "tout" OutputSaveName "yout" SignalLoggingName "logsout" DSMLoggingName "dsmout" OutputOption "RefineOutputTimes" OutputTimes "[]" ReturnWorkspaceOutputsName "out" Refine "1" } Simulink.OptimizationCC { $ObjectID 6 Version "1.11.0" Array { Type "Cell" Dimension 8 Cell "BooleansAsBitfields" Cell "PassReuseOutputArgsAs" Cell "PassReuseOutputArgsThreshold" Cell "ZeroExternalMemoryAtStartup" Cell "ZeroInternalMemoryAtStartup" Cell "OptimizeModelRefInitCode" Cell "NoFixptDivByZeroProtection" Cell "UseSpecifiedMinMax" PropName "DisabledProps" } BlockReduction on BooleanDataType on ConditionallyExecuteInputs on InlineParams off UseIntDivNetSlope off UseSpecifiedMinMax off InlineInvariantSignals off OptimizeBlockIOStorage on BufferReuse on EnhancedBackFolding off StrengthReduction off ExpressionFolding on BooleansAsBitfields off BitfieldContainerType "uint_T" EnableMemcpy on MemcpyThreshold 64 PassReuseOutputArgsAs "Structure reference" ExpressionDepthLimit 2147483647

FoldNonRolledExpr on LocalBlockOutputs on RollThreshold 5 SystemCodeInlineAuto off StateBitsets off DataBitsets off UseTempVars off ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero off NoFixptDivByZeroProtection off EfficientFloat2IntCast off EfficientMapNaN2IntZero on OptimizeModelRefInitCode off LifeSpan "inf" MaxStackSize "Inherit from target" BufferReusableBoundary on SimCompilerOptimization "Off" AccelVerboseBuild off AccelParallelForEachSubsystem on } Simulink.DebuggingCC { $ObjectID 7 Version "1.11.0" RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" SignalInfNanChecking "none" SignalRangeChecking "none" ReadBeforeWriteMsg "UseLocalSettings" WriteAfterWriteMsg "UseLocalSettings" WriteAfterReadMsg "UseLocalSettings" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" SaveWithDisabledLinksMsg "warning" SaveWithParameterizedLinksMsg "warning" CheckSSInitialOutputMsg on UnderspecifiedInitializationDetection "Classic" MergeDetectMultiDrivingBlocksExec "none" CheckExecutionContextPreStartOutputMsg off CheckExecutionContextRuntimeOutputMsg off SignalResolutionControl "UseLocalSettings" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" TimeAdjustmentMsg "none" MaxConsecutiveZCsMsg "error" MaskedZcDiagnostic "warning" IgnoredZcDiagnostic "warning" SolverPrmCheckMsg "warning" InheritedTsInSrcMsg "warning" DiscreteInheritContinuousMsg "warning" MultiTaskDSMMsg "error" MultiTaskCondExecSysMsg "error" MultiTaskRateTransMsg "error" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" SigSpecEnsureSampleTimeMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "warning" Int32ToFloatConvMsg "warning"

ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterUnderflowMsg "none" ParameterPrecisionLossMsg "warning" ParameterTunabilityLossMsg "warning" FixptConstUnderflowMsg "none" FixptConstOverflowMsg "none" FixptConstPrecisionLossMsg "none" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" InvalidFcnCallConnMsg "error" FcnCallInpInsideContextMsg "Use local settings" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" SFcnCompatibilityMsg "none" UniqueDataStoreMsg "none" BusObjectLabelMismatch "warning" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" EnableOverflowDetection off ModelReferenceIOMsg "none" ModelReferenceMultiInstanceNormalModeStructChecksumCheck "error" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" ModelReferenceCSMismatchMessage "none" UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceSymbolNameMessage "warning" ModelReferenceExtraNoncontSigs "error" StateNameClashWarn "warning" SimStateInterfaceChecksumMismatchMsg "warning" SimStateOlderReleaseMsg "error" InitInArrayFormatMsg "warning" StrictBusMsg "ErrorLevel1" BusNameAdapt "WarnAndRepair" NonBusSignalsTreatedAsBus "none" LoggingUnavailableSignals "error" BlockIODiagnostic "none" SFUnusedDataAndEventsDiag "warning" SFUnexpectedBacktrackingDiag "warning" SFInvalidInputDataAccessInChartInitDiag "warning" SFNoUnconditionalDefaultTransitionDiag "warning" SFTransitionOutsideNaturalParentDiag "warning" } Simulink.HardwareCC { $ObjectID 8 Version "1.11.0" ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 32 ProdBitPerFloat 32 ProdBitPerDouble 64 ProdBitPerPointer 32 ProdLargestAtomicInteger "Char" ProdLargestAtomicFloat "None" ProdIntDivRoundTo "Undefined"

ProdEndianess "Unspecified" ProdWordSize 32 ProdShiftRightIntArith on ProdHWDeviceType "32-bit Generic" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 32 TargetBitPerFloat 32 TargetBitPerDouble 64 TargetBitPerPointer 32 TargetLargestAtomicInteger "Char" TargetLargestAtomicFloat "None" TargetShiftRightIntArith on TargetIntDivRoundTo "Undefined" TargetEndianess "Unspecified" TargetWordSize 32 TargetTypeEmulationWarnSuppressLevel 0 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "Specified" TargetUnknown off ProdEqTarget on } Simulink.ModelReferenceCC { $ObjectID 9 Version "1.11.0" UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" CheckModelReferenceTargetMessage "error" EnableParallelModelReferenceBuilds off ParallelModelReferenceErrorOnInvalidPool on ParallelModelReferenceMATLABWorkerInit "None" ModelReferenceNumInstancesAllowed "Multi" PropagateVarSize "Infer from blocks in model" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off PropagateSignalLabelsOutOfModel off SupportModelReferenceSimTargetCustomCode off } Simulink.SFSimCC { $ObjectID 10 Version "1.11.0" SFSimEnableDebug on SFSimOverflowDetection on SFSimEcho on SimBlas on SimCtrlC on SimExtrinsic on SimIntegrity on SimUseLocalCustomCode off SimParseCustomCode on SimBuildMode "sf_incremental_build" } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 11 Version "1.11.0" Array { Type "Cell" Dimension 8

Cell Cell Cell Cell Cell Cell Cell Cell PropName

"IncludeHyperlinkInReport" "GenerateTraceInfo" "GenerateTraceReport" "GenerateTraceReportSl" "GenerateTraceReportSf" "GenerateTraceReportEml" "GenerateSLWebview" "GenerateCodeMetricsReport" "DisabledProps"

} SystemTargetFile "grt.tlc" GenCodeOnly off MakeCommand "make_rtw" GenerateMakefile on TemplateMakefile "grt_default_tmf" GenerateReport off SaveLog off RTWVerbose on RetainRTWFile off ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off ProcessScriptMode "Default" ConfigurationMode "Optimized" ConfigAtBuild off RTWUseLocalCustomCode off RTWUseSimCustomCode off IncludeHyperlinkInReport off LaunchReport off TargetLang "C" IncludeBusHierarchyInRTWFileBlockHierarchyMap off IncludeERTFirstTime off GenerateTraceInfo off GenerateTraceReport off GenerateTraceReportSl off GenerateTraceReportSf off GenerateTraceReportEml off GenerateCodeInfo off GenerateSLWebview off GenerateCodeMetricsReport off RTWCompilerOptimization "Off" CheckMdlBeforeBuild "Off" CustomRebuildMode "OnUpdate" Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 12 Version "1.11.0" Array { Type "Cell" Dimension 21 Cell "IgnoreCustomStorageClasses" Cell "IgnoreTestpoints" Cell "InsertBlockDesc" Cell "InsertPolySpaceComments" Cell "SFDataObjDesc" Cell "MATLABFcnDesc" Cell "SimulinkDataObjDesc"

Cell Cell Cell Cell Cell Cell Cell Cell Cell Cell Cell Cell Cell Cell PropName

"DefineNamingRule" "SignalNamingRule" "ParamNamingRule" "InlinedPrmAccess" "CustomSymbolStr" "CustomSymbolStrGlobalVar" "CustomSymbolStrType" "CustomSymbolStrField" "CustomSymbolStrFcn" "CustomSymbolStrFcnArg" "CustomSymbolStrBlkIO" "CustomSymbolStrTmpVar" "CustomSymbolStrMacro" "ReqsInCode" "DisabledProps"

} ForceParamTrailComments off GenerateComments on IgnoreCustomStorageClasses on IgnoreTestpoints off IncHierarchyInIds off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement off IncAutoGenComments off SimulinkDataObjDesc off SFDataObjDesc off MATLABFcnDesc off IncDataTypeInIds off MangleLength 1 CustomSymbolStrGlobalVar "$R$N$M" CustomSymbolStrType "$N$R$M" CustomSymbolStrField "$N$M" CustomSymbolStrFcn "$R$N$M$F" CustomSymbolStrFcnArg "rt$I$N$M" CustomSymbolStrBlkIO "rtb_$N$M" CustomSymbolStrTmpVar "$N$M" CustomSymbolStrMacro "$R$N$M" DefineNamingRule "None" ParamNamingRule "None" SignalNamingRule "None" InsertBlockDesc off InsertPolySpaceComments off SimulinkBlockComments on MATLABSourceComments off EnableCustomComments off InlinedPrmAccess "Literals" ReqsInCode off UseSimReservedNames off } Simulink.GRTTargetCC { $BackupClass $ObjectID Version Array { Type Dimension Cell Cell "Simulink.TargetCC" 13 "1.11.0" "Cell" 16 "GeneratePreprocessorConditionals" "IncludeMdlTerminateFcn"

Cell Cell Cell Cell Cell Cell ant" Cell Cell Cell Cell Cell Cell Cell Cell PropName

"CombineOutputUpdateFcns" "SuppressErrorStatus" "ERTCustomFileBanners" "GenerateSampleERTMain" "GenerateTestInterfaces" "ModelStepFunctionPrototypeControlCompli "CPPClassGenCompliant" "MultiInstanceERTCode" "PurelyIntegerCode" "SupportComplex" "SupportAbsoluteTime" "SupportContinuousTime" "SupportNonInlinedSFcns" "PortableWordSizes" "DisabledProps"

} TargetFcnLib "ansi_tfl_table_tmw.mat" TargetLibSuffix "" TargetPreCompLibLocation "" TargetFunctionLibrary "ANSI_C" UtilityFuncGeneration "Auto" ERTMultiwordTypeDef "System defined" FunctionExecutionProfile off CodeExecutionProfiling off ERTCodeCoverageTool "None" ERTMultiwordLength 256 MultiwordLength 2048 GenerateFullHeader on GenerateSampleERTMain off GenerateTestInterfaces off IsPILTarget off ModelReferenceCompliant on ParMdlRefBuildCompliant on CompOptLevelCompliant on IncludeMdlTerminateFcn on GeneratePreprocessorConditionals "Disable all" CombineOutputUpdateFcns off CombineSignalStateStructs off SuppressErrorStatus off ERTFirstTimeCompliant off IncludeFileDelimiter "Auto" ERTCustomFileBanners off SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging on MultiInstanceERTCode off SupportNonFinite on SupportComplex on PurelyIntegerCode off SupportContinuousTime on SupportNonInlinedSFcns on SupportVariableSizeSignals off EnableShiftOperators on ParenthesesLevel "Nominal" PortableWordSizes off ModelStepFunctionPrototypeControlCompliant off CPPClassGenCompliant off AutosarCompliant off UseMalloc off

ExtMode ExtModeStaticAlloc ExtModeTesting ExtModeStaticAllocSize ExtModeTransport ExtModeMexFile ExtModeIntrfLevel RTWCAPISignals RTWCAPIParams RTWCAPIStates RTWCAPIRootIO GenerateASAP2 } PropName } } hdlcoderui.hdlcc { $ObjectID Version Description Name Array { Type Dimension Cell PropName } HDLCActiveTab } PropName } Name CurrentDlgPage ConfigPrmDlgPosition } PropName } Simulink.ConfigSet { $PropName $ObjectID } BlockDefaults { ForegroundColor BackgroundColor DropShadow NamePlacement FontName FontSize FontWeight FontAngle ShowName BlockRotation BlockMirror } AnnotationDefaults { HorizontalAlignment VerticalAlignment ForegroundColor BackgroundColor DropShadow

off off off 1000000 0 "ext_comm" "Level1" off off off off off "Components"

14 "1.11.0" "HDL Coder custom configuration component" "HDL Coder" "Cell" 1 "" "HDLConfigFile" "0" "Components" "Configuration" "Solver" [ 243, 69, 1123, 699 ] "ConfigurationSets" "ActiveConfigurationSet" 3 "black" "white" off "normal" "Helvetica" 10 "normal" "normal" on 0 off "center" "middle" "black" "white" off

FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" UseDisplayTextAsClickCallback off } LineDefaults { FontName "Helvetica" FontSize 9 FontWeight "normal" FontAngle "normal" } BlockParameterDefaults { Block { BlockType Clock DisplayTime off } Block { BlockType Constant Value "1" VectorParams1D on SamplingMode "Sample based" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Inherit from 'Constant value'" LockScale off SampleTime "inf" FramePeriod "inf" PreserveConstantTs off } Block { BlockType Demux Outputs "4" DisplayOption "none" BusSelectionMode off } Block { BlockType Gain Gain "1" Multiplication "Element-wise(K.*u)" ParamMin "[]" ParamMax "[]" ParamDataTypeStr "Inherit: Same as input" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Inport Port "1" OutputFunctionCall off OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: auto" LockScale off BusOutputAsStruct off

PortDimensions "-1" VarSizeSig "Inherit" SampleTime "-1" SignalType "auto" SamplingMode "auto" LatchByDelayingOutsideSignal off LatchInputForFeedbackSignals off Interpolate on } Block { BlockType Integrator ExternalReset "none" InitialConditionSource "internal" InitialCondition "0" LimitOutput off UpperSaturationLimit "inf" LowerSaturationLimit "-inf" ShowSaturationPort off ShowStatePort off AbsoluteTolerance "auto" IgnoreLimit off ZeroCross on ContinuousStateAttributes "''" } Block { BlockType Mux Inputs "4" DisplayOption "none" UseBusObject off BusObject "BusObject" NonVirtualBus off } Block { BlockType Outport Port "1" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: auto" LockScale off BusOutputAsStruct off PortDimensions "-1" VarSizeSig "Inherit" SampleTime "-1" SignalType "auto" SamplingMode "auto" SourceOfInitialOutputValue "Dialog" OutputWhenDisabled "held" InitialOutput "[]" } Block { BlockType Product Inputs "2" Multiplication "Element-wise(.*)" CollapseMode "All dimensions" CollapseDim "1" InputSameDT on OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as first input" LockScale off

RndMeth "Zero" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Scope ModelBased off TickLabels "OneTimeTick" ZoomMode "on" Grid "on" TimeRange "auto" YMin "-5" YMax "5" SaveToWorkspace off SaveName "ScopeData" LimitDataPoints on MaxDataPoints "5000" Decimation "1" SampleInput off SampleTime "-1" } Block { BlockType SubSystem ShowPortLabels "FromPortIcon" Permissions "ReadWrite" PermitHierarchicalResolution "All" TreatAsAtomicUnit off CheckFcnCallInpInsideContextMsg off SystemSampleTime "-1" RTWFcnNameOpts "Auto" RTWFileNameOpts "Auto" RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" SimViewingDevice off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" Variant off GeneratePreprocessorConditionals off } Block { BlockType Sum IconShape "rectangular" Inputs "++" CollapseMode "All dimensions" CollapseDim "1" InputSameDT on AccumDataTypeStr "Inherit: Inherit via internal rule" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as first input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block {

BlockType } Block { BlockType Operator ApproximationMethod NumberOfIterations OutputSignalType SampleTime }

Terminator Trigonometry "sin" "None" "11" "auto" "-1"

} System { Name "pll" Location [2, 74, 1364, 707] Open on ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [1.270000, 1.270000, 1.270000, 1.270000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" ReportName "simulink-default.rpt" SIDHighWatermark "94" Block { BlockType SubSystem Name "3 Phase Signal" SID "18" Ports [2, 3] Position [190, 193, 250, 257] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "3 Phase Signal" Location [-7, 82, 1339, 739] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [1.270000, 1.270000, 1.270000, 1.270000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "f" SID "29"

Position IconDisplay

[95, 98, 125, 112] "Port number"

} Block { BlockType Inport Name "Mag" SID "30" Position [300, 48, 330, 62] Port "2" IconDisplay "Port number" } Block { BlockType Constant Name "+2*pi/3" SID "15" Position [265, 324, 290, 346] Value "2*pi/3" } Block { BlockType Constant Name "-2*pi/3" SID "12" Position [265, 209, 290, 231] Value "-2*pi/3" } Block { BlockType Sum Name "Add" SID "11" Ports [2, 1] Position [335, 197, 365, 228] InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Add1" SID "16" Ports [2, 1] Position [325, 312, 355, 343] InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Clock Name "Clock" SID "1" Position [100, 25, 120, 45] DisplayTime on Decimation "1000" } Block { BlockType Constant Name "Constant" SID "2" Position [40, 52, 65, 78] Value "2*pi" }

Block { BlockType Product Name "Product" SID "4" Ports [3, 1] Position [265, 89, 295, 121] Inputs "3" InputSameDT off OutDataTypeStr "Inherit: Inherit via SaturateOnIntegerOverflow off } Block { BlockType Product Name "Product1" SID "26" Ports [2, 1] Position [485, 46, 515, 79] InputSameDT off OutDataTypeStr "Inherit: Inherit via SaturateOnIntegerOverflow off } Block { BlockType Product Name "Product2" SID "27" Ports [2, 1] Position [485, 141, 515, 174] InputSameDT off OutDataTypeStr "Inherit: Inherit via SaturateOnIntegerOverflow off } Block { BlockType Product Name "Product3" SID "28" Ports [2, 1] Position [480, 246, 510, 279] InputSameDT off OutDataTypeStr "Inherit: Inherit via SaturateOnIntegerOverflow off } Block { BlockType Trigonometry Name "cos (wt+2pi/3)" SID "17" Ports [1, 1] Position [430, 315, 460, 345] Operator "cos" } Block { BlockType Trigonometry Name "cos (wt-2pi/3)1" SID "14" Ports [1, 1] Position [430, 200, 460, 230] Operator "cos" } Block { BlockType Trigonometry Name "cos wt"

internal rule"

internal rule"

internal rule"

internal rule"

SID Ports Position Operator } Block { BlockType Name SID Position ShowName IconDisplay } Block { BlockType Name SID Position Port IconDisplay } Block { BlockType Name SID Position Port IconDisplay } Line { SrcBlock SrcPort Points DstBlock DstPort } Line { SrcBlock SrcPort Points Branch { DstBlock DstPort } Branch { Points DstBlock DstPort } Branch { DstBlock DstPort } } Line { SrcBlock SrcPort Points DstBlock DstPort

"9" [1, 1] [430, 95, 460, 125] "cos" Outport "Phase A" "19" [775, 103, 805, 117] off "Port number and signal name" Outport "Phase B" "20" [585, 203, 615, 217] "2" "Port number" Outport "Phase C" "21" [550, 323, 580, 337] "3" "Port number" "Constant" 1 [180, 0] "Product" 1 "Product" 1 [0, 5; 20, 0] "Add" 1 [-10, 0] "Add1" 1 "cos wt" 1

"Clock" 1 [120, 0; 0, 80] "Product" 3

} Line { SrcBlock SrcPort DstBlock DstPort } Line { SrcBlock SrcPort DstBlock DstPort } Line { SrcBlock SrcPort DstBlock DstPort } Line { SrcBlock SrcPort DstBlock DstPort } Line { SrcBlock SrcPort DstBlock DstPort } Line { SrcBlock SrcPort Points Branch { DstBlock DstPort } Branch { Points Branch { DstBlock DstPort } Branch { Points DstBlock DstPort } } } Line { SrcBlock SrcPort Points DstBlock DstPort } Line {

"-2*pi/3" 1 "Add" 2 "Add" 1 "cos (wt-2pi/3)1" 1 "+2*pi/3" 1 "Add1" 2 "Add1" 1 "cos (wt+2pi/3)" 1 "f" 1 "Product" 2 "Mag" 1 [45, 0] "Product1" 1 [0, 95] "Product2" 1 [0, 105] "Product3" 1

"cos wt" 1 [0, -40] "Product1" 2

SrcBlock SrcPort Points DstBlock DstPort } Line { SrcBlock SrcPort Points DstBlock DstPort } Line { SrcBlock SrcPort Points DstBlock DstPort } Line { SrcBlock SrcPort DstBlock DstPort } Line { SrcBlock SrcPort Points DstBlock DstPort }

"Product1" 1 [25, 0; 0, 45] "Phase A" 1 "cos (wt-2pi/3)1" 1 [0, -50] "Product2" 2 "Product2" 1 [20, 0; 0, 50] "Phase B" 1 "cos (wt+2pi/3)" 1 "Product3" 2 "Product3" 1 [20, 0] "Phase C" 1

} } Block { BlockType Constant Name "Freq" SID "31" Position [115, 154, 140, 176] Value "50" } Block { BlockType Gain Name "Gain" SID "74" Position [705, 231, 730, 259] Gain "5" ParamDataTypeStr "Inherit: Inherit via OutDataTypeStr "Inherit: Inherit via SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Gain1" SID "82" Position [925, 296, 950, 324] Gain "-1" ParamDataTypeStr "Inherit: Inherit via OutDataTypeStr "Inherit: Inherit via

internal rule" internal rule"

internal rule" internal rule"

SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Gain2" SID "88" Position [665, 286, 685, 314] Gain "5" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Integrator Name "Integrator" SID "72" Ports [1, 1] Position [730, 288, 755, 312] } Block { BlockType Integrator Name "Integrator\nLimited" SID "85" Ports [1, 1] Position [980, 180, 1010, 210] LimitOutput on UpperSaturationLimit "1" LowerSaturationLimit "-1" } Block { BlockType Integrator Name "Integrator\nLimited1" SID "86" Ports [1, 1] Position [985, 295, 1015, 325] InitialCondition "1" LimitOutput on UpperSaturationLimit "1" LowerSaturationLimit "-1" } Block { BlockType Constant Name "Mag" SID "32" Position [115, 228, 140, 252] Value "150" } Block { BlockType Mux Name "Mux" SID "34" Ports [3, 1] Position [305, 81, 310, 119] ShowName off Inputs "3" DisplayOption "bar" } Block { BlockType Mux Name "Mux1"

SID Ports Position ShowName Inputs DisplayOption

"68" [2, 1] [540, 72, 545, 103] off "2" "bar"

} Block { BlockType Mux Name "Mux2" SID "42" Ports [2, 1] Position [415, 77, 420, 108] ShowName off Inputs "2" DisplayOption "bar" } Block { BlockType Product Name "Product1" SID "78" Ports [2, 1] Position [855, 291, 885, 324] InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Product Name "Product2" SID "77" Ports [2, 1] Position [855, 176, 885, 209] InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum" SID "70" Ports [2, 1] Position [560, 235, 580, 255] ShowName off IconShape "round" Inputs "|+-" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum1" SID "75" Ports [2, 1] Position [765, 235, 785, 255] ShowName off IconShape "round" Inputs "|++" InputSameDT off

OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Trigonometry Name "Trigonometric\nFunction" SID "94" Ports [1, 1] Position [1105, 245, 1135, 275] Operator "asin" } Block { BlockType Reference Name "XY Graph" SID "92" Ports [2] Position [655, 35, 685, 70] LibraryVersion "1.236" SourceBlock "simulink/Sinks/XY Graph" SourceType "XY scope." ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" GeneratePreprocessorConditionals off xmin "-160" xmax "160" ymin "-160" ymax "160" st "-1" } Block { BlockType Scope Name "a,b,c" SID "33" Ports [1] Position [335, 88, 355, 112] Floating off Location [5, 48, 1371, 727] Open off NumInputPorts "1" ZoomMode "xonly" List { ListType AxesTitles axes1 "%<SignalLabel>" } DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType SubSystem Name "abd to dq" SID "43" Ports [3, 2] Position [325, 195, 365, 255]

MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "abd to dq" Location [2, 82, 1348, 715] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [1.270000, 1.270000, 1.270000, 1.270000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "a" SID "45" Position [215, 88, 245, 102] IconDisplay "Port number" } Block { BlockType Inport Name "b" SID "47" Position [185, 168, 215, 182] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "c" SID "48" Position [215, 228, 245, 242] Port "3" IconDisplay "Port number" } Block { BlockType Demux Name "Demux" SID "38" Ports [1, 3] Position [395, 156, 400, 194] ShowName off Outputs "3" DisplayOption "bar" } Block { BlockType Gain Name "Gain" SID "35" Position [325, 160, 355, 190]

Gain 1/3]"

"[2/3 -1/3 -1/3;0 1/sqrt(3) -1/sqrt(3);1/3 1/3

Multiplication "Matrix(K*u)" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Name SID Ports Position ShowName Inputs DisplayOption } Block { BlockType Name SID Position } Block { BlockType Name SID Position IconDisplay } Block { BlockType Name SID Position Port IconDisplay } Line { SrcBlock SrcPort DstBlock DstPort } Line { SrcBlock SrcPort DstBlock DstPort } Line { SrcBlock SrcPort Points DstBlock DstPort } Line { SrcBlock SrcPort Mux "Mux1" "36" [3, 1] [290, 156, 295, 194] off "3" "bar" Terminator "Terminator" "39" [455, 225, 475, 245] Outport "d" "44" [450, 88, 480, 102] "Port number" Outport "q" "46" [450, 168, 480, 182] "2" "Port number" "Mux1" 1 "Gain" 1 "Gain" 1 "Demux" 1 "Demux" 3 [30, 0; 0, 50] "Terminator" 1 "a" 1

Points DstBlock DstPort } Line { SrcBlock SrcPort DstBlock DstPort } Line { SrcBlock SrcPort Points DstBlock DstPort } Line { SrcBlock SrcPort Points DstBlock DstPort } Line { SrcBlock SrcPort DstBlock DstPort } } } Block { BlockType Name SID Ports Position Floating Location Open NumInputPorts ZoomMode List { ListType axes1 } YMin YMax SaveName DataFormat LimitDataPoints SampleTime } Block { BlockType Name SID Ports Position

[0, 70] "Mux1" 1 "b" 1 "Mux1" 2 "c" 1 [0, -50] "Mux1" 3 "Demux" 1 [30, 0] "d" 1 "Demux" 2 "q" 1

Scope "ds, qs" "41" [1] [455, 17, 475, 43] off [5, 48, 1371, 727] off "1" "xonly" AxesTitles "%<SignalLabel>" "-150" "150" "ScopeData1" "StructureWithTime" off "0" SubSystem "ds, qs to dw, qw " "62" [4, 2] [455, 198, 495, 297]

MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "ds, qs to dw, qw " Location [2, 82, 1348, 715] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [1.270000, 1.270000, 1.270000, 1.270000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "ds" SID "63" Position [25, 63, 55, 77] IconDisplay "Port number" } Block { BlockType Inport Name "qs" SID "65" Position [25, 168, 55, 182] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "sin" SID "67" Position [160, 270, 190, 285] BlockRotation 270 Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "cos" SID "83" Position [175, 360, 205, 375] BlockRotation 270 Port "4" IconDisplay "Port number" } Block { BlockType Sum Name "Add" SID "53" Ports [2, 1]

Position [385, 122, 415, 153] Inputs "+-" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Add1" SID "56" Ports [2, 1] Position [570, 162, 600, 193] InputSameDT off OutDataTypeStr "Inherit: Inherit via SaturateOnIntegerOverflow off } Block { BlockType Product Name "Product1" SID "54" Ports [2, 1] Position [305, 166, 335, 199] InputSameDT off OutDataTypeStr "Inherit: Inherit via SaturateOnIntegerOverflow off } Block { BlockType Product Name "Product2" SID "52" Ports [2, 1] Position [305, 61, 335, 94] InputSameDT off OutDataTypeStr "Inherit: Inherit via SaturateOnIntegerOverflow off } Block { BlockType Product Name "Product3" SID "55" Ports [2, 1] Position [475, 26, 505, 59] InputSameDT off OutDataTypeStr "Inherit: Inherit via SaturateOnIntegerOverflow off } Block { BlockType Product Name "Product4" SID "57" Ports [2, 1] Position [485, 241, 515, 274] InputSameDT off OutDataTypeStr "Inherit: Inherit via SaturateOnIntegerOverflow off } Block { BlockType Outport Name "dw" SID "64"

internal rule"

internal rule"

internal rule"

internal rule"

internal rule"

Position IconDisplay } Block { BlockType Name SID Position Port IconDisplay } Line { SrcBlock SrcPort Points DstBlock DstPort } Line { SrcBlock SrcPort Points DstBlock DstPort } Line { SrcBlock SrcPort Points Branch { Points DstBlock DstPort } Branch { Points DstBlock DstPort } } Line { SrcBlock SrcPort Points Branch { Points DstBlock DstPort } Branch { Points DstBlock DstPort } } Line { SrcBlock SrcPort Points DstBlock

[655, 133, 685, 147] "Port number" Outport "qw" "66" [755, 173, 785, 187] "2" "Port number" "Product2" 1 [30, 0] "Add" 1 "Product1" 1 [30, 0] "Add" 2 "cos" 1 [0, -10; 85, 0] [0, -260] "Product2" 2 [0, -80] "Product4" 2

"sin" 1 [0, -35; 80, 0; 0, -15; 5, 0] [30, 0] "Product1" 2 [0, -165] "Product3" 2

"Product3" 1 [45, 0] "Add1"

DstPort } Line { SrcBlock SrcPort Points DstBlock DstPort } Line { SrcBlock SrcPort Points Branch { DstBlock DstPort } Branch { Points DstBlock DstPort } } Line { SrcBlock SrcPort Points Branch { DstBlock DstPort } Branch { Points DstBlock DstPort } } Line { SrcBlock SrcPort DstBlock DstPort } Line { SrcBlock SrcPort DstBlock DstPort } Annotation { Name Position } Annotation { Name Position } } } Block {

1 "Product4" 1 [35, 0] "Add1" 2 "ds" 1 [15, 0] "Product2" 1 [0, -35] "Product3" 1

"qs" 1 [15, 0] "Product1" 1 [0, 75] "Product4" 1

"Add" 1 "dw" 1 "Add1" 1 "qw" 1 "dw = ds*cost - qs*sint" [488, 127] "qw = ds*sint - qs*sint" [657, 194]

BlockType Name SID Ports Position Floating Location Open NumInputPorts ZoomMode List { ListType axes1 } YMin YMax SaveName DataFormat LimitDataPoints SampleTime } Block { BlockType Name SID Ports Position Floating Location Open NumInputPorts ZoomMode List { ListType axes1 } YMin YMax SaveName DataFormat LimitDataPoints SampleTime } Block { BlockType Name SID Ports Position Floating Location Open NumInputPorts ZoomMode List { ListType axes1 } YMin YMax

Scope "dw, qw" "69" [1] [585, 17, 605, 43] off [5, 48, 1371, 727] off "1" "yonly" AxesTitles "%<SignalLabel>" "-150" "10" "ScopeData2" "StructureWithTime" off "0" Scope "dw, qw1" "84" [1] [585, 147, 605, 173] off [188, 390, 512, 629] off "1" "xonly" AxesTitles "%<SignalLabel>" "0" "2.5" "ScopeData3" "StructureWithTime" off "0" Scope "dw, qw2" "87" [1] [890, 17, 910, 43] off [5, 48, 1371, 727] off "1" "yonly" AxesTitles "%<SignalLabel>" "314.15" "314.425"

SaveName DataFormat LimitDataPoints SampleTime } Block { BlockType Name SID Ports Position Floating Location Open NumInputPorts ZoomMode List { ListType axes1 } YMin YMax SaveName DataFormat LimitDataPoints SampleTime } Block { BlockType Name SID Ports Position Floating Location Open NumInputPorts ZoomMode List { ListType axes1 } YMin YMax SaveName DataFormat LimitDataPoints SampleTime } Block { BlockType Name SID Position BlockRotation Value } Line { SrcBlock SrcPort

"ScopeData4" "StructureWithTime" off "0" Scope "dw, qw3" "89" [1] [645, 177, 665, 203] off [1, 48, 1367, 727] off "1" "xonly" AxesTitles "%<SignalLabel>" "-0.005" "0.1" "ScopeData5" "StructureWithTime" off "0" Scope "dw, qw4" "90" [1] [1170, 247, 1190, 273] off [5, 48, 1371, 727] off "1" "xonly" AxesTitles "%<SignalLabel>" "-1.75" "1.75" "ScopeData6" "StructureWithTime" off "0" Constant "ref" "71" [558, 275, 582, 295] 270 "0" "Freq" 1

Points DstBlock DstPort } Line { SrcBlock SrcPort DstBlock DstPort } Line { SrcBlock SrcPort Points Branch { Points DstBlock DstPort } Branch { Labels Points DstBlock DstPort } } Line { SrcBlock SrcPort Points Branch { Points DstBlock DstPort } Branch { Labels Points DstBlock DstPort } } Line { SrcBlock SrcPort Points Branch { Points DstBlock DstPort } Branch { Labels DstBlock DstPort } } Line { SrcBlock SrcPort

[0, 45] "3 Phase Signal" 1 "Mag" 1 "3 Phase Signal" 2 "3 Phase Signal" 1 [25, 0; 0, -20] [0, -95] "Mux" 1 [0, 0] [0, 20] "abd to dq" 1

"3 Phase Signal" 2 [25, 0; 0, -20] [-10, 0; 0, -105] "Mux" 2 [0, 0] [0, 20] "abd to dq" 2

"3 Phase Signal" 3 [5, 0] [0, -135] "Mux" 3 [0, 0] "abd to dq" 3

"Mux" 1

DstBlock DstPort } Line { SrcBlock SrcPort Points Branch { Labels DstBlock DstPort } Branch { Points Branch { DstBlock DstPort } Branch { Points DstBlock DstPort } } } Line { SrcBlock SrcPort Points Branch { Labels Points DstBlock DstPort } Branch { Points Branch { DstBlock DstPort } Branch { Points DstBlock DstPort } } } Line { SrcBlock SrcPort Points DstBlock DstPort } Line { SrcBlock SrcPort Points Branch {

"a,b,c" 1 "abd to dq" 1 [10, 0] [0, 0] "ds, qs to dw, qw " 1 [10, 0; 0, -125] "Mux2" 1 [0, -40] "XY Graph" 1

"abd to dq" 2 [20, 0] [0, 0] [50, 0] "ds, qs to dw, qw " 2 [10, 0; 0, -135] "Mux2" 2 [0, -45] "XY Graph" 2

"Mux2" 1 [5, 0; 0, -65] "ds, qs" 1 "ds, qs to dw, qw " 1 [5, 0; 0, 10]

Points Branch { Points DstBlock DstPort } Branch { Points DstBlock DstPort } } Branch { Points DstBlock DstPort } } Line { SrcBlock SrcPort Points DstBlock DstPort } Line { SrcBlock SrcPort Points DstBlock DstPort } Line { SrcBlock SrcPort DstBlock DstPort } Line { SrcBlock SrcPort Points Branch { Points Branch { DstBlock DstPort } Branch { DstBlock DstPort } } Branch { Points DstBlock DstPort } } Line {

[0, -70] [0, -5] "dw, qw1" 1 [0, -85] "Mux1" 1

[0, 10] "Sum" 1

"ds, qs to dw, qw " 2 [15, 0; 0, -180] "Mux1" 2 "Mux1" 1 [10, 0; 0, -60] "dw, qw" 1 "ref" 1 "Sum" 2 "Sum" 1 [20, 0] [45, 0] "Gain" 1 "Gain2" 1

[0, -55] "dw, qw3" 1

SrcBlock SrcPort DstBlock DstPort } Line { SrcBlock SrcPort Points DstBlock DstPort } Line { SrcBlock SrcPort Points Branch { Points Branch { Points DstBlock DstPort } Branch { Points DstBlock DstPort } } Branch { Points DstBlock DstPort } } Line { SrcBlock SrcPort DstBlock DstPort } Line { SrcBlock SrcPort Points Branch { Points DstBlock DstPort } Branch { Points DstBlock DstPort } } Line { SrcBlock SrcPort Points

"Gain" 1 "Sum1" 1 "Integrator" 1 [15, 0] "Sum1" 2 "Sum1" 1 [5, 0] [10, 0; 0, -5] [0, -55] "Product2" 1 [0, 60] "Product1" 1

[0, -215] "dw, qw2" 1

"Product2" 1 "Integrator\nLimited" 1 "Integrator\nLimited1" 1 [30, 0; 0, -185; -155, 0] [-65, 0; 0, 75] "Product2" 2 [-485, 0; 0, 135] "ds, qs to dw, qw " 3

"Integrator\nLimited" 1 [60, 0; 0, 65]

Branch { Points Branch { Points DstBlock DstPort } Branch { Points DstBlock DstPort } } Branch { DstBlock DstPort } } Line { SrcBlock SrcPort DstBlock DstPort } Line { SrcBlock SrcPort DstBlock DstPort } Line { SrcBlock SrcPort DstBlock DstPort } Line { SrcBlock SrcPort DstBlock DstPort } Annotation { Position } } }

[0, 110; -120, 0] [-115, 0] "Product1" 2 [0, 80; -540, 0; 0, -165] "ds, qs to dw, qw " 4

"Trigonometric\nFunction" 1

"Product1" 1 "Gain1" 1 "Gain1" 1 "Integrator\nLimited1" 1 "Gain2" 1 "Integrator" 1 "Trigonometric\nFunction" 1 "dw, qw4" 1 [670, 65]

You might also like