You are on page 1of 8

UNIVERSIDAD DE GUADALAJARA

CENTRO UNIVERSITARIO DE CIENCIAS EXACTAS E INGENIERIAS

ET213 LABORATORIO DE SISTEMAS DIGITALES II

PRACTICA 1
Diseo e implementacin de un circuito lgico combinacional mediante el uso de un Dispositivo Lgico Programable PLD (Programmable Logic Device).

Nombre: Lpez Aguilar Luis Manuel Cdigo: 210226287 Seccin: D06 Maestra: Ventura Nez Mara Patricia

Fecha: 15 de Febrero de 2013

Objetivo:
Demostrar el funcionamiento del arreglo lgico genrico (GAL) aplicado a un circuito electrnico digital. Aprender a utilizar el software necesario para programar los dispositivos lgicos y comprender las distintas tcnicas utilizadas en la reduccin de funciones algebraicas Booleanas.

Material:
1-GAL16V8 (Atmel) 1-Regulador de voltaje 5V (7805)

1-DIP switch (4 palancas)

4-Resistores 1k (1/8W)

1-Display de 7 segmentos (Ctodo Comn)

1-Protoboard

Alambre para conexiones

Marco Terico: Qu son los PLD's?


Los PLD son dispositivos digitales que se pueden configurar por el usuario para implementar una amplia variedad de funciones lgicas en sistemas. Estos dispositivos tienen pines de entrada, un arreglo lgico programable y pines de entrada y salida. Muchos PLD's tienen salidas programables que incrementan su flexibilidad hacindolos tiles para una gran variedad de aplicaciones.

Diagrama a bloques de los PLD's.

Arquitectura bsica de los PLD's


Las entradas del PLD entran al arreglo lgico los cuales son hechos de columnas y filas. La figura 2 muestra tal arreglo. Cada par de columnas representa la entrada negada o complementada y la misma entrada sin negar. Cada fila constituye un trmino AND. Las conexiones lgicas se establecen entre diferentes columnas y filas en el arreglo para determinar cual combinacin de entradas llevaran al termino AND a un nivel alto.

Ms de un trmino AND alimenta una compuerta OR. La salida es la suma de productos.

Arreglo AND-OR usado en la mayora de los PLD.

Por qu el uso PLD's? La flexibilidad y programabilidad de los PLD's hacen que el diseo con ellos sea mucho ms rpido que disear con lgica discreta. Esto es, se puede utilizar el PLD para implementar la mayora de las funciones hechas con los cientos de dispositivos de la familia lgica "7400". Tambin cabe recalcar que toma menos espacio sobre el circuito impreso que con los dispositivos discretos. Una vez tomada la decisin de cambiar de lgica discreta a PLD, la siguiente pregunta es: "Cul PLD?" Lo ms flexible de un PLD es, lo utilizable que es, ya que un diseador puede implementar cualquier ecuacin lgica. Hay que escoger PLD's que sean compatibles con los otros dispositivos que se estn utilizando. Hay que tomar en consideracin la potencia que se requiere que se gaste, ya que hay unos que requieren menos potencia para trabajar que otros. La estabilidad es otro factor importante.

CONFIGURACION DE PINES *GAL16V8*

Desarrollo de la prctica:

Disear un circuito detector de signo. El circuito debe de detectar el signo ya sea positivo o negativo de una resta binaria de dos nmeros de dos bits cada uno [N1-N2 donde (N1=2bits & N2=2bits)]. El signo que resulte de la resta se tendr que visualizar en un display de 7 segmentos, si el resultado de la resta es un numero negativo el signo se representara con un 1 y si es positivo con un 0.

N1 { Entrada N2 { Negativo Salida

Positivo

Tabla de verdad
N1= XW; N2= ZY Entradas Salidas Z Y X W A B C D E F G 0 0 0 0 0 1 1 1 1 1 1 0 1 0 0 0 1 1 1 1 1 1 1 0 2 0 0 1 0 1 1 1 1 1 1 0 3 0 0 1 1 1 1 1 1 1 1 0 4 0 1 0 0 0 1 1 0 0 0 0 5 0 1 0 1 1 1 1 1 1 1 0 6 0 1 1 0 1 1 1 1 1 1 0 7 0 1 1 1 1 1 1 1 1 1 0 8 1 0 0 0 0 1 1 0 0 0 0 9 1 0 0 1 0 1 1 0 0 0 0 10 1 0 1 0 1 1 1 1 1 1 0 11 1 0 1 1 1 1 1 1 1 1 0 12 1 1 0 0 0 1 1 0 0 0 0 13 1 1 0 1 0 1 1 0 0 0 0 14 1 1 1 0 0 1 1 0 0 0 0 15 1 1 1 1 1 1 1 1 1 1 0 Las 7 salidas corresponden a cada segmento del display respectivamente. El 1 indica que ese segmento se encender y el 0 que permanecer apagado.

Obtencin de las ecuaciones


Utilizando el software Multisim 11.0 se obtuvieron las siete ecuaciones reducidas.

Ecuacin reducida

A=Z; B=Y; C=X; D=W para este caso.

Una vez obtenidas las ecuaciones se procede a la creacin del archivo JEDEC para programar el PLD. En este caso se utilizo el software OPAL.

Formato OPAL
CHIP DDS GAL16V8 1 W X Y Z 6 7 8 9 GND 11 A B C D E F G 19 VCC EQUATIONS A=/Z*/Y+/Z*X+/Z*W+/Y*X+X*W B=VCC C=VCC D=/Z*/Y+/Z*X+/Z*W+/Y*X+X*W E=/Z*/Y+/Z*X+/Z*W+/Y*X+X*W F=/Z*/Y+/Z*X+/Z*W+/Y*X+X*W G=GND

Circuito en protoboard

2 0 = 2 como el resultado es positivo se obtiene un 0

Conclusin:
El desarrollo de la prctica fue sugestivo por el uso del GAL16V8, hace que se facilite el circuito porque se reduce cuando se le programan las funciones necesarias. Los objetivos de la prctica se cumplieron en su totalidad, se realiz el circuito requerido demostrando as la implementacin del circuito lgico combinacional. Adquir nuevos conocimientos respecto a la programacin de PLDs, as como tambin se apreci la gran utilidad de estos dispositivos en la simplificacin de circuitos.

Bibliografa:
Sistemas digitales con enfoque VHDL de Aurelio Gonzalo Argote Olivera.
http://antiguo.itson.mx/die/eromero/biblioelec/bsistdig1/prog_PLD.pdf

You might also like