Professional Documents
Culture Documents
LPG Sect7 06052009 PDF
LPG Sect7 06052009 PDF
Process optimization
Low-power design techniques
CPF standard support throughout tools flow
Libraries and IP
Reference design flow (RDF) as exemplified in the TSMC 9.0 RDF
To meet customers demands in low power, TSMC optimizes its process technology
for low-power designs. Nevertheless, at todays extremely small feature sizes,
dynamic and leakage power issues remain.
This means techniques for mitigating power consumption must come from the
design side.
For foundry customers power-sensitive 65nm or 45nm designs, it is critical to fully
leverage TSMC processes with compatible, power-saving EDA tool flows. TSMC
already brings to bear low-power methodologies and IP aimed at reducing dynamic,
active, and standby power leakage. All of these low-power methodologies require
fully automated EDA support, as shown below.
Low-Power Automation Power Format
Adaptive Voltage
Scaling (AVS)
Coarse-Grain Power
Gating with Lower Vdd
Longer Channel
in Non-Critical Paths
Coarse-Grain
Data Retention
Dynamic Voltage
Freq. Scaling (DVFS)
Voltage Scaling
Coarse-Grain
Power Gating
Voltage Island
Back Bias
Fine-Grain
Power Gating
Clock Gating
Muti-Vt Device
Power Shutdown
Dynamic Power
Active Leakage
Standby Leakage
Low-Power Methodologies
Low-Power
Lib
Low-Power
SRAM
Low-Power Process
Multi-Vt cells
New gate oxide material
Low K interconnect, including ELK, ULK
Strained engineering
However, the low-power challenge requires more than just process support.
Verification
Team
Implementation
Team
CPF Capabilities
The Common Power Format (CPF) is a single specification of power intent used
throughout design, verification, and implementation.
Common Power Format (CPF) is an ASCII File that captures:
Power design intent
Power domain
Logical: hierarchical modules
as domain members
Physical: power/ground nets
and connectivity
Analysis view: timing library
sets for power domains
Power logic
Level shifter logic
Isolation logic
State-retention logic
Switch logic & Control signals
Power modes
Definitions
Transition expressions
Modal analysis
Technology information
Level shifter cells
Isolation cells
State-retention cells
Switch cells
Always-on cells
TSMC has taken a leadership role in ensuring that the rich variety of power
reduction techniques automated by CPF result in verifiable improvements to
65nm designs. The following section describes an early program to validate the
Common Power Format for use with TSMC technology.
The baseline for the project was a comparison to previous design techniques, without
CPF support. Simpler, earlier, power-reduction design techniques (area optimization
and clock gating) had little functionality and timing impact, but also contributed
little reduction in power. More advanced techniques now being applied, such as
power gating, were expected to impact functional and timing verification, as well
as dramatically reducing power, so this project was developed to measure power
reduction, gauge the complexity impact of advanced power gating, and work to
minimize that impact.
Sec7:6
With this powerful CPF-based flow, the design was automatically augmented with
power switches and isolation cells to accomplish power gating. RTL synthesis used
power-gating auto-switching inserted as a checkerboard or surrounding floorplan
(Figure 147). RTL simulation verified power gating and retention flip-flop behavior.
Then, gate-level simulation of power shutdown was done under power-mode
transition and unknown propagation. Unknown signal generation and propagation
was done automatically in the CPF environment without Verilog model changes in
the library.
Sec7:7
Sec7:8
Figure 148. TSMC Reference Flow 9.0: complete CPF integration [Ref. 34]
Sec7:9
This 9.0 flow solves critical problems since it is based on CPF. The details of the
Cadence technologies involved in this flow are as follows:
Pervasive CPF
CPF Quality Check
Encounter Conformal Low Power
Functional Simulation
Incisive Design Team Simulator
Incisive Design Team Manager
Functional validation of virtual LP behavior and PSO: State loss, isolation, SRPG
Auto generation of PSO mode coverage.
Power domain, multi mode, DVFS aware synthesis and power analysis. Auto
insertion, mapping and optimization of iso, LS, SRPG. Power domain aware test
synthesis, insertion of iso/LS on DFT nets.
Logic Simulation
Incisive Design Team Simulator
Functional validation of LP logic behavior and PSO: state loss, isolation, SRPG
Physical Implementation
SoC Encounter System
ATPG
Encounter Test
Sec7:10
VDD (1V)
VDDM (0.84V)
Switch
VDDau
Phys I
MAC I
(0.84V)
Switch
VDDlu
Phys II
DMA Bridge
PCM
AHMB
DMA
Mode #
Mac 1
Mac 2
ON
OFF
OFF
ON
OFF
OFF
ON
ON
MAC II
(0.84V)
Technology data
Retention registers
Enabled level shifters (level shifter w/isolation)
Library cells
Operating conditions
Sec7:11
But with the CPF-enabled flow, verification benefits are realized, including improved
productivity, with no impact to existing verification methodology, no golden design
file changes, no custom library development, and no PLI development. It also results
in enhanced quality, because what designers verify is what they actually design.
Better schedule predictability is achieved, because power issues are detected early.
The bottom line is: reduced risk!
CPF
Design Verification
Formal
Analysis
Simulation
Acceleration
and Emulation
Testbench Automation
Verification Coverage
Real customer design issues uncovered with the CPF-enabled verification flow have
included:
Cache memory in power down domain, where the processor running from cache
would lose program and hang. Simulations were used to determine cache and
power sequencing
Power-down caused a hang on the system bus due to isolation values. One
customer commented,We were worried something like that would happen
The restore from power-down was not clean; non-state retention flops needed a
reset or initialization signal
Power-up and isolation disable was happening at the same time, there was not
enough time for power to stabilize before enabling outputs
Incorrect design of the power control module created oscillations on control
signals in one mode
CPF automation identified these issues early to ensure design integrity.
Sec7:12
Die Size
RTL Compiler =
12% smaller
RTL Compiler =
45% cooler
Leakage Power
Lib1 Lib2
0.8V 1.0V Lib3
1.2V
RTL
Top
Chip
CPF
Chip
SDC
PSO
C
B
Too slow?
Isolation
Too hot?
Figure 154. Encounter Conformal Low Power: Independent low-power implementation verification
Mode
Clock
(MHz)
% Switching
Switch power
(mW)
Normal operation
500
10~20
2.96
Scan test
50
46
11.86
Low-power
50
6
scan test
Nano CPU, 35K instances, 9K registers
Sec7:14
1.66
Physical Implementation
Physical implementation with CPF supports multi-supply voltage designs, with
automated insertion of low-power elements and concurrent optimization of multiple
power domains.
1.2V
1.0V
0.9V
Level shifters
included
module (top):
module (A):
module (B):
Physical synthesis
Level shifters placed concurrently
during physical synthesis
0.9V
1.2V
1.2V
0.9V
0.9V
ONE
block
ONE tree
MSV
physical synthesis
Concurrent
optimization of 0.9V
and 1.2V domains
Figure 156. SoC Encounter RTL-to-GDSII System: Automation for multiple power domains
Sec7:15
SDC
.lib
Netlist CPF
SPEF/
SDF/
WLM
Encounter Timing
System
ECSM
DEF
cdB
SDF
Paths
DRVs
Optional
noise; and with Cadence Allegro Package Designer to easily determine the impact
of package loading on IR drop.
Sec7:16
Encounter
platform
Allegro
Package
Designer
DEF
GDSII
OA
VoltageStorm DG
RC extraction engine
PowerMeter
power calculation
Power grid
view library
Encounter
Timing
System
Power
Libraries
Plots
Report Power
(Common Power Engine)
Power-Switch
ECO
The following diagram shows the power switch insertion and optimization flow,
with power, current, and IR drop reporting.
ram2
PD1
PLL
SoC Encounter
System
ram1
PD1
addPowerSwitch
runVSDG
DEF
SOC
TWF, VCD
VoltageStorm
optPowerSwitch
RC Extraction Engine
Power Grid
View Library
Power Calculation
Rail Analysis
Power Switch
Current and
IR-DropReport
The following diagram describes how power-up modes and sequencing are analysed,
starting with creation of the circuit netlist, simulation, creation of dynamic power
grid views, and analysis and viewing capabilities.
PowerMeter
UltraSim
VoltageStorm
(Power Meter)
VDD
Top Level
Circuit File
Control
Logic
Circuit
Netlist
Inputs
clamped
Circuit
Netlist
Outputs
correctly
loaded
VoltageStorm DG
RC Grid
Signal
Loading
Netlist
Sleep ctrl
RC Network
Template
Stimulus
Voltage
Sources
UltraSim
Circuit
Netlist
Capture dynamic
current in PGV
Spice
Waveforms
and Results
Power-Transistor
Dynamic
Currents (ptl)
The de-coupling capacitor, or decap, insertion and optimization can ensure power
grid integrity while preventing excess power dissipation. Intelligent insertion of
decaps is increasingly critical for small geometry processes due to leakage concerns.
The following flow shows the process of decap insertion in the Cadence TSMC
Reference Design Flow 9.0.
* Decaps are Placed where Most Effective
Area Based Decap Opt Flow
ECO
Placement-Aware De-Cap Analysis
Cell with no IR Drop
Voltage Storm
Decap Cell
DEF
Sec7:19
So, in summary, as we have seen, the TSMC RDF 9.0 flow supports all the key power
management techniques in an automated fashion through CPF.
Isolation,
SRPG,
state loss
CPF
RTL
MSMV,
MM,
DVFS,
SRPG
RTL simulation
MSMV, SRPG, PSO,
MMMC, DVFS, alwayson buffers
MSMV, MMMC, DVFS,
power switch, always-on
buffers
Isolation,
SRPG,
state loss
Logic simulation
Physical implementation
Physical
netlist
Auto map
of power
modes to
test modes
Sec7:20
Summary
Since 2004, TSMC and Cadence have enjoyed a history of low-power collaboration,
and have made significant efforts in developing the CPF standard.
Common Power Format flow automation delivers up to 2x productivity improvement
over previous methods. CPF facilitates power reduction benefits from a wide variety
of power management techniques:
For dynamic power: clock gating, multiple-voltage domains, dynamic voltage
and frequency scaling, hierarchical voltage with dual power SRAM, and adaptive
voltage scaling
For active leakage power: multi-Vt, back-biasing, voltage scaling, and source- and
back-biasing
For standby leakage power: fine- and coarse-grain power gating, power shutoff, and data retention
TSMC and Cadence continue to work together to deliver advanced low-power
design capabilities to joint customers in two key ways:
Customers are supported through the TSMC Reference Flow
TSMC libraries enable advanced low-power design techniques used in the
CPF-based flow
Together, TSMC and Cadence offer the first complete low-power solution: technology,
combined with methodology, enabled by CPF.
Sec7:21
Achieve optimal
timing/area/power balance
Reduced failure risk
Schedule predictability
Design
Verification
Cadence
Low-Power
Solution
CPF Enabled
Cadence Digital
Implementation
Solution
Functional
Verify what is designed
Track functional coverage of power modes
Implementation
Verify what is implemented
Verify retention/isolation cell functionality
Implementation
Native power domain infrastructure eases implementation complexity
Automatic partitioning and scheduling of power domains for test
Dr. L.C. Lu is Deputy Director of the Design Methodology Program at TSMC. David Lan, Senior
Manager in design methodology at TSMC North America, has been responsible for providing
solutions in chip implementation, verification and DFM to TSMC customers. Prior to his current
position, he held management positions in various ASIC companies and fabless design companies
in CAD, chip integration and verification. He received his MS in computer engineering in 1987
from UC Santa Barbara.
Sec7:22