You are on page 1of 51

SADRAJ:

1. UVOD......................................................................................................................................3
2. LOGIKA KOLA..................................................................................................................4
2.1. Bulova algebra..................................................................................................................4
2.2. Osnovna logika kola........................................................................................................6
2.3. Sloena logika kola i Bulove funkcije.............................................................................9
2.4. Sinteza logikih kola zadate namjene.............................................................................11
2.5. Tree logiko stanje.........................................................................................................11
2.6. Dekoder...........................................................................................................................12
2.7. Koder...............................................................................................................................14
3. BROJNI SISTEMI...............................................................................................................15
3.1. Pozicioni i nepozicioni brojni sistemi.............................................................................15
3.2. Binarni brojni sistem.......................................................................................................17
3.3. Prevoenje brojeva iz binarnog u dekadni brojni sistem................................................18
3.4. Prevoenje brojeva iz dekadnog u binarni brojni sistem................................................19
3.5. Predstavljanje oznaenih cijelih brojeva.........................................................................21
3.5.1. Znak i apsolutna vrijednost.....................................................................................21
3.5.2. Komplement dvojke..................................................................................................22
3.6. Prevoenje binarnih brojeva u heksadecimalni i oktalni brojni sistem..........................23
4. FLIP FLOPOVI....................................................................................................................26
4.1. RS flip flop......................................................................................................................26
4.2. Taktovani RS flip flop.....................................................................................................28
4.3. D flip flop........................................................................................................................30
4.4. JK flip flop......................................................................................................................32
4.5. Master Slave JK flip flop (MS).................................................................................33
5. REGISTRI............................................................................................................................34
5.1. Statiki registri................................................................................................................34
5.2. RAM memorija...............................................................................................................36
5.2.1. Statike poluprovodnike memorije (SRAM)...........................................................36
5.2.2. Dinamike poluprovodnike memorije (DRAM).....................................................37
6. BROJAI..............................................................................................................................43
6.1. Ripple broja...................................................................................................................43
6.2. Sinhroni brojai...............................................................................................................45
7. ZAKLJUAK.......................................................................................................................49
8. LITERATURA......................................................................................................................50

1. UVOD

2
Osnovna namjena raunara je da prihvata podatke iz spoljanjeg svijeta, da ih pamti i manipulie
njima u cilju generisanja informacija i vrenja rezultata obrade. Postupak predstavljanja podataka
podrazumijeva usvajanje nepraznog skupa apstraktnih znakova. Pomou tih znakova formiraju
se rijei koje opisuju podatke.

Svaki raunar mahom je sainjen od digitalnih elektronskih komponenti. Napon na ulazima i


izlazima ovih kola moe imati samo dve vrijednosti koje se predstavljaju nulom i jedinicom.
Kako su na raspolaganju samo dva znaka svaki podatak i instrukcija koji se unose u raunar
moraju biti predstavljeni kao rije sastavljena od nula i jedinica. Takav zapis se naziva binarni
zapis, a za podatke i instrukcije predstavljene na ovaj nain kaemo das u binarno kodirani.

Registar ini grupa elemenata za pamenje iz koje se moe itati ili u koju se moe vriti upis.

Brojai (counters) su registri koji sadre dodatnu logiku kojom se obezbjeuje da sadraj registra
prolazi kroz unaprijed definisanu sekvencu stanja.

2. LOGIKA KOLA

3
Obrada podataka u digitalnom rauanaru se realizuje pomou elektrinih veliina (napon, struja),
odnosno elektronski sklopovi raunara obrauju elektrine veliine kojima su predstavljeni
podaci. Najpogodnije je podatke binarno kodirati, odnosno predstavljati ih pomou dva
definisana stanja elektronskih sklopova, koji se stoga nazivaju digitalni sklopovi, a poto se radi
o elektronskim kolima ee se koristi termin digitalna kola.
Dva mogua stanja digitalnog kola su najee dva nivoa napona U 1 i i U2. Recimo, U1 =0V, a
U2 =5V. Fizikim stanjima 0V i 5V odgovaraju dvije logike vrijednosti (la) i T (istina) koja
se u digitalnoj elektronici oznaavaju kao logika nula (0) i logika jedinica (1).

U=U2
logiko stanje 1

U=U1
logiko stanje 0

Data korespodencija izmeu fizikih i logikih stanja odgovara tzv. pozitivnoj logici. Mogue je
suprotno, niem naponu U1 dodeliti logiku 1, a viem naponu U 2 logiku 0 i tada se radi o
negativnoj logici.
Stanja i funkcije digitalnih kola se dakle mogu opisati pomou logikih vrednosti i logikih
operacija, pa se zato umjesto termina digitalno kolo najee koristi termin logiko kolo.
Ponaanje logikih kola moe se opisati pomou prekidakih ili Bulovih funkcija koje su
predmet izuavanja Bulove (ili prekidake) algebre.

2.1. Bulova algebra

Za razliku od klasine algebre, promenljiva veliina u Bulovoj algebri moe da ima samo dve
vrednosti - logika nula (0) i logika jedinica (1):

x = 0 ili x = 1

Tri osnovne operacije, pomou kojih moe da se definie bilo koja Bulova funkcija, su:

4
1. operacija logikog sabiranja (disjunkcija) ili ILI (OR) operacija
2. operacija logikog mnoenja (konjunkcija) ili I (AND) operacija
3. operacija komplementiranja tj. inverzije (negacija) ili NE (NOT) operacija

Pri tome Bulova funkcija predstavlja rezultat izraza koji se sastoji od operanada i operacija nad
tim operandima. Naravno, i Bulova funkcija ima razultat 0 ili 1. Osnovne operacije se mogu
definisati pomou tablice stanja ili tablice istinitosti, iz koje vidimo da su prve dve operacije
binarne (dva operanda), a trea je unarna (jedan operand).

operandi operacija:
x Y ILI (OR) I(AND) NE(NOT)
f=x+y f = xy f=x
0 0 0 0 1
0 1 1 0 1
1 0 1 0 0
1 1 1 1 0

Vidimo da:
1. Logiki zbir ima vrednost nula ako i samo ako oba sabirka imaju vrijednost nula.
2. Logiki proizvod ima vrednost 1 ako i samo ako oba inioca imaju vrijednost 1.
3. Komplement ili negacija (inverzija) nule je jedinica, a komplement jedinice je nula.

Ako je u Bulovoj funkciji prisutno vie binarnih operacija onda se Bulov izraz izraunava s
desna na lijevo pri emu se definie da logiko mnoenje ima prioritet u odnosu na logiko
sabiranje. Prioritet operaija se moe promeniti zagradama. Osnovne teoreme Bulove algebre:

Teorema Bulove algebre


a) b) opis:
1. x+0=x x1=x operacije sa kostantnim
2. x +1 = 1 x* 0 = 0 vrednostima
3. x+x=x x*x = x zakon idempotentnosti
4. x+x=1 x^ x = 0 operacije sa
komplementima
5. x=x dvostruka negacija
6. x+y=y+x x*y = y * x komutativnost
7. x + (y + z)=(x + y) + z = x + y + z x ( y z ) = ( x y ) z asocijativnost

5
8. x + y-z = (x + y)(x + z) x (y + z) = x y + x z distributivnost
9. x+xy=x x (x + y) = x zakoni
10. x + x -y = x + y x ( x + y) = x y apsorpcije
11. (x + y) = x y xy=x+y De Morganova pravila
invertovanja

2.2. Osnovna logika kola

Logikim operacijama I, ILI i NE odgovaraju elementarna logika kola ije su oznake date na
slici 1.

Slika 1. Oznake logikih kola

6
Elementarnim kolima su pridodata jo tri kola: NI, NILI , EXILI i NEXILI, koja zajedno sa
njima ine osnovna kola u digitalnoj elektronici. Ako u Bulovoj funkciji figuriu vie binarnih
operacija tj. ta funkcija predstavlja kombinaciju osnovnih logikih kola sa dva ulaza tada je
prioritet operacija sledei: NI, NILI , EXILI. Naravno, prioritet se moe promijeniti zagradama.

Tabela 1: Definicije NI, NILI, EXILI i NEXILI kola


x y xy x+y x x
y y
0 0 1 1 0 1
0 1 1 0 1 0
1 0 1 0 1 0
1 1 0 0 0 1

Invertor ulaznog signala u neko kolo oznaava se skraeno kruiem. Na primjer:

Moderni raunari koriste binarni brojni sistem koji ima dvije cifre 0 i 1. Binarni sistem je izabran
zato to raunar mora da prikae bilo koju cifru na jedinstven nain, a postoji veliki broj
elektronskih sklopova koji se nalaze u dva jedistvena stabilna stanja. Ova stanja mogu biti
otvoren-zatvoren, lijevo-desno, ukljen-iskljuen i slino. Zato je binarni sistem pogodan za
korienje. Cifra 0 ili 1 je minimalna koliina informacija, odnosno najmanji podatak koji se
moe obraditi u raunaru i naziva se bit (bit). Bit moe da reprezentuje istinu (true) i neistinu
(false). Jedinica reprezentuje istinu, a nula neistinu. Logike operacije se predstavljaju i kao
konjunkcija AND, a disjunkcija kao OR, imajui u vidu istinitosne tablice za date logike
operacije. U veini raunara koristi se grupa od osam bita koja se naziva bajt (byte).

Primjer: Ako primjenimo operatore AND i OR na brojeve 0110110110 i 1100011101 dobiemo:

0110110110 1100011101 AND


0100010100

0110110110 1100011101 OR
1110111111

7
Raunari moraju imati mogunosti da memoriu i obrauju i nenumerike, odnosno tekstualne
podatke. Oni su ili nizovi (string) ili znakovi (character data), zatim slova, znakovi interpunkcije,
matematiki znaci, specijalni znaci i slino. Podaci ovog tipa su memorisani u obliku niza bitova.
Danas se koriste ASCII i EBCDIS kod. Na primjer 1111001 predstavla slovo b.

Dakle, binarni brojevi su osnova za funkcionisanje raunara. Digitalna kola kombinuju nule i
jedinice, i generiu nove nule i jedinice. Mainske instrukcije su takoe prikazane kao nizovi
nula i jedinica. Svi programi napisani u asembleru ili nekom viem programskom jeziku da bi
mogli da rade moraju biti prevedeni u nizove nula i jedinica.

Klod Elvud enon (Claude Elwood Shannon, 1916 2001) bio je ameriki naunik i inenjer.
Meu najznaajnija otkria ovog naunika spadaju teorija informacija i dizajn digitalnih raunara
i kola. 1938. godine otkrio je vezu izmenu tablica istinitosti i elektrinih kola. enon je poznat
kao utemeljiva informacione teorije sa svojim naunim radom objavljenim 1948. godine.
Takoe se smatra utemeljivaem teorije digitalnog raunara i teorije dizajna digitalnih kola, kada
je kao 21-godinji student MIT-a, napisao tezu gde dokazuje da je primjenom Bulove algebre na
digitalna elektrina kola, mogue reiti bilo koji logiki ili numeriki problem.

Digitalna kola su tako projektovana da implementiraju principe binarne aritmetike i matematike


logike.
Iskazne formule u kojima se pojavljuju samo operacije ,, , pri emu se odnosi samo
na iskazna slova, imaju jednu zanimljivu interpretaciju koja se koristi u tehnici, u
projektovanju digitalnih kola i naziva prekidaka algebra.
Iskazna slova se tretiraju kao normalno otvoreni prekidai, a njihova negacija kao
normalno zatvoreni prekidai. Ako iskazno slovo ima vrijednost r = 1 smatra se da je
prekida zatvoren, tj. da provodi struju, a za r = 0 je otvoren, tj. da ne provodi struju.

8
Formula se tretira kao mrea sa dva kraja sastavljena od prekidaa koji su povezani paralelno ili
serijski. Tautologijama odgovaraju mree koje uvek provode struju.

Primjer: Posmatrajmo prekidako kolo koje sadri prekida i sijalicu. Vrijednost 1 dodjeljujemo
prekidaima r i q kada su zatvoreni, tj ako kroz njih protie struja. U suprotnom dodjelujemo im
vrijednost 0. Kada su prekidai redno vezani, sijalica e svijetleti i kolo e imati vrijednost 1
samo ako su oba prekidaa r i q zatvorena. Prema tome, ovo kolo e odgovarati iskazu r i q,
odnosno r q i zove se AND i kolo.

2.3. Sloena logika kola i Bulove funkcije

Sloena logika kola su sastavljena iz vie osnovnih kola. Svako logiko kolo se moe opisati
nekom Bulovom funkcijom i obratno, svaka Bulova funkcija se moe generisati pomou nekog
logikog kola. Ilustrovaemo to sledeim primjerima.

Primjer: Formirati Bulovu funkciju koja opisuje sledee logiko kolo sa etiri ulaza: x,y,z i v

9
Na slici su uvedene oznake meusignala: w1 i w2. Idui od desnog kraja eme (izlaz iz kola)
prema ulazima, zapaamo:
f = wj + zw2

wj = y z ,w2 =

xzv + y

Smjenom izraza za w1 i w2 u izraz za f dobijamo konano:

f = y z + z( y + xzv) = y z +z

y + xzv

f = y z + z( y + xv)

Primjer: Formirati logiko kolo, koje generie Bulovu funkciju:

f(x, y, z, w) = w(xyz + y z )

Kolo formiramo postupno-slijeva udesno, potujui pravila o redoslijedu izraunavanja sloenog


Bulovog izraza.

10
2.4. Sinteza logikih kola zadate namjene

Zadatak sinteze logikih kola se rjeava u etiri etape:

1. Formulisanje tablice istinitosti na osnovu zadate namene kola,


2. Generisanje odgovarajue Bulove funkcije,
3. Uproavanje ili minimizacija dobijene Bulove funkcije,
4. Realizacija minimizovane Bulove funkcije pomou raspoloivih osnovnih kola.

Kola iz kojih je izgraen raunarski hardver mogu se podijeliti u dvije klase:


1. Kombinaciona
2. Sekvencijalna

Izlazni signal iz kombinacionih kola zavise od trenutne kombinacije vrijednosti ulaznih signala.
Sva kola, koja smo sreli u dosadanjem izlaganju pripadaju ovom tipu logikih kola. Za
realizaciju vrlo vane funkcije - memorisanja podataka, neophodna su i kola koja mogu da
proizvoljno dugo zadre dato stanje tj. vrijednost svog izlaza (memorisanje bita 0 ili 1) kao i da
uz pomo ulaznih signala promjene stanje. (unos novog sadraja u 1-bitnu memorijsku lokaciju).
Takva kola se nazivaju sekvencijalna (ili memorijska) i njihovi izlazi ne zavise samo od trenutnih
vrijednosti ulaznih signala, ve i od prethodnog stanja.

2.5. Tree logiko stanje

Logikim kolima u sklopu hardvera se najee dodjeljuje tzv. tree logiko stanje pri kome su
izlazi iz kola "odvezani", tj. nemaju veze sa ulazima. Drugim rijeima, u treem logikom stanju,
koje se jo i zove i stanje velike impedanse, logiko kolo ne obavlja svoju funkciju. Za
aktiviranje i deaktiviranje kola slui dodatni ulazni signal - signal dozvole (enable signal). Na
primjer NI kolo sa mogunou treeg logikog stanja, dato je na slici 2.

11
Slika 2. NI kolo sa tri logika stanja
Kada signal dozvole, E, ima logiku vrijednost 1, kolo je aktivno, a pri E=0 ono prelazi u tree
logiko stanje, kad signal f nije definisan. Tanije, f nema veze sa vrijednostima ulaza x i y, ve
uzima onu vrijednost napona koji je trenutno na liniji na koju je kratko vezana f linija - plivajua
vrijednost. Aktivna vrijednost signala dozvole moe da bude nulti napon i tada se on oznaava sa
E, to ukazuje na taj uslov.

Slika 3. NI kolo sa tri logika stanja

Za kolo sa treim logikim stanjem se koristi termin trostabilna ili trostatika kola, a ako se
kao signal dozvole koristi taktni signal i sinhronizovana kola. Najjednostavnije trostabilno kolo
u raunarskoj tehnici je formira signala dat na slici i analogan elektrinom prekidau.

Slika 4. Formira signala

2.6. Dekoder

Dekoder je kombinaciono kolo koje slui za dekodiranje ili prepoznavanje stanja na ulazu. Tako
se kao elementi hardvera sreu: adresni dekoder, dekoder instrukcija, dekoder binarnih brojeva
itd.

Najjednostavniji dekoder je dekoder sa jednim izlazom, koji dekodira ili prepoznaje samo
jednu od moguih kombinacija ulaznih signala. "Znak prepoznavanja" posmatrane kombinacije,
tj. logika vrednost izlaza koja ukazuje na nju, moe da bude 1 ili 0. Na primjer, dekoder binarne

12
kombinacije tj. binarnog broja (1011) je I kolo sa 4 ulaza (cifre u binarnom broju), pri emu je na
ulazu sa adresom 2 (ulaz cifre na cifarskom mestu 22) stavljen invertor.

Slika 5. Dekoder kombinacije (1011)

Na prisustvo kombinacije (1011) na ulazu ukazuje jedinina vrednost izlaznog


signala.

Dekoder 1 od 2n ima n ulaza i 2n izlaza i dekodira sve mogue (ukupno 2n) ulazne binarne
kombinacije. Svaka od 2n izlaznih linija jednoznano odgovara jednoj od moguih binarnih
kombinaicja, tj. dobija logiku vrijednost 1 samo pri toj kombinaciji, dok istovremeno svi ostali
izlazi imaju nulte vrijednosti. Na slici dat je blok dijagram dekodera 1 od 2 3 sa treim logikim
stanjem.

Slika 6. Dekodera 1 od 23

Brojne oznake ili adrese izlaznih linija predstavljaju dekadne vrijednosti odgovarajuih binarnih
kombinacija.

13
2.7. Koder

Koder je kombinaciono kolo sa suprotnom funkcijom od dekodera 1 od 2n . Tako, njegovi izlazi


(ukupno n) daju binarni kod jedinog aktivnog (jedinina vrijednost) od ukupno 2n ulaza.

Slika 7. Blok dijagram kodera sa 3 izlaza

Kao to smo konstatovali, kod kodera je dovoljno da samo jedna od ulaznih linija bude aktivna.
Kod kodera prioriteta vie ulaznih linija mogu da imaju jedininu vrijednost a izlazi daju binarni
kod najprioritetnijeg od aktivnih ulaza.

14
3. BROJNI SISTEMI

Paralelno sa razvojem pisma, razvijali su se i znakovi za prikaz brojeva. Potreba stvaranja naziva
i znakova za vee brojeve bila je prva okolnost koja je prisilila ovjeka na traenje sistemskih
postupaka. Na primjer, brojevi 1, 2, 3 mogli bi se oznaavati sa I, II, III, IIII, ali je ovakav
sistem nemogue zadrati za velike brojeve. Zbog toga su razvijeni brojni sistemi, tj. naini
oznaavanja brojeva nizovima znakova - cifri.

Osnovna namjena raunara i drugih digitalnih sistema i ureaja je obrada informacija


predstavljenih u binarnom obliku. Da bi se razumio nain njihovog rada, neophodno je najprije
upoznati se sa osnovnim matematikim aparatom na kome se taj rad zasniva.

Brojni sistemi (numeracije) predstavljaju skupove znakova (simbola) kao i pravila njihovog
korienja za predstavljanje brojeva. Moemo rei da brojni sistemi predstavljaju notaciju za
predstavljanje brojeva odnosno definisani nain izraavanja i oznaavanja. Znaci (simboli) koji
se koriste za prikazivanje brojeva zovu se brojke ili cifre. Brojni sistemi mogu biti pozicioni i
nepozicioni.

Postoji veliki broj brojnih sistema (dekadni, binarni, oktalni, heksadecimalni), meutim, u
svakodnevnom ivotu najee se koristi dekadni brojni sistem koji spada u pozicione brojne
sisteme.

3.1. Pozicioni i nepozicioni brojni sistemi

Pozicioni brojni sistemi su oni sistemi gdje vrijednost broja zavisi od vrijednosti svake cifre u
broju kao i od pozicije cifre u okviru datog broja. Vrijednost pojedine pozicije (mjesta) u broju
naziva se poziciona vrijednost. Takoe, dio broja ispred decimalne take naziva se cijeli deo
broja (npr. jedinice, desetice, stotine u dekadnom brojnom sistemu) dok je dio iza decimalne
take razlomljeni dio broja (desetinke, stotinke itd.). Jedna od osnovnih karakteristika pozicionih
brojnih sistema je ta da vrijednost (udio) sa kojom svaka cifra uestvuje u ukupnoj vrijednosti

15
broja zavisi od pozicije na kojoj se cifra nalazi. Ukoliko to nije sluaj, brojni sistem je
nepozicioni.

x n x n 1 ...x1 x0 , x 1 ...x ( m 1) x m
cjelobrojni dio razlomljeni dio

decimalni zarez (taka)

Osim dekadnog u raunarstvu su u upotrebi i sledei sistemi:

1. Binarni r = 2

2. Oktalni r = 8

3. Heksadecimalni r = 16

Binarni brojni sistem sadri samo cifre 0 i 1.

Oktalni brojni sistem sadri cifre 0,1,2,3,4,5,6,7.

Heksadecimalni brojni sistem sadri cifre 0,1,2,3,4,5,6,7,8,9; a za oznaavanje cifara koje imaju
vrijednost 10, 11, 12, 13, 14 i 15 - koristiemo redom slova A, B, C, D, E i F.

Cifre nepozicionih brojnih sistema iskazuju uvijek istu vrijednost bez obzira na kom mjestu se
nalaze u broju. Tipian primjer ovakvog brojnog sistema jeste rimski brojni sistem.

Rimski: Dekadno:
I 1
V 5
X 10
L 50
C 100
D 500
M 1000

16
Pravila za itanje i pisanje brojeva sastavljenih od rimskih cifara mogu se iskazati na sledei
nain:

1. Niz istih cifara u broju predstavlja vrijednost jednaku njihovom zbiru. Npr. II ima vrijednost
2.

2. Dvije cifre od kojih se manja nalazi lijevo od vee, predstavljaju vrijednost jednaku razlici
vee i manje. Npr. IV ima vrijednost 4.

3. Dvije cifre od kojih se manja nalazi desno od vee, predstavljaju vrijednost jednaku zbiru vee
i manje. Npr. VII ima vrijednost 7.

Jasno je da bi bilo naporno izvoditi aritmetike operacije sa rimskim brojevima kao i zapisivanje
velikih brojeva. Veliki nedostatak sistema rimskih brojeva je to se ne mogu tvoriti decimalni ili
negativni brojevi, niti se moe predstaviti nula. Iz tog razloga se ovaj sistem nije razvio u tom
smijeru ve je tu ulogu preuzeo pozicioni brojni sistem.

3.2. Binarni brojni sistem

Binarni ili dualni brojni sistem takoe spada u grupu pozicionih brojnih sistema. Binarni brojni
sistem ima za osnovu 2, a zapisuje se pomou cifara iz skupa od dva elementa {0,1}.

Svaki pozitivan prirodni broj u pozicionom brojnom sistemu moe se zapisati kao:

x a n q n a n 1 q n 1 ... a1 a 1 a 0 a 0

ai
Slovom q prikazan je prirodni broj odnosno osnova, dok predstavlja cifre brojnog sistema.

a i 0,1
U binarnom brojnom sistemu q=2 dok je .

Ovom promjenom osnove (q=2) gore navedena jednaina dobija sledei oblik:

x a n 2 n a n 1 2 n 1 ... a1 21 a 0 2 0

17
Binarna cifra (dakle, 1 ili 0) obino se naziva bit. Binarni brojni sistem se najee koristi u
digitalnim i raunarskim ureajima poto se dekadni brojni sistem pokazao vrlo komplikovanim
za realizaciju elektronskih kola za raunanje. Predstavljanje informacija sa samo dva znaka
najvie odgovara mogunostima trenutne elektronske tehnologije, poto je jasno da se raznorodni
podaci iz spoljne sredine moraju konvertovati u format prilagoen raunaru - u binarne brojeve.
Bitovi predstavljaju najmanju jedinicu podataka u raunaru.

Kako jednim bitom moemo da predstavimo samo dve razliite vrijednosti (obino nula i
jedinica) dobijamo utisak da se vrlo malo stavki moe predstaviti jednim bitom. Meutim,
postoji neogranieno mnogo stavki koje mogu biti predstavljene upotrebom jednog bita. Jednim
bitom moemo prikazati dva razliita dogaaja. Primjeri za ovo su: nula ili jedan, ukljueno ili
iskljueno stanje, pravilno ili pogreno, tano ili netano itd. Uglavnom, ne postoji ogranienje u
smislu upotrebe samo binarnih tipova podataka.

Nibl je skup od etiri bita. Ovakva grupa podataka je posebno zanimljiva pri razmatranju BCD i
heksadecimalnih brojeva. etiri bita u grupi predstavljaju jednu BCD ili heksadecimalnu cifru.
Sa niblom, moemo da predstavimo do 16 razliitih vrijednosti.

Najbitnija struktura podataka u raunarskoj tehnici svakako jeste bajt. Bajt se sastoji od osam
bita i to je najmanja jedinica podataka koja se moe adresirati kod svih raunarskih arhitektura.
Kapacitet memorije svakog raunara izraava se brojem bajtova.

Postoje i raunari koji rade sa drugim brojnim sistemima, meutim na takvim raunarima se i
danas radi. Oni imaju potpuno razliite karakteristike u odnosu na klasine binarne raunare te
imaju specifinu primjenu. Za takve raunare se kaze da rade u vieznanoj logici. Meutim
binarni raunari su prvi doivjeli komercijalnu ekspanziju i zavladali tritem. Treba imati u vidu
da masovna proizvodnja binarnih komponenata znai njihovu nisku cijenu, te je razvoj binarnih
raunara najjeftiniji u startu. Triste je naviknuto na binarne raunare, te je njihova proizvodnja
ekonomski najsigurnija. U skladu sa svim do sada iznesenim, jasno je da je binarni brojni sistem
od fundamentalnog znaaja za sve to je vezano za raunar.

3.3. Prevoenje brojeva iz binarnog u dekadni brojni sistem

18
Odreeni binarni broj se prevodi (konvertuje) u dekadni tako to se svaka cifra (poev od
0

poslednje u nizu) mnoi sa osnovom 2 (q=2) koja se stavlja na nulti ( ) stepen, dok se svaka
sledea takoe mnoi sa osnovom 2 ali se stepen svakom sledeom cifrom poveava za jedan.
Zapisivanje se vri s lijeva na desno. Ukoliko je dat binarni broj razlomljen (sadri binarnu
taku), prevoenje se vri na potpuno isti nain s tim to se tada stepen mijenja u smislu da se
stepenu dodaje minus. Cifre koje slijede iza zareza zapisuju se s desna na lijevo.

a n 2 3 a n 2 2 a n 21 a n 2 0 a n 2 1 a n 2 2
Aaaa.aa=

Ako se za prikaz binarnog broja koristi razvijen eksponencijalni zapis, broj se lako prevodi u
dekadni brojni sistem kao u sledeim primjeru:

11010011.1100 ( 2) 1 2 7 1 2 6 0 2 5 1 2 4 0 2 3 0 2 2 1 21 1 2 0 1 2 1 1 2 2 0 2 3 0 2 4
1 128 1 64 0 32 1 16 0 8 0 4 1 2 1 1 1 1 1 1 0 1 1 1
2 4 8 16
128 64 16 2 1 1 1 211,75 (10)
2 4

3.4. Prevoenje brojeva iz dekadnog u binarni brojni sistem

Konverzija iz binarnog u dekadni sistem zasnivala se na mnoenju (binarna cifra se mnoila


stepenom osnove i onda dodavala na sumu). Logino je da se suprotna transformacija
(konverzija dekadnog u binarn brojni sistem) zasniva na dijeljenju. U ovom sluaju dijelimo dat
dekadni broj sa osnovom dva onoliko puta koliko je to mogue ( dok ne dobijemo rezultat 0),
dok svaki ostatak predstavlja cifru traenog binarnog broja s tim to je prvi ostatak cifra
najmanje teine binarnog broja. Drugim reima, oitavanje binarnog broja se vri itanjem
ostataka odozdo na gore tako da poslednji ostatak predstavlja cifru najvee teine binarnog
broja. I u ovom sluaju prevodimo nezavisno cijeli deo broja i njegov razlomljeni dio, a zatim
prevedeni razlomljeni dio zapisujemo do prevedenog cijelog dijela broja. Ova transformacija
bie pokazana na konkretnom primjeru (na nain na koji se u praksi najee izvodi). Primjer:

44 (10)
Prevedimo broj iz dekadnog u binarni brojni sistem:

19
2

44 0

22 0

11 1

5 1

2 0

1 1

Postupak se zavrava kada se u dijeljenju dodje do nule (1:2=0, ostatak 1)

Rezultat: Dekadni broj 44 preveli smo u binarni broj 101100.

Provjera:

101100 ( 2 ) 0 2 0 0 21 1 2 2 1 2 3 0 2 4 1 2 5 0 0 4 8 0 32 44

Postupak prevoenja razlomljenog dijela je slian prevoenju cijelog broja, osim to se sada
umjesto dijeljenja, vri mnoenje ciljnom osnovom (dakle mnoenje sa 2) i umjesto da se gleda
ostatak pri dijeljenju, ovde se gleda da li se pri mnoenju dvojkom pojavila jedinica ispred zareza
(u cijelom dijelu broja), i ako se pojavila - ona se upisuje u dobijeni binarni broj. Nakon
upisivanja jedinice u dobijeni binarni broj, nadalje se mnoi samo razlomljeni dio broja.

Primjer: Prevedimo broj 0,84375 (10) iz dekadnog u binarni brojni sistem.

0,84375 2 1,6875
0,6875 2 1,375
0,375 2 0,75
0,75 2 1,5
0,5 2 1,0
0,0

20
Prilikom mnoenja broja 0,84375 dvojkom, pojavila se jedinica u cjelobrojnom dijelu. To je prva
cifra prevedenog binarnog broja iza decimalnog zareza, a na mjestu gdje je dekadni broj, piemo
samo razlomljeni dio a to je 0,6875 koji takoe mnoimo sa 2. Smijer oitavanja je u ovom
sluaju odozgo nanie.

Prevoenje prekidamo kada dekadni broj postane 0.

Dobijeni prevedeni binarni broj je sada: 0,11011.

Napomena: Poseban sluaj koji se moe javiti prilikom konverzije razlomljenog dekadnog broja
u binarni, jeste sluaj periodinog broja.

Primjer: Prevedimo dekadni broj 0,4 u binarni brojni sistem.

0,4 2 0,8
0,8 2 1,6
0,6 2 1,2
0,2 2 0,4
0,4 2 0,8

Nadalje se ponavlja sekvenca 0110. Ukoliko doe do periodinosti, prevoenje se prekida i


zadrava se jedna periodina grupa koja se moe ponoviti proizvoljan broj puta (zavisno od
potrebne tanosti) - potrebe za daljim prevoenjem vie nema.

3.5. Predstavljanje oznaenih cijelih brojeva

U decimalnom brojnom sistemu negativni brojevi se predstavljaju znakom - dok se pozitivni


brojevi predstavljaju znakom + (ili se on izostavlja). U binarnom brojnom sistemu ovakav
nain predstavljanja brojeva je nemogu jer raunari mogu prepoznati samo dva znaka 0 i 1.
Samim tim znakove + i - potrebno je na neki nain predstaviti pomou 0 i 1.

Postoji dva naina za predstavljanje oznaenih cijelih binarnih brojeva:

1. Pomou znaka i apsolutne vrijednosti,

21
2. U komplementu dvojke.

3.5.1. Znak i apsolutna vrijednost

Znak i apsolutna vrijednost je najjednostavniji nain zapisivanja oznaenog binarnog broja.


Apsolutnoj vrijednosti broja se na mjestu najvee teine dodaje jedna cifra i to 0 ako je broj
pozitivan ili 1 ako je broj negativan.

Primjer:

7 (10) 111( 2 )
7 (10) 0111( 2)
7 (10) 1111( 2)

Nad binarnim brojevima zapisanim pomou znaka i apsolutne vrijednosti teko se obavljaju
aritmetike operacije zato sto se negativan broj ne moe tretirati na jedinstven nain. Navedeni
problem se rjeava predstavljanjem negativnih binarnih brojeva u komplementu dvojke.

3.5.2. Komplement dvojke

Postupak zapisivanja oznaenih cijelih binarnih brojeva u komplementu dvojke je sledei:

Pozitivan cio broj se dobija dodavanjem cifre 0 ispred neoznaenog binarnog broja.
Negativan ceo broj dobija se na sledei nain:
o Ispred neoznaenog binarnog broja dodaje se cifra 0,
o Zatim se sve cifre broja invertuju (jedinice se zamene nulama a nule jedinicama),
o Dobijeni broj se sabere sa 1.

Primjer:

Decimalni broj -7 predstaviemo u komplementu dvojke:

22
7 (10) 111( 2 )
7 (10) 0111( 2)

Invertuju se sve cifre dobija se 1000

Dobijeni broj se sabere sa 1 Dobija se 1001

7 (10) 1001( 2 )

Invertuju se sve cifre dobija se 1000

Dobijeni broj se sabere sa 1 Dobija se 1001

7 (10) 1001( 2 )

Ovaj postupak moe se i pojednostaviti tako to se polazni binarni broj podijeli na dva dijela,
lijevi i desni, tako da desni dio ine prva jedinica sa desne strane u broju i sve nule koje slijede
iza nje, dok preostale cifre ine lijevi dio broja. Komplement dvojke dobija se tako to se sve
cifre u lijevom dijelu broja invertuju a desni dio broja ostaje nepromijenjen.

Kao i kod zapisa pomou znaka i apsolutne vrijednosti, i kod zapisa u komplementu dvojke
pozitivni brojevi poinju cifrom 0 a negativni cifrom 1. Pozitivnim brojevima moemo dodavati
vodee nule (ispred cifre najvee teine ) a negativnim vodee jedinice, a da se vrijednost
brojeva ne mijenja.

Decimalna vrijednost x oznaenog binarnog broja zapisanog u komplementu dvojke sa n+1


cifara nalazi se primjenom sledee formule:

x a n 2 n a n 1 2 n 1 ... a1 21 a 0 2 0

Primjer:

23
5 (10) 0101( 2 ) 0 2 3 1 2 2 0 21 1 2 0 4 1 5
5 (10) 1011( 2 ) 1 2 3 0 2 2 1 21 1 2 0 8 2 1 5
5 (10) 11011( 2 ) 1 2 4 1 2 3 0 2 2 1 21 1 2 0 16 8 2 1 16 11 5

3.6. Prevoenje binarnih brojeva u heksadecimalni i oktalni brojni sistem

Veliki problem pri upotrebi binarnog brojnog sistema je njegova nepreglednost. Da bi predstavili
broj 202 (decimalno) u binarnom obliku potrebno nam je osam binarnih cifara. U decimalnom
sistemu ovaj broj je predstavljen sa samo tri decimalne cifre. Kada radimo sa veoma velikim
vrijednostima, binarni brojevi brzo postaju preveliki. Naalost, raunar radi sa binarnim
brojevima, tako da je pogodnije koristiti binarni brojni sistem. Iako mi moemo vriti pretvaranje
brojeva iz decimalnog u binarni sistem i obrnuto, ovakvo pretvaranje ne predstavlja trivijalan
zadatak. Heksadecimalni brojni sistem (sa bazom 16) rjeava opisane probleme. Heksadecimalni
brojevi omoguavaju dve karakteristike koje su nama znaajne: veoma su kompaktni i lako ih je
pretvarati u binarne brojeve i obrnuto. Zbog toga, veina dananjih raunarskih sistema koristi
heksadecimalni brojni sistem za prikazivanje podataka.

Kako je baza heksadecimalnog broja 16, svaka heksadecimalna cifra lijevo od heksadecimalnog
zareza predstavlja vrijednost koja se mnoi sa rastuim stepenima od 16.

Cifre heksadekadnog brojnog sistema su:

0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 = A, 11 = B, 12 = C, 13 = D, 14 = E i 15 = F

Sada jednaina dobija oblik:

x a n 16 n a n 1 16 n 1 ... a1 161 a 0 16 0

Primjer:

6B 2 (16)
Konvertovati u decimalni broj:

6 B 2 (16) 6 16 2 11 161 2 16 0 6 256 11 16 2 1 1714 (10)

24
Primjer:

30 (10)
Konvertovati u heksadecimalni broj:

30 16 1(14)
1 16 0(1)

30 (10) 1E(16)

Meutim konvertovanje iz binarnog u heksadecimalni brojni sistem vri se na neto drugaiji


nain tj. grupisanjem po 4 cifre binarnog broja, poevi sa desne strane.

Primjer:

110111101( 2 )
Konvertovati u heksadecimalni broj:

1101( 2 ) 13 (10) D(16)

1011( 2 ) 11(10) B(16)


1( 2 ) 1(10) 1(16)

110111101( 2 ) 1BD (16)

Konvertovanje iz heksadecimalnog brojnog sistema u binarni vri tako to se svaka cifra


heksadecimalnog konvertuje u u 4 cifre binarnog broja.

Primjer:

2A8 (16)
Konvertovati u binarni broj:

25
8 (16) 8 (10) 1000 ( 2 )
A(16) 10 (10) 1010 ( 2 )
2 (16) 2 (10) 0010 ( 2 )

2 A8 (16) 001010101000 1010101000

Oktalni brojni sistem je po svemu slian heksadecimalnom. ak je i pretvaranje iz oktalnog u


binarni i obrnuto potpuno analogno pretvaranju kod heksadecimalnog brojnog sistema. Razlika
je u tome to kod oktalnog brojnog sistema baza nije 16 ve 8. Cifre kod oktalnog brojnog
sistema uzimaju vrijednost 0-7. Na primjer, pogledajmo koju decimalnu vrijednost ima oktalni

456 (8).
broj

456 (8) 4 8 2 5 81 6 8 0 256 40 6 302 (10)

Pretvaranje iz oktalnog u binarni brojni sistem i obrnuto je vrlo slino pretvaranju iz


heksadecimalnog s tim to se u ovom sluaju grupiu po 3 cifre binarnog broja.

Oktalni brojevi se takoe esto koriste za predstavljanje podataka u raunarskom sistemu. Oni su
pogodniji za korienje u odreenim situacijama u odnosu na heksadecimalne, iako se
heksadecimalni brojevi daleko ee koriste.

Konverzija izmeu oktalnog i heksadecimalnog brojnog sistema vri se pretvaranjem prvo u


binarni brojni sistem, a zatim u potreban brojni sistem, dakle posrednim putem.

4. FLIP FLOPOVI

Flip flop, ima dva stabilna stanja. Stanje bistabilnog multivibratora se moe promijeniti
pomou odgovarajueg impulsa. Pozitivan napon doveden na bazu neprovodnog tranzistora
uinie da ovaj tranzistor postane provodan, usled ega drugi postaje neprovodan. Obrnuto,

26
negativan napon doveden na bazu provodnog tranzistora ini ovaj tranzistor neprovodnim, zbog
ega onaj drugi postaje maksimalno provodan. Bistabilni multivibrator se moe koristiti kao
osnovna memorijska jedinica jer se dovoenjem signala na ulaze moe postaviti u jedno od dva
stabilna stanja. Kod memorijskih elemenata se uvode termini Set i Reset. Poetno stanje
memorijskog elementa se naziva resetovano stanje. Usvojeno je da ovo resetovano stanje
odgovara stanju logike nule. Prema tome stanje kola koje odgovara logikoj jedinici naziva se
setovano stanje. Kada je Q = 1 (Q = 0) kae se da je multivibrator setovan, odnosno u njemu je
memorisano stanje 1, a kada je Q = 0 (Q = 1) multivibrator je resetovan, odnosno memorisano je
stanje 0.

Flip flop je poznato okidno kolo sa dva stabilna stanja koja se koriste za memorisanje podataka
u binarnom obliku. Kao memorijski element, flip flop je jedno od osnovnih kola digitalne
tehnike. Kako se bit informacije prepoznaje kodira prisustvom ili odsustvom impulsa ili
logikog nivoa 1 ili 0, to jedan flip flop moe da pamti u odreenom vremenu samo jednu
informaciju, tj. jedan bit informacije. Informacije vee od jednog bita pamte se u odreenom
skupu flip flopova koji se naziva registar. Ureeni skup vie registara, pak, ini memoriju
veeg kapaciteta. Rad flip flopa kao memorijskog elementa moe biti prikazan kombinacionom
tabelom tabelom prelaza ili pomou odgovarajuih prekidakih funkcija funkcija prelaza.

4.1. RS flip flop

Ovo je najjednostavniji tip flip flopa i ini osnovu za realizaciju drugih bistabilnih kola koja se
realno koriste. Ovaj flip flop se naziva RS flip flop, RS je skraenica od Reset i Set. RS flip
flop se sastoji od ukrtene veze dva invertorska kola, tako da je izlaz prvog spojen na ulaz
drugog, a izlaz drugog na ulaz prvog. Na taj nain je ostvarena pozitivna povratna sprega
potrebna za kumulativni proces pri promjeni stabilnih stanja. Logika struktura RS flip flopa,
realizovanog ukrtanjem dva dvoulazna NILI kola, prikazana je na slici 1 dok je na slici 2
prikazana simbolika oznaka RS flip flopa.

27
Slika 8. RS flip flop

Slika 9. Simbol RS flip flopa

RS flip flop spada u grupu sekvencijalnih kola, to znai da stanja na izlazima Q i Q zavise ne
samo od stanja na ulazima R i S ve i od prethodnih stanja na Q i Q.

Analiza rada RS flip flopa se zasniva na tabeli stanja za NILI kolo. Neka su, na primjer, u
prethodnom stanju Q = 1 i Q = 0 i neka se na ulaze dovedu stanja S = 0 i R = 1. U trenutku
dovoenja signala na ulaze stanja su sledea: na ulazima gornjeg NILI kola na slici 1. prisutna su
stanja 0 i 1, kao i na ulazima donjeg NILI kola. Zbog toga se stanje na izlazu Q u poetnom
trenutku ne menja, ali stanja na ulazima gornjeg NILI kola e izazvati promenu stanja na izlazu
Q koje sada prelazi u stanje 0. Kombinacija 0 i 0 koja se sada pojavljuje na ulazima donjeg NILI
kola izaziva promenu stanja na izlazuQ koje prelazi u stanje 1. Kombinacija 1 i 1 na ulazima
gornjeg NILI kola ne menja stanje flip flopa koje je sada trajno. Kombinacija 1 i 1 na R i S
ulazima bi po ovakvoj analizi dala stanje na izlazima Q = 0 i Q = 0. To meutim, nije realna
slika. Analizom elektronskih kola od kojeg su sastavljena NILI kola dolazi se do zakljuka da je
ovo stanje nedozvoljeno (neodreeno).

28
Tabela 2. Logika stanja RS flip flopa u zavisnosti od prethodnih stanja na izlazima

Poreenjem gornjeg i donjeg dijela tabele dobija se jedinstvena tabela 2. koja opisuje rad flip
flopa bez obzira na prethodna stanja Q i Q . Iako RS flip flop moe da pamti stanja 0 i 1,
njegov glavni nedostatak je pojava neodreenog stanja. Zbog toga se ova vrsta flip flopa koristi
samo kao osnova za realizaciju drugih vrsta bistabilnih elemenata.

Tabela 3. Tabela stanja RS flip flopa

4.2. Taktovani RS flip flop

Savremeni digitalni ureaji se sastoje od vrlo sloenih elektronskih kola, tako da ukupan broj, na
primjer, tranzistora u jednom takvom ureaju dostie cifru od nekoliko desetina miliona. Da bi
tako sloen sistem radio ispravno potrebno je vremenski sinhronizovati rad pojedinih dijelova.
To se postie uvoenjem jednog zajednikog upravljakog signala koji se dovodi istovremeno na
sva kola. Takav signal se naziva takt ili clock signal. Uobiajeno je, mada ne po pravilu, da kada
je takt signal u stanju 1 rad kola je omoguen, a kada je u stanju 0 rad kola je onemoguen. To
znai da je izmjena stanja pojedinih flip flopova spreena sve do odreenog trenutka koji je
diktiran takt signalom. U principu, takt signal je niz pravougaonih signala odreenog perioda T
(frekvencije ), kao to je prikazano na slici 10.

29
Slika 10. Talasni oblik takt signala

Ovakav niz signala se dobija korienjem razliitih oscilatornih kola. Kada je u nekom dijelu
kola potrebno skratiti vrijeme trajanja takt signala koristi se kolo za diferenciranje. Taktovani RS
flip flop je prikazan na slici 11.

Slika 11. Realizacija taktovanog RS flip flopa

Na ulaze S i R se dovode signali za setovanje odnosno resetovanje flip flopa preko dva I kola.
Flip flop je aktivan samo ako je takt signal, koji se dovodi Ck, u stanju 1. Ukoliko je Ck u
stanju 0, na izlazu oba I kola je stanje 0. To znai da se stanja na Q i Q ne menjaju bez obzira na
stanja ulaza R i S. Ukoliko je Ck u stanju 1 kroz I kola se proputaju stanja sa S i R, a Q i Q se
postavljaju u stanja koja su u skladu sa tabelom 3. Simbol taktovanog RS flip flopa je prikazan
na slici 12a. Moe se realizovati i obrnut sluaj tj. rad RS flip flopa moe biti omoguen i kada
je Ck = 0, odnosno onemoguen kada je Ck = 1. Simbol takvog kola je prikazan na slici 12b.

Slika 12. Simboli taktovanog RS flip flopa

30
Osim pomenutih prikljuaka flip flop moe imati jo dva prikljuka, Preset Pr za postavljanje u
setovano stanje i Clear Cr za postavljanje u resetovano stanje. Dovoenjem 1 na Preset
prikljuak, flip flop se postavlja u setovano stanje u bilo kom trenutku, bez obzira na stanje takt
signala, a dovoenjem 1 na Clear, flip flop se resetuje opet bet obzira na stanje signala.
Pomou ovih prikljuaka se digitalna kola dovode u poetno, poznato stanje, na primjer prilikom
ukljuivanja raunara. Simbol RS flip flopa sa Preset i Clear prikljucima prikazan je na slici
13a. Na slici 13b je prikazan flip flop kod koga se prethodno setovanje, odnosno resetovanje
vri nulom, a ne jedinicom.

Slika 13. Simboli RS flip flopa sa prikljucima Preset i Clear

4.3. D flip flop

RS flip flop ima dva prikljuka za podatke. Da bi se setovao na ulazu S mora biti stanje 1, a na
R stanje 0. Za resetovanje, na S ulaz treba dovesti 0, a na R ulaz 1. Znai potrebna su dva signala
na ulazima za promjenu stanja na izlazu to je uz pojavu neodreenog stanja jo jedan nedostatak
RS flip flopa. Ovi nedostaci se mogu otkloniti dodavanjem jednog NE kola na ulaz RS flip
flopa kao to je prikazano na slici 14. Dobijeno kolo ima samo jedan ulaz D. Signal na D ulazu
direktno pobuuje S ulaz RS flip flopa, a D pobuuje ulaz R. Kada je D = 1 ( D = 0) RS flip
flop se setuje (Q = 1), a kada je D = 0 ( D = 1) RS flip flop se resetuje (Q = 0). Znai, i D flip
flop ima dva mogua stanja, setovano i resetovano, to se moe predstaviti tabelom stanja D flip
flopa.

31
Slika 14. Realizacija D flip flopa

Tabela 4. Tabela stanja D flip flopa

Ovako opisani D flip flop je nesinhronizovani D flip flop. Sinhronizovani (taktovani) D flip
flop se moe realizovati na isti nain kao i sinhronizovani RS flip flop prema emi na slici 15.

Slika 15. Taktovan D flip flop

Tabela 5: Tabela stanja taktovanog D flip flopa

Kada je Ck = 0 na ulazima S i R je stanje 0, to znai da se na Q i Q zadrava prethodno stanje.


Kada je Ck = 1, flip flop je aktivan i stanja Q i Q zadovoljavaju tabelu stanja D flip flopa.

32
Rad taktovanog D flip flopa se moe opisati tabelom 4. u kojoj x oznaava stanje na D ulazu
koje moe biti 0 ili 1.

4.4. JK flip flop

Osnova za ovaj tip flip flopa je kao i u sluaju D flip flopa, RS flip flop. JK flip-flop ima
dva ulaza J i K i prikazan je ematski na slici 16. Takt signal se dovodi na ulaz flip flopa preko
kola za diferenciranje. Rad JK flip flopa se najjednostavnije moe prikazati tabelom 6.

Slika 16. Realizacija JK flip flopa

Tabela 6. Tabela stanja JK flip flopa

Kada su ulazi J i K na niem naponskom nivou tj. J = K = 0 onemoguen je rad I kolima i bez
obzira na takt signal i stanja Q i Q JK flip flop ostaje u svom prethodnom stabilnom stanju.
Kada je J = 0, a K = 1 tada je gornjem I kolu onemoguen rad i ne postoji mogunost da se RS
flip flop setuje. Jedina mogunost je resetovanje flip flopa. Ako je poslednje stanje izlaza bilo
Q = 1, sledea pozitivna ivica takt signala e omoguiti otvaranje donjeg I kola i tako omoguiti
resetovanje, tj. postaviti stanje Q = 0. Kada je J = 1, a K = 0 tada je donjem I kolu onemoguen
rad pa se flip flop jedino moe setovati. To je mogue u sluaju kada je Q = 0, a Q = 1.

33
Znai kada se pojavi prva pozitivna ivica takt signala flip flop e se setovati tj. postaviti u
stanje Q = 1. Kada su oba ulaza na viem naponskom nivou tj. J = 1 i K = 1 flip flop se moe i
setovati i resetovati to zavisi od stanja Q i Q na izlazima. Ako je Q = 1 donje I kolo e propustiti
prvu sledeu pozitivnu ivicu takt signala i resetovati flip flop tj. postaviti stanje Q = 0.
Nasuprot tome ako je Q = 0, tj. Q = 1 tada je omoguen rad gornjem I kolu. Kada naie prva
sledea pozitivna ivica takt signala flip flop e biti setovan, tj. bie Q = 1. To znai da JK flip
flop, kada je J = 1 i K = 1, mijenja stanje sa svakom pozitivnom ivicom takt signala.

4.5. Master Slave JK flip flop (MS)

Za pravilan rad JK flip flopa je potrebno da vrijeme trajanja pozitivnog impulsa takt signala
bude krae od vremena trajanja prenosa signala kroz flip flop. U suprotnom bi se u flip flopu
moglo desiti i vie promjena u toku vremena trajanja pozitivnog impulsa takt signala. Ovaj
nedostatak se u potpunosti otklanja korienjem MS JK flip flopa. MS JK flip flop se sastoji
od dva JK flip flopa, Master flip flopa koji se okida prednjom ivicom takt signala i Slave flip
flopa koji se okida zadnjom ivicom takt signala. Njihova veza je prikazana na slici 17.

Slika 17. MS JK flip flop

Ako je na ulazu J, M flip flopa, prisutno stanje 1, M flip flop e biti setovan sa nailaskom
prvog pozitivnog impulsa takt signala. Izlaz Q (Q = 1), M flip flopa sada pobuuje ulaz J, S
flip flopa. S flip flop ostaje neaktivan do pojave zadnje ivice takt signala i tek tada menja
stanje u skladu sa stanjem na ulazu J. Na taj nain se sadraj M flip flopa kopira u S flip flop.
Isto se deava bez obzira u kojim stanjima se nalaze J i K ulazi M flip flopa. Ovakav nain rada
onemoguuje vie promjena u toku jednog takt signala.

34
5. REGISTRI

Osnovna mamjena registra je privremeno pamenje nekog viebitnog podatka (kod 8-bitnog
procesora, njegov kapacitet je 8 bitova). U tom svojstvu, sem u memoriji (memorijski registri)
oni se sreu u meusklopovima, preko kojih su periferne ulazno-izlazne jedinice vezane za
sabirnicu mikroraunara, i tu imaju funkciju meumemorisanja ili baferovanja (baferni registri)
podataka na putu od ulazne jedinice do mikroprocesora ili od mikroprocesora do ulazno-izlazne
jedinice. Registri koji imaju samo opisani nain uvanja (registrovanja) podataka zovu se statiki
ili stacionarni registri.
Sloeniji registri od statikih su oni koji omoguavaju razliite manipulacije sa registrovanim
podatkom i to su:
pomeraki (ift) registri,
ciklini ili kruni registri,
brojaki registri ili kaunteri (counter).

5.1. Statiki registri

S obzirom da uvanje 1-bita omoguuju bistabili, jasno je da se n-bitni registar dobija paralelnim
vezivanjem n bistabila. ema jednog 4-bitnog registra data je na slici.

35
Slika 18. ema 4-bitnog registra

R/W= { 1 za itanje( read)


0 za pisanje (write)

- taktni signal iz generatora taktnog signala


S - signal izbora registra, iz adresnog dekodera

Da bi se u neki registar unio (write) podatak sa sabirnice podataka ili iz njega proitao (read)
podatak, tj. postavio na sabirnicu podataka neophodno je da budu ispunjena 2 uslova.
odabran je posmatrani registar, tj. njegova adresa je postavljena (od strane
mikroprocesora) na adresnu sabirnicu,
vrijednost taktnog signala je jednak 1.
Ako je mikroprocesor odabrao dati registar, signal S koji ide iz adresnog dekodera imae
vrijednost, inae njegova vrijednost je 0. Ako su ispunjena oba opisana uslova, tj. E = *S = 1 (I
kolo na lijevom kraju eme), zavisno od vrijednosti R/W signala bie realizovano itanje ili
upisivanje.
Ako R/W signal ima vrijednost 1, izlaz iz I kola u koji se uvode R/W i E = *S signal bie
aktivan i otvorie formirae signala pa e se bitovi (Q signali) iz pojedinih D bistabila nai na
sabirnici podataka (operacija itanja).

R/W
Ako je R/W = 0 (upisivanje) izlaz iz I kola u koji se uvode i E signal bie aktivan i on

e aktivirati D bistabile, pa e u njih biti unijeti bitovi sa sabirnice podataka.

5.2. RAM memorija

Poluprovodnika memorija, u koju se moe i upisati i proitati informacija u proizvoljnom


trenutku, naziva se RAM memorija, za razliku od ROM memorija, kod koje je fiziki i
vremenski proces upisa razliit od procesa itanja sadraja. Naziv RAM dolazi od engleskog
naziva Random Eccess Memory (memorija sa sluajnim pristupom), to na neki nain oznaava
da je vrijeme za upis ili itanje nezavisno od adrese na kojoj se itanje ili upis obavlja.
Poluprovodnike RAM memorije po pravilu, gube sadraj kada se iskljui napon napajanja, tako
da spadaju u klasu nepostojanih memorija. Poluprovodnike memorije mogu biti statike

36
(SRAM) i dinamike (DRAM). Informacija upisana u statiku memoriju ostaje zapamena sve
dok je memorija prikljuena na napon napajanja. Da bi informacija ostala zapamenja u
dinamikoj memoriji, neophodno je periodino obavljati "osvjeavanje" memorije, inae e se
informacija gubiti. Pored navedenih SRAM i DRAM memorija, postoje i druge vrste RAM
memorija, kao na primjer, FRAM memorija (ferroelectric random access memory). FRAM je
feroelektrina memorija sa sluajnim pristupom. Feroelektrini efekat je osobina materijala da
zadri elektrinu polarizaciju i u odsustvu elektrinog polja, to omoguava izradu postojeih
RAM memorija koje zadravaju sadraj i po nestanku napona.

5.2.1. Statike poluprovodnike memorije (SRAM)

Statika RAM memorija predstavlja skup stacionarnih registara sa zajednikim ulaznim i


izlaznim prikljucima. Selekcija registra u koji e se upisati, ili iz koga e se proitati
informacija, obavlja se adresnim dekoderom, na slian nain kako se obavlja itanje ROM
memorija. Da bi podaci bili ispravno upisani i proitani iz RAM memorije, slino kao i kod
ROM memorija, treba voditi rauna o karakteristinim vremenima propagacije kroz kontrolna
kola memorije kao i o vremenu postavljanja i vremena dranja memorijskih komponenti.

Ciklus iatanje SRAM memorije je identian ciklusu iatanja ROM memorije. Takoe se, radi
vee gustine pakovanja, tei da memorijske elije imaju to je mogue manji broj tranzistora.
Poto se upis i itanje iz memorije nikad ne obavlja istovremeno, statike RAM memorije se
esto izrauju sa zajednikim, bidirekcionim prikljucima sa podatke.

Slika 19. Ulaz/izlaz

37
5.2.2. Dinamike poluprovodnike memorije (DRAM)

Postoji stalni zahtjev za poveanjem brzine i kapaciteta raunarskih memorija, kao i za


smanjenjem fizikih dimenzija i snage koju memorije troe. Ovi zahtjevi i potrebe predstavljaju
vodilje u razvoju DRAM tehnologije. Veina DRAM memorija su se razvijale godinama i pratile
razne tehnoloke napretke, pa smo imali SDRAM (Sinhroni DRAM), DDR (dvostruka brzina
podataka) SDRAM, DDR2 (dvostruka brzina podataka 2) SDRAM, kao i DDR3 (dvostruka
brzina podataka 3) SDRAM. Ovaj razvoj je takoe bio uslovljen nainom korienja raunarskih
memorija u DIMM modulima (dualni memorijski moduli).

Primjene DIMM modula su se proirile sa neregistrovanih DIMM ka registrovanim DIMM i FB


DIMM modulima (potpuno baferovanim DIMM). Svaka memorijska elija u SRAM memoriji
se sastoji od najmanje 4 do 6 tranzistora. Da bi se realizovala memorija sa veom gustinom
pakovanja konstruisana je memorija sa samo jednim tranzistorom i jednim kondenzatorom po
memorijskoj eliji. Ovakva memorija bazira pamenje informacije na elektrinom punjenju
kondenzatora. Na slici prikazana je jedna elija memorija.

Slika 20. Kapacitivna memorijska elija

Raunarske memorije nisu jedini sistemi koji stalno zahtijevaju vee, bre i fiziki manje
memorije to manje potronje. Aplikacije poput ugraenih (embedded) sistema posjeduju sline
zahtjeve i takoe mogu koristiti DRAM. Ipak, mnogi memorijski sistemi se drugaije
primjenjuju raunarima ili ugraenim sistemima. Tipian primjer predstavlja klasino
postavljanje raunarskih memorija u DIMM slotove koje omoguava laku instalaciju tokom
procesa sklapanja raunara. Korisnik raunara moe lako nadograditi svoju raunarsku memoriju
jednostavnim dodavanjem ili zamjenom DIMM modula nakon kupovine raunara. Kao rezultat

38
toga imamo da memorije koje se koriste u raunarima zahtjevaju vii nivo kompatibilnosti sa
trenutnim i narednim stepenom razvoja raunara, kao to i memorije zahtjevaju povezanost sa
DIMM modulima.

Postoje dvije glavne oblasti kompatibilnosti. Prvo, memorija mora biti kompatibilna sa velikim
brojem memorijskih kontrolera korienim od strane raznih proizvoaa. Drugo, memorija mora
biti u stanju da radi i kada se koristi zajedno sa memorijama razliitih proizvoaa u jednom
memorijskom sistemu raunara. Otvoreni memorijski standardi su veoma korisni pri
osiguravanju memorijske kompatibilnosti. Sa druge strane, ugraeni sistemi obino koriste
fiksnu memorijsku konfiguraciju, to znai da korisnik ne moe mijenjati memorijski sistem
nakon kupovine proizvoda. Proizvoa ovakvih ugraenih sistema dakle posjeduje potpunu
kontrolu nad koriejnem memorija odreenih proizvoaa i ugraenom sistemu. Uobiajena je
optimizacija performansi i cijene ugraenih sistema korienjem jedne specifine vrste memorija
jednog proizvoaa. Kao rezultat imamo da je manje bitno u ugraenim sistemima, u poreenju
sa raunaskim, da se poseduje visok nivo kompatibilnosti memorija razliitih proizvoaa.
JEDEC (zajedniki odbor inenjera elektronskih ureaja) je pomogao memorijskoj industriji
stvaranjem memorijskih specifikacija u obliku JEDEC standarda. JEDEC je neprofitabilna
organizacija iji su lanovi ustvari proizvoai memorija, raunara, oprema za testiranje itd.

Otvoreni JEDEC standardi definiu zahtjevanu specifikaciju koju proizvoai moraju


primjenjivati pri proizvodnji svojih memorija kako bi one bile u stanju da rade sa memorijama
drugih proizvoaa, kao i drugim memorijskim kontrolerima. Ovi standardi obuhvataju fizike
karakteristike, lejaut DIMM kola, elektrine signale, definicije registara, funkcionalnost u radu,
memorijske protokole,... Verifikacija i testiranje usklaenosti memorije sa JEDEC standardima
predstavlja kritian korak ka pouzdanom radu razliitih memorija velikog broja proizvoaa.
Novi DRAM dizajni ispunjavaju zahtjeve raunarskih i ugraenih sistema po pitanju brzine,
kapaciteta, fizike veliine i manje potronje. Posledica toga je nastanak sledeih promjena
DRAM-a: poveanje memorijskog prostora, poveanje broja memorijskih blokova, smanjenje
napona napajanja, smanjenje razlike u naponima logikih nivoa, poveanje brzine taktovanja
memorija, poveanje brzine protoka podataka, primjene signala u memorijskim kanalima prelaze
sa velikog broja paralelnih signala na manji broj serijskih signala velike brzine, poveava se broj

39
memorijskih kanala kao i gustina pakovanja na ploicama ovih kola,... Ovakvi trendovi
zahtijevaju korienje novih tehnologija i alata pri dizajniranju, verifikaciji i debagovanju
memorijskih sistema. Sa poveanjem brzina taktovanja i smanjenjem napona logikih nivoa
postavlja se pitanje integriteta signala i pouzdanosti memorijskih operacija. Zbog toga postoje
tenje ka novim osobinama DRAM-a koje bi se pozabavile unapreenjem integriteta signala
memorijskog sistema. Ove osobine ukljuuju dinamiki kontrolisani ODT (terminacija na kraju),
OCD kalibraciju i potpuno baferovane DIMM sa AMB (naprednim memorijskim baferima)..
Prednost DRAM-a nad ostalim tipovima memorija je njena mogunost da se primenjuje sa
manjim brojem kola po memorijskoj eliji na IC (integrisanom kolu). Memorijske elije DRAM-
a su zasnovane na smjetanju naelektrisanja na kondenzatoru. Tipina DRAM elija je izgraena
uz pomo jednog kondenzatora, i jednog ili tri FET tranzistora. Tipina SRAM (statika
memorija sa direktnim pristupom) memorijska elija koristi est FET-ova, to konano daje
manji broj memorijskih elija na IC iste veliine. SRAM su jednostavnije za korienje, lake za
povezivanje i poseduju krae vrijeme pristupa podacima od DRAM memorija.

Arhitektura DRAM jezgra se sastoji od memorijskih elija organizovanih u dvodimenzionalne


nizove redova i kolona. Pristup odreenoj memorijskoj eliji zahtjeva dva koraka. Prvo se mora
adresirati eljeni red, a zatim i eljena kolona u tom redu. Drugaije reeno, interno se prvo vri
itanje itavog reda u DRAM integrisanom kolu a zatim adresa kolone bira koja e se kolona u
kolu DRAM proitati ili upisati preko ulazno/izlaznih pinova DRAM-a.
Oitanja DRAM su destruktivna, to podrazumijeva da se podaci u nizu memorijskih elija
unitavaju prilikom operacije itanja. Zbog toga je neophodno ponovo zapisati isti red podataka
nakon okonanja operacije upisivanja ili itanja iz tog reda. Ova operacija se naziva prethodno
pamenje naelektrisanja, i to je poslednja operacija u jednom redu. Ona se mora obaviti pre
pristupanja novom redu i zbog toga se naziva i zatvaranje otvorenog reda.

Analiza pristupa raunarskoj memoriji pokazuje da oitavanja sekvencijalnih memorijskih adresa


postaju najuobiajeniji tipovi pristupa memorijama. Ovo je zaista logino jer su oitavanja
raunarskih instrukcija mnogo ea od itanja ili zapisivanja podataka. Takoe, mnoga
oitavanja instrukcija su sekvencijalna u memoriji, sve dok ne doe do nastanka instrukcije
grananja ili skoka na subrutinu.

40
DRAM red se naziva memorijska stranica i kada se jednom red otvori moe se pristupiti
viestrukim sekvencijalnim, ili nekim drugim adresama kolona u tom redu. Ovo poveava brzinu
pristupa memoriji i smanjuje latencu memorije jer se ne mora ponovo slati adresa itavog reda u
DRAM prilikom pristupa memorijskim elijama na istoj memorijskoj stranici. Rezultat toga je
adresa reda u viim adresnim bitovima raunara, dok se adresa kolone nalazi u adresnim
bitovima nieg reda. Poto se adrese reda i kolone alju u razliito vreme, obe ove adrese se
multipleksiraju na iste DRAM pinove kako bi se smanjio ukupan broj pinova u pakovanju, cijena
i veliina. Tipino je veliina adrese reda vea od adrese kolone jer je potronja snage povezana
sa brojem kolona.

Prvi DRAM-ovi su posedovali kontrolne signale poput RAS# (nizak aktivan nivo adrese
selektovanja reda) i CAS# (nizak aktivan nivo adrese selektovanja kolone) za odabir reda i
kolone prilikom izvravanja adresne operacije. Dodatni DRAM kontrolni signali ukljuuju WE#
(nizak aktivni nivo za omoguavanje upisa) za odabir operacija upisivanja ili itanja, CS# (nizak
aktivni nivo za biranje ipa) za odabir DRAM, i OE# (nizak aktivni nivo za omoguavanje
izlaza). Prvi tipovi DRAM su imali asinhrone kontrolne signale i razliite specifikacije tajminga
koje su pokrivale razne sekvence i vremenske trenutke za odreivanje naina rada DRAM-a.
Ciklus oitavanja kod prvih DRAM memorija je imao etiri koraka. Prvo se postavlja nizak nivo
RAS# sa adresom reda na adresnoj magistrali. Zatim se postavlja nizak nivo CAS# i tada je na
adresnoj magistrali adresa kolone. Tree, postavlja se nizak nivo OE# i oitani podaci se
pojavljuju na DQ pinovima podataka. Vreme potroeno od prvog do treeg koraka, kada su
podaci dostupni na DQ pinovima se naziva kanjenje (latentnost). Poslednji korak obuhvata
postavljanje visokih nivoa za RAS#, CAS# i OE# signale (neaktivni su) i ekanje na internu
operaciju ponovnog zapisivanja podataka u odreeni red kako bi se izvrilo obnavljanje podataka
nakon destruktivnog oitavanja. Vrijeme koje protekne od prvog do okonanja poslednjeg koraka
se naziva vrijeme memorijskog ciklusa. Tajming navedenih signala je povezan sa sekvencom
ivica signala, i pritom je asinhron. Sinhrone operacije taktovanja nisu postojale kod prvih DRAM
memorija.

DRAM memorijske elije se moraju osvjeavati kako bi se izbjeglo gubljenje odreenih

41
podataka. To podrazumijeva osvjeavanje kondenzatora prije nego to on izgubi svoje
naelektrisanje. Osvjeavanje memorije je zadatak koji obavlja memorijski kontroler a
specificirano vrijeme osveavanja zavisi od tipa koriene DRAM memorije. Memorijski
kontroler izvodi osveavanje tako to izvrava samo RAS# ciklus sa adresom reda. Na kraju
ovakvog ciklusa je operacija obnavljanja sadraja podataka u redu koji je bio adresiran
obavljena. Tipino je da memorijski kontroler poseduje broja redova koji bi sekvencijalno
generisao adrese redova kojima je neophodno izvravanje ciklusa osveavanja sa RAS#
signalom.

Postoje dvije strategije osveavanja. Prva strategija podrazumijeva da memorijski kontroler


osvjeava sve redove sekvencijalno kratkim ciklusima osvjeavanja i zatim vrati kontrolu nad
memorijom procesoru za nastavak rada. Sledei signali osvjeavanja bi se pojavili pre isteka
maksimalnog propisanog vremena. Druga strategija osvjeavanja koristi umetanje ciklusa
osvjeavanja memorijskog kontrolera u normalne radne operacije procesora sa memorijom.
Ovakav metod osvjeavanja rasporeuje cikluse osveavanja na maksimalno propisano vrijeme.

Prvi DRAM su primjenjivali i razvijali broja osveavanja u DRAM integrisanom kolu koji bi se
bavio sekvencijalno generisanim adresama redova. Ovakav broja osvjeavanja je ulaz u
multiplekser koji kontrolie adrese u memorijskom redu. Drugi ulaz u multiplekser je iz adrese
reda sa spoljanjih ulaznih adresnih pinova. Pomenuti broja osvjeavanja je eliminisao potrebu
za spoljanjim kolom za osveavanje u memorijskom kontroleru. Neki od ovih DRAM su
podravali i CAS# signal pre dovoenja RAS# signala kako bi se zapoeo ciklus osvjeavanja
korienjem interno generisane adrese reda.

Danas postoji vie vrsta DRAM memorija, kao to su na primjer RDRAM (Rambus), DDR
SDRAM, SDRAM i EDORAM, zatim HSDRAM (High Speed SDRAM), ESDRAM, VC
SDRAM (Virtual Channel SDRAM), DRDRAM (Direct Rambus DRAM) itd. Svaka od ovih
vrsta memorija ima svoje prednosti i nedostatke. DDR SDRAM memorija ima najbolji odnos
cena/performansa i zato je najpopularnije rjeenje za trite PC raunara. RDRAM memorija
koja je napravljena da bi zadovoljila sve vrste trita, bila je suvie sloena i trebalo je da joj se

42
pobolja odnos cijena/performa prije nego to je donijeta na trite 1999. godine.

Poluprovodnike RAM memorije su sastavni dio svakog raunara. Zavisno do veliine raunara,
potreban kapacitet RAM memorije se kree od nekoliko desetina Kb za specijalne
mikroraunarske sisteme, do vie Gb za velike super-raunare. Kapacitet RAM memorije
personalnih raunara je najee u granicama 64 do 1024 Mb. Statike memorije su manjeg
kapaciteta po ipu, a koriste se u sistemima gdje se zahtjeva vea brzina pristupa memoriji i
manja potronja struje iz izvora za napajanje. Vjerovatnoa greke kod statikih memorija je
manja nego kod dinamikih, tako da se koriste u sistemima gdje se zahtjeva visoka pouzdanost.
Dinamike memorije, zbog daleko vee gustine pakovanja, za isti kapacitet, zahtjevaju manji
broj integrisanih kola od statike. Potronja struje dinamikih je znatno vea, a prilikom svake
selekcije rada, struja napajanja se imulsno povea za nekoliko desetina mA po ipu. I pored ovog
nedostatka, kada je potreban veliki kapacitet, DRAM memorije se ee koriste jer s obzirom da
imaju veu gustinu pakovanja zauzimanju manje prostora na tampanoj ploi. Zbog manjeg broja
ipova i jednostavnije tampane ploe cena DRAM memorije je nia od cene SRAM memorije
istog kapaciteta.

6. BROJAI

Broja je specijalni tip registra koji ima ugraenu logiku za inkrementiranje. Logika mu
obezbjeuje brojanje navie ili nanie. To znai da dovoenjem impulsa na njegov ulaz broja
prolazi kroz unaprijed definisanu sekvencu stanja. Ulazni impulsi mogu biti taktni impulsi ili
impulsi koji potiu iz nekog drugog izvora, a mogu da se javljaju (generiu) u fiksnim ili
proizvoljnim vremenskim intervalima. Sekvenca stanja moe da slijedi binarnu brojnu sekvencu,
ili bilo koju drugu brojnu sekvencu. Broja koji slijedi binarnu brojnu sekvencu naziva se binarni
broja. U sutini, n-tobitni binarni broja ini n flip-flopova i moe da broji binarno od 0 do 2n
-1.

Postoje sledee dvije kategorije brojaa:

Ripple - promjena na izlazu flip-flopa koristi se kao izvor za okidanje drugih flip flopova.
Drugim rijeima, ulaz Cp nekog ili svih flip-flopova ne okida se zajednikim taktnim
impulsima, nego prelazima koji se javljaju na izlazima drugih flip-flopova.

43
Sinhroni - ulaz Cp svih flip-flopova je povezan na zajedniku taktnu pobudu, a promjena
stanja je odreena na osnovu tekueg stanja brojaa.

6.1. Ripple broja

Logiki dijagram etvorobitnog ripple brojaa prikazan je na slici. Broja ine JK flip-flopovi.
Izlaz svakog flip-flopa povezan je na C ulaz narednog flip-flopa u sekvenci. Na ulaz flip-flopa
koji odgovara poziciji najmanje teine dovode se taktni impulsi. Ulazi J i K svih flip-flopova
permanentno su povezani na logiku jedinicu. Okidanje flip-flopova vri se opadajuom ivicom
taktnog impulsa.

Slika 21. etvorobitni ripple broja

Logika 0 na ulazu Clear postavlja asinhrono sve izlaze brojaa u stanje 0.

44
Slika 22. Brojaka sekvenca binarnog brojaa koji broji navie

Da bi razumjeli rad binarnog brojaa, sagledajmo sekvencu brojanja koja je prikazana na slici.
Broja startuje od binarne vrijednosti 0 a inkrementira se za jedan nailaskom svakog taktnog
impulsa. Nakon odbrojavanja do 15 broja se vraa u stanje 0 i ponavlja brojanje. Vrijednost bita
najmanje teine (Q0) se komplemetira nailaskom svakog taktnog ulaznog impulsa. Svaki put
kada Q0 prelazi sa 1 na 0 on komplemetira Q1. Svaki put kada Q1 prelazi sa 1 na 0 on
komplemetira Q2 itd. Ripple broja moe da broji i nanie. Brojanje nanie se realizuje
povezivanjem komplementarnog izlaza svakog flip-flopa sa Cp ulazom narednog flip-flopa.

Slika 23. Brojaka sekvenca binarnog brojaa koji broji nanie

Prednost ripple brojaa je jednostavan hardver, a nedostatak je taj to unose veliko kanjenje. U
najgorem sluaju stanje na izlazu svakog brojaa se stabilizuje kada se promjena na ulazu
propagira kroz sve flip-flopove. Ilustracije radi, vrijeme potrebno da se promijeni stanje brojaa

45
od 11...11 na 00...00 obuhvata vrijeme propagacije kroz svih n flip flopova. esto je ovako
veliko kanjenje neprihvatljivo kod realizacije brzih kola.

6.2. Sinhroni brojai

Kod sinhronih brojaa ulaz taktnog signala svih flip-flopova je zajedniki to znai da se svi oni
okidaju istim ulaznim impulsima. Posledica ovoga je da svi flip-flopovi simultano mijenjaju
svoje stanje. Na slici je prikazna ema jednog trobitnog sinhronog brojaa. J i K ulazi flip-flopa
A povezani su na logiku 1. Flip-flop B ima povezane svoje ulaze J i K na izlaz flip-flopa A , a J
i K ulazi flip-flopa C povezani su na izlaz AND kola na ije se ulaze dovode izlazi flip-flopova A
i B.

Najvea prednost sinhronih brojaa je ta to ne postoji kumulativno vremensko kanjenje zbog


toga to se okidanje svih flip-flopova vri paralelno. Imajui ovo u vidu, maksimalna radna
frekvencija ovoga brojaa bie znatno via od one koja odgovara ripple brojau. Na primjer, ako
je propagaciono vrijeme svakog flip-flopa 20ns a AND kola 10ns, tada je propagaciono kanjenje
trobitnog sinhronog brojaa jednako zbiru propagacionog kanjenja jednog flip-flopa i
propagacionog kanjenja AND kola i iznosi 20ns + 10 ns = 30ns, tj. maksimalna taktna
frekvencija iznosi ftak = 1/30ns = 33mhz. Sa druge strane, kod trobitnog ripple brojaa ukupno
propagaciono kanjenje iznosi 20ns + 20ns + 20ns = 60ns, dok je maksimalna taktna frekvencija
16.5MHz.

46
Slika 24. Trobitni sinhroni broja koji broji nanie

Tehnika projektovanja sinhronih brojaa identina je tehnici projektovanja sinhronih


sekvencijalnih kola o kojoj smo ve govorili. U prvom koraku se kreira zahtjevana brojaka
sekvenca pomou dvokolonske tabele prelaza, gde prva kolona predstavlja tekue stanje brojaa,
a duga kolona odgovara narednom stanju. Broja prelazi iz tekueg stanja u naredno nakon
aktiviranja taktnog impulsa.

Jedan od veoma vanih aspekata kod projektovanja brojaa (ili bilo kog sekvencijalnog kola)
odnosi se na poetno stanje brojaa, tj. stanje u kome se broja moe postaviti nakon prikljuenja
sistema na napajanje. Naime, ako se broja postavi u neko od svojih redudantnih stanja, mora da
se obezbijedi mehanizam koji e vraati broja u jedno od stanja koje pripada sekvenci brojanja.
Broja koji poseduje ovakve osobine nazivamo samostartujui broja.

47
Slika 25. Mape pobude JK flip-flopova kod brojaa modula 5

Slika 26. Sinhroni broja modula 5 koji koristi JK flop-flopove

Ilustracije radi, kod brojaa modula 5 prikazanog na prethodnoj slici postoje sledea tri
redundantna stanja:

C B A
1 0 1
1 1 0
1 1 1

48
Slika 27. Dijagram stanja samostartujueg brojaa modula 5

Ako se svakom redundantnom stanju naredno stanje specificira kao vaee stanje, tada se broja
moe uiniti samostartujuim. Naredna stanja za redundantna stanja se biraju tako da se redukuje
broj kola potrebnih da se implementiraju jednaine pobude flipflopova. Potpuni dijagram stanja
brojaa modula 5 prikazan je na prethodnoj slici. Ako broja startuje iz jednog od redundantnih
stanja, narednim taktnim impulsom prei e u jedno od vaeih stanja i nastavie da radi
korektno.

7. ZAKLJUAK

49
Logika kola su sklopovi koji su u mogunosti izvravati osnovne logike operacije: I (AND),
ILI (OR) ili NE (NOT), ili izvedene logike operacije kao to su: NI (NAND), NILI (NOR),
Ekskluzivno ILI - XILI (XOR) ili Ekskluzivno NILI - XNILI (XNOR). U zavisnosti od operacije
koju izvravaju dijele se na osnovna logika kola i izvedena logika kola. U zavisnosti od
tehnologije mogu biti: mehanika, elektromehanika, elektronska, optika, kvantna bioloka,
hemijska itd. Osnovna su gradivna jedinica komponenti raunara kao to su aritmetiko logika
jedinica, RAM memorija, ke memorija itd.

Brojni sistem je sistem pomou kojeg se predstavljaju brojevi.

Flip-flop ili bistabil, je sekvencijalni sklop digitalne elektronike koji moe zapamtiti podatak
veliine jedan bit. Elektroniki gledano radi se o bistabilnom multivibratoru, tj. o sklopu koji ima
dva stabilna stanja (logiku 0 i 1). Flip-flop obino ima dva ili tri ulaza na koje se dovode ulazni
impulsi koje u ovom sklopu nazivamo okidni impulsi. Flip-flop moe imati jedan, dva ili tri
ulaza i ima jedan ili dva izlaza. Ulazi se oznaavaju ovisno o vrsti flip-flopa, dok se izlazi
oznaavaju s Q (logiko stanje flip-flopa) i {\displaystyle {\overline {Q}}} {\displaystyle
{\overline {Q}}} (logiki komplement izlaza Q). Promjena iz jednog stabilnog stanja u drugo
naziva se okidanje flip-flopa.

Registar, u raunarstvu procesorski registar, je poseban dio procesora koji slui za uvanje
informacija koje su potrebne procesu tokom izvravanja programa. Osnovne karakteristike su
velika brzina, ali mali kapacitet. Brzina je posledica rada na taktu centralnog procesora, to je u
dananjim primenama znaajno vie od takta operativne memorije. Kapacitet je posledica
injenice da procesor ima mali deo izdvojen za skladitenje podataka spram operativne memorije
koja je ogromna.

8. LITERATURA

50
[1] Albert Paul Malvino, Elektronika digitalnih raunara, Book Company, New York, 1977.
[2] Balch, M., Complete Digital Design: A Comprensive Guide to Digital Electronics and
Computer System Architecture, New York, 2003.
[3] Lazi, B., Raunarske tehnike, Beograd, 2006.
[4] Obradovi, S., Raunari, Beograd, 2005.
[5] Tei, S., Elektronika Impulsna i Digitalna kola, Beograd, 1992.

51

You might also like