You are on page 1of 2

MULTIPLEXER

VHDL Code:

entity mux_2_1 is

Port ( I0 : in STD_LOGIC;

I1 : in STD_LOGIC;

sel : in STD_LOGIC;

f : out STD_LOGIC);

end mux_2_1;

architecture Behavioral of mux_2_1 is

begin

f <= ((not sel) and I1) or (sel and I0);

end Behavioral;

Schematics:

Tiiming Diagram:

You might also like