You are on page 1of 588
———«< - ae \ Marc J. Madéu > a undamentals of alld Dal ‘gx Sciencefof Miniaturization Ba Editions ee ‘On the cove: Kaji chractes fr stom” by Lutz and Egle, BM, Almaden. An example of manipulation/nechanosyathesis of im atoms ona Ca surface with an STM. (Courtesy of D. Eiger) Maou, Mare! Fundamentals of microfabrication : the science of miniaturization | Mare 3. Madou— aad ed p.em. Includes bibliographical references and index ISBN 0-8493-0826-7 ilk. paper) 1, Misrclectronios, 2-Interated circite— Design and consiction. 3. Microelectromoctanicalsystems-—Design aad construction, 4. Machining. 5. Microelectronic packaging. 6. Laers—indusealappicaions.L. Tie 1K7836.M33 2001 62138182421 20108273, “Tis book centins information obtined fom authentic and highly regarded sources. Reprinted materi quoted wth permission, and sources are indicated A side varity of references ae sed, Reasonable efor have heen made to publish reliatle dta snd information, but te autor andthe publster cannot assume responsibility for the validity ofall aerials or forthe consequences of ther use ‘Neither this book nor any part my be repraduced oF transmitted in any form ce by any means, electronic or mechanical, including phorecopying, rnicroftming, and recording or by any information storage or reteval system, witout prio permission in writing from the publisher “The ceasent of CRC Press LLC dass nol extend to copying for general distsibuon, for premotion, for creating new wks, efor resale. Specific permission must be obsined in wating from CRC Press LC for such copying Dinca inguris to CRC Press LLC, 2000 NW. Corporate Blvd. Boos Raton, Florida 33431 “Trademark Notke: Pratuct or corporte nares ray be trademarks or riser ademas, sed ane used only for Menifcaion and explanation, witout {stent 1 inrnge. Visit the CRC Press Web site at www.crepress.com (© 2002 by CRC Press LLC [No claim to original US. Goverment works Interational Standard Book Number (8495-08267 Liteary of Congress Card Number 2001083273 Protedin the United Suter of Amiricas 234567890 Printed on acre paper Table of Contents Chapter 1 Lithography .. Introduction . - Historical Note: Lithography’s Origins. Photalithography Overview. Introduction Masks... Spinning Resist and Soft Baking Exposure and Postexposure Treatment Development De-scurmming and Postbaking Resists eons Wafer Priming. ‘Wafer Cleaning and Contaminants: The Clean Room. Resist Stripping Critical Dimension, Overall Resolution, Line Width.-.ses.mennnnntnineminrnnsenonnnannennn Lithographic Sensitivity and Intrinsic Ress Sensitivity (Photochemical Quantum Efficiency) Lithographic Sensitivity. Intrinsic Sensitivity of a Resist (Photochemical Quantum Efficiency). Resist Profiles, Overview of Profile Types. Lift-Off Profile. Contrast and Experimental Determination of Lithographic Sensitivity Resolution in Photolithogeaphy. Introduction Resolution in Contact and Proximity Printing (Shadow Printing) Projection Printing, Planarization Photolithography Resolution Enhancement Technology... Intioduction.. Strategies for Improved Resolution through Improved Resist Performance Strategie for Improved Resolution through improved Mask Technology. Beyond Moore's Law... sa Introduction Moore's Law. Koreweil Next-Generation Lithographies- = eens cia Introduction: i 48 Charged-Pantcle-Beam Lithography . a Emerging Lithography Techaol Introduction Scanning Probe Lithography. Very Thin Resist Layers Sofi Lithography. 3D Lithography Methods Examples. LI Protein Patternin 12 Problems... References... Chapter 2 Pattern Transfer with Dry Etching Techniques .. Introduction Dry Etching: Definitions and Jargor Plasmas or Discharges. Physics of DC Plasmas, Spatial Zones in the Glow Discharge... Paschen’s Law... Physics of RF Plasmas. ysl icing on Eching oF Spring and lor-Bexm Mili Introduction.. ec Sputtering ot Ion Etching Jon-Beam Eiching or lon-iieam Milling. Ftching Profiles in Physical Etching... Plasma Etching (Radical Etching) Introduction.. Reactor Configurations... Reaction Mechanism Loading Effects—Uniformity and Nonuniformity.. Atmospheric Downstream Plasma Etching or Plasma Jet Etching Ton Energy vs. Pressure Relationship in & Plasma. Physical/ Chemical Etching.. Introduction, Energy-Driven Anisotropy. Inhibitor-Driven Anisotropy... Dopant-Driven Anisotropy sn Gas Compaitons in Dry Etching Simplifying Rules Deep Reactive lon Etching (DRIE}.. Introduction. New Plasma Sources ‘Common Probleme Associated with DRIE.. Vapor-Phase Etching without Plasma (XeF,).. Dry Etching Models—in Situ Monitoring. ‘Comparing Wet and Dry Etching.. Examples 21 Via Etching in Sin. 2.2 Single-Crystal Reactive Etching and Metallization (SCREAM) 23 Post-CMOS Processing for High-Aspect-Ratio Integrated Silicon Microstructures Dry Etching of Polymeric Materials. jon Wet and Dry Etching... Introduction .. 123 Silicon Growth un 2123 Doping of Si... 127 Introduction 127 Conductivity of Semiconductors». 128 128 Si Doping by Diffusion 130 Doping by Implantation, ‘Oxidation of Silicon 131 Kinetics 131 Orientation Dependence of Oxidation Kinetics 133 Properties of Thermal SiO,. 13 Physical Vapor Deposition 134 Introduction 1 ‘Thermal Evaporation, 138 Sputtering 138 Molecular Beam Epitaxy. i : . 140 Laser Sputter Deposition or Ablation Depositio : seca TAL Ton Plating, 13 Cluster Beam Technology. ir) ‘Chemical Vapor Depositio 144 Introduction 144 Reaction Mechanisms 144 Step Coverage enn 146 Energy Sources for CVD Processes 147 Overview of CVD Process Types... 148 Epitaxy. 152 CVD Equipment 134 Silk-Sereening or Screen Printing 154 Introduction 154 How It Works 158 ‘Types of Inks. ow 153 Sol-Gel Deposition Techniqu 156 Doctor's Blade or Tape Casting. 157 Plasma Spraying wns 157 Introduction 157 How It Works. 137 Deposition and Arraying Methods of Organic Layers in BIOMEMS 159 Introduction _ nes 159 Deposition Methods for Organic Material 159 Patterning of Organic Materials. 16 Thin vs. Thick Film Deposition. 168, Selection Criteria for Deposition Method... 170 Examples. 170 3.1 Spray Pyrolysis. 170 3.2. Plasma-Beain Deposition even ee ATE: 3.3 Proposed Scenario for Continuous ‘Manufacture of Polymer/Metal Based Biosensors —Beyond Batch. 174 Problems.. : S 174 References. 178 Chapter 4 Wet Bulk Micromachining....seoss sais Introduction enon 185 Historical Note aa cos 185 185 Miller Indices... cus 18s Crystal Structure of Silicon. cae 186 Geometric Relationships between Some Important Panes in the Silicon Lattice 137 Silicon as Substrate 198 Slicon as a Mechanical Elementin MEMS 195 Introduction Strese-Strain Curve and Elasticity Constants 195 Residual Stress in Si Yield, Tensile Strength, Hardness, and Creep Piezoresistivity i Bending of Thin Si Plates. Silicon 38 a Mechanical MEMS Materiak Summary. Other Si Sensor Properties. ‘Thermal Properties of Silicon. Silicon Optical Properties. Wet Isotropic and Anisotropic Fiching Introduction. Isotropic Etchin Anisotropic Etching e0.- Chemical Etching Models... Etching with Bias and/or Illumination ofthe Semiconductor Introduction Electropolishing and Microporous Silicon, i Eich-Stop Techniques eseornn sina Introduction . Boron Etch Stop. Electrochemical Etch Stop.. Photo-Assisted Flectrochemical Ftch Stop (for n-Type Silicon) Photo-Induced Preferential Anodization (for p-Type Silicon)... Etch Stop at Thin Insoluble Film: Issues in Wet Bulk Micromachining. Introduction. si Extensive Real Estate Consumption Comer Compensation Computer Simulation SofWate.-euresennnnsnsn Examples 4.1 Dissolved Water Process. 4.2. AnElectrochemical Sensor Array Measuring pH, CO; and O, in a Dual Lumen Catheter 4.3 Disposable Electrochemical Valves. 4.4. Self Aligned Venical Mirrors and V-Grooves for a Magnetic Micro-Optical Matrix Switch 249 Problems. References... Chapter 5 Surface Micromachining 259 Introduction 259 Historical Note. 260 1-261 2261 Mechanical Properties of Thin Films. Introduction... Adhesion. sz i 262 Stress in Thin Films. 262 Stress-Measuring Techniques. 263, Strength of Thin Films. 272 Surface Micromachining Processes 1-272 Basic Process Sequence Fabrication Step Details. Control of Film Stre Dimensional Uncertainties Scaling Processes in Surface Micromachining IC Compatibility. Poly-Si Surface Micromachining Mo« Porous Poly Sin. Hinged Pol 272 273 277 12279 om 279 280 283 283 son 283 Polysilicon... Miili-Scale Molded Polysilicon Structures. Surface Micromachining Modifications Not Involving Polyilicon SOI Sucface Micromachining... Resists as Structural Elements and Molds in Surface Micromachining, > of Bulk Micromachining with Surface Micromachining... Materials Case Studies, Introduction , Polysilicon Deposition and Material Structure. Amorphous and Hydrogenated Amorphous Silicon. Silicon Nitride... ee €YD Silicon Dioxides. Metalsin Surface Micromachin Pubprymalie Damoad and SIC Hm Gos. Examples, 5.1 Analog Devices Accelerometer. 5.2. TI Micromiicross 5.3. Design of SOI-Based High-Sensitivity Piezoresistive Cantilevers for Label-Less Sensing. Problems. . References: Chapter 6 LIGA and Micromolding Introduction. LIGA—Background. History synchrotron Orbital Radiation (SOR). Access to the Technology. LIGA and LIGA-Like Process Steps X-Ray Masks oon Choice of Primary Substrate. Resist Requirements... ‘Methods of Resist Application Exposure Development... ~ ‘Comparison of Master Micromolé Fabrication Methods Metal Depesition, Molding... Demolding.. Sacrificial Layer. Alternative Materials in LIGA.. Examples. : 6.1 Electromagnetic Micromotor 6.2 LIGA Spinneret Nozzles. 63) LIGA Fibe-Chip Coupling Problems, References. Chapter 7 ‘A Comparison of Miniaturization Techniques: Top-Down and Bottom-Up Manufacturing... Introduction Absolute and Relative Tolerance in Manufacturing. Historical Note: Haman Menuficturing, Section I: Top-Down Manufacturing Methods... Introduction Chemical Microfabrication .. Electrochemical Machining Wet vs. Dry Microfabrication Electrothermal Process... Mechanical Processes. ‘Comparison of Top-Down Micromachining Techniques.. Section II: Bottom-Up Approackes. Introduction Nature's Engineering Feats How to Apply Nature's Tools-Merging of Biotechnology with Nanofa 7.2 An Optical Immanesensor. Problems... References Chapter 8 Modeling, Brains, Packaging, Sample Preparation, and Substrate Choice... Introduction Modding... Introduction Finite Hlement Anal CAD for MEMS, Future of CAD for MEMS.. Brains in MEMS oon Introduction State of he Artin Computer Development Brains in Nature.. « Anificial Intelligence... Packaging. Introduction nn. Packaging in Integrated Circuits vs in Mechanical Micromachines. Dicing... 3 Cavity Sealing and Bonding. Higher Levels of Packaging—2 to LS... Stress lolation and Thermal Management smiecanarnnenre Multichip Packaging wm. Connections between Layers (Vias x Partitioning sonon _ a Micro and Nano Assembly Biccompatibility. Sample Preparation in Molecular Diagnostics Introduction “Types of Samples. Micromachining and Surface Microstructusing of Ceramics, Polyimide MEMS, Examples enennanne 7 ~ 8.1 Packaging of a Variable Entrance slit fora Spectrophotome 8.2. A Miniature Surface Plasmon Resonance (SPR) Sensor—Spreeta™. 523 524 Problems.. References... Chapter 9 Scaling, Actuators, and Power in Miniaturized Systems.. Introduction Scaling. Introduction, 535 535, 536 536 536 537 37 538 539 540 540 540 Micro Intuition and Nature sa Guide nm Scalingof Length, Surface Area, and Volume... Scalingin lying and Swimming om Scalingand Surface Tension. Scalingand Diffusion. Scaling of Minimal Analytical Sample Siz. Scalingin Hlectrochemistry Scalingin Optics Scaling of Sirength-to- Weight Ratio and Inertia. 542 Fundamental Frequency and Quality Factor. z 543 Muscle, Flagellum, and Protein Motors 543 Trimmer’s Vertical Bracket Notation . 545 Actuators. 547 547 547 S74 579 579 580 583 584 587 587 587 590 Introduction... Electric Actuators Magnetic Actuators. Fluidics Introduction... Macroscale Laws for Fluid Flow. Breakdown of Flow Continuum... Capillary Forces. Thermal Actuators. Introduction.. Macroscale Laws in Heat Conduction svn Breakdown of Continuum in Heat Transfer... Example Thermal Actuator 591 Scaling in Analytical Separation Equipment =) Insroduction 593 ‘Commonly Used Terms in Separation Chemistry. sn 54 Band Broadening in the Colunu 595 ‘Other Band-Brosdening Effects 596 Other Actuators. 600 Power in MEMS. 600 Introduction... MEMS Batteries and Capacitors. Beam Energy to the MEMS ..... . Heat-Poweted MEMS wo.cnn sn Kinetic Energy Driven MEMS, 7 Combustion Engines in MEMS... 600 601 601 602 602 602 Examph a 9.1 The Bead Array Counter (BARC). z 02 9.2 The Nanogen Chipnssenenan 602 9.3. Field Ionization Sources... Problems 605 References. Chapter 10 Miniaturization Applications ....:s0 Introduction Definitions ard Classification Method. Introd Definition ‘Chasifcation Method. Decision Tree. Specifications. Scaling Characteristics of Micromechanisms.. Checklist Overali Market for Micromachines. Introduction Why Use Miniaturization Technology? From Perception to Realization Overall MEMS Market Size. MEMS Market Characteristics. MEMS in the Automotive Market Introduction. Pressure, Accelerometers... Angular Rate Sensors and Gyroscopes. wou Conclusions, 2 MEMS in Medical and Biom Introduction. Market Character. In Vitro Diagnostics Jn Vive MEMS Applications. Miniaturization in Biomedical Instruments... Environmental Monitoring... Introduction. MEMS and Solid State Gas Sensors and Solid State Gas Sensor Arrays Electrochemical Gas Sensors si Optochemical Gas Sensor. Instrumentation. . Industrial/Automati Introduction ISFETs.. Microhygromet]t enorenon Valve 11/Peripheral Introduction. Memory Read/Write Devices InkJet Cartridge. nnnsnse Other MEMS IT Application Telecommunications. Introduction... Optical Communications Wireless Communications Problems. References Appendix A Metrology Techniques for MEMS.. 669 Appendix B Living Book... Appendix C Si and SiO, Etch Rates in KOH Appendix D Genetics eeecceaeeseneveneenntenstensntensennse ‘The 20 Amino Acids Found in Biological Systems.e-.0rmn ‘The Genetic Code.nomn Appendix E Suggested Further Reading. Books on Top-Down and Bottom-Up Miniaturization i 679 Journals and Periodicals on Micromachining and Sensors... 682 Series on Micromachining and Sensors 62 Market Studies on Micromachining and Sensors. 683, Important Proceedings/Conferences on Micromachining and SenSOFSnon . ei ORS Hilton Head Conferences. 683 MEMS 683 Chemical Sensors. “684 International Conference on Solid-State Sensors and Actuators (Transducers) 84 International Society for Optical Engineers (SPIE} 684 MicroTTotalAnalysis Systems (uTS) " “684 Nanotech 684 Eurosensor. i 684 Commercial conference planners “684 Knowledge Foundation 84 BRC.. 684 CHI 84 Appendix F MEMS Companies... Appendix G Glossary -scsenee 703 Index. Lithography ‘Miniaturization science isthe scence of making very small things n top-down ‘micro- and nanomachining, ths is done by building down from bigger chunks of materia; in bosomvup ranodhemisry itis by building wp feom sealer building blocks, Boks require an excelent wndersanding ofthe intended appl cation, different manufacturing options, materials properties, and scaling laws The resulting tree-dinensinal siructures ranging in size from subwenitcters to subnanometers, include sensors, actuators, mcrocompenents, and mirosys- tems. Why must our bodies be so large compared with the atom? Erwin Schrodinger, What Is Lif Discovery consists of looking at the same thing as everyone else and thinking something different. Introduction Many top-down miniaturization methods start with lithogra- phy, the technique used to transfer copies of a master pattern ‘onto the surface of a solid material such as a siicon wafer. In this chapter, we review different forms of lithography, detailing those that differ most from the miniaturization processes used, to fashion integeated circuits (1C8). AA short historical note about the origins of lithography is followed by a description of photolithography, including devel- ‘opments that have allowed the printing of the ever-shrinking features of modern ICs. After reviewing the limits of photoli- thography, we detail alternatives, including x-ray and charged- particle (electron and ion) lthographies, followed by promising techniques inthe early research and development (R&D) stage. Historical Note: Lithography’s Origins After experimenting with various resins in sunlight, Nicéphore Nigpce managed to copy an etched print on oiled paper by placing it over a glass plate coated with bitumen (asphalt) dis- solved in lavender oil (France, 1822), After two or three hours ‘of sunlight, the unshaded areas in the bitumen became hard as compared to the shaded areas, which remained more soluble and could be washed away with a mixture of turpentine and Albert-Seent Gyorgi hhvender cil, Niépce’s concoction, we will learn below, corre- sponds to a negative-type photoresist Five years later, in 1827 (talk about fas turnaround time!) using strong acid, the Pari- an engraver Lemaitre made an etched copy of an engraving of Cardinal d’Amboise (Inset 1.1) from a plate developed by [Niepce. The liter copy represents the earliest example of pat- tern transfer by photolithography and chemical milling. The sccuracy of the technique was 0.5 10 1 mm! ‘The word lithography (Greek forthe words stone [lithos) and to write grdphein}) refers to the process invented in 1736 by AloysSenefelder Senefekler found that stone (he used Bavarian limestone), when properly inked and treated with chemicals could transfer a carved image onto paper. Due to the chemical treatment of the stone, image and noaimage areas became oil receptive (water repellent) and oil repellent (water receptive), respectively atracting ink onto the image area and attracting water on nonimage areas? ‘The Nigpce process heralded the advent of photography. Much later, photomasking, followed by chemical processing, led to the photolithography now used in fabricating ICs and in miniaturization science, Not until World Wa TI, more than 100 yearsafter Nigpce and Lemaitre, did the first applications of the printed eieuit board come about Interconnections were made by soldering separate electronic components to a pattern of “wires” produced by photoeiching a layer of copper foil that Engraving of Cardinal d'Amboise ‘The eartest example of photolithography followed by wet etch- Ing. (Photograph trom the Science Musoum, Courtosy of the Royal Photographic Society) Inset 1.1 ‘was laminated toa plasticboard. By 1961, methods were devised ‘whereby a photoetching process produced large numbers of ttansistors on a thin slice of silicon (Si). At that time, pattern resolution was no better than 5 um. Today, photolithography, xcray lithography, and charged-partile lithography all achieve submicron printing accuracy. The Coppermine PIs chip from Intel (introduced in ate 1999), for example, incorporates 20.18 um minimum feature size and is fabricated using UV photoli- thogeaphy. Photolithography Overview Introduction ‘The most widely used form of lithography is photolithography. industry, pattern transfer from masks onto thin films is accomplished almost exclusively via photolithography. The combination of accurate registration and exposing a series of successive patterns leads 10 complex multilayered ICs. This cesentially two-dimensional process has a limited tolerance for nonplanar topography, creating a major constraint for building non-IC miniaturized systems, which often exhibit extreme topographies. Photolithography has matured rapidly and con- stantly improved inits ability to resolve ever smaller features. For the IC industry, this continued improvement in resolution has impeded the adaptation of alternative, higher-resolution lithography techniques such as x-ray lithography. Research in high-aspect-ratio resist features, to satisfy the needs of both IC and non-IC miniaturization, is als finally improving photoli- thography’s capacity to cover wide ranges of topography. Photolithography and pattern transfer involve a set of process steps as summarized in Figure 1.1. As an example, we use an Fundamentals of Microfabrication EE <0. (-1 5) type seen Oxidation Negative photoresist coat (+1 jm) a ... 'B Lithography Preperation WV ight Glass Plate Opaque Patter Hardened Rasiat Exposure LL) Unexposed Photoresist Removed by Developer Og ZZ E SiO Etched with NH F'+ HE F Exposed Photoresist Removed with Hz $04 Figare 11 Bisic photolithography and pattern transfer Example uses an oxidized Si wafer and a negative photoresist system, Process steps inchide exposure, development, oxide etching, and resist stripping. Steps A through F are explained in the text. ‘oxidized Si wafer and a negative photoresist system. For sim- plicit, not al of the steps are detailed inthis figure, as they will bbe covered in subsequent text. A short preview follows. [An oxidized wafer (A) is costed with a 1 pm thick negative photoresist hyer (B). After exposure (C), the wafer is rinsed in a developing solution or sprayed with a spray developer, which removes the uneaposed areas of photoresist and leaves a pattern of bare and photoresist-coated oxide on the wafer surface (D). ‘The photoresist patter is the negative image ofthe pattern on the photomask. In a typical next step after development, the wafer is placed in a solution of HF or HF + NH,F, meant to attack the oxide bat not the photoresist or the underlying silicon (£). The photoresist protects the oxide arcas it covers. Once the ‘exposed oxide has been etched away, the remaining photoresist can be stripped off with a strong acié such as H,SO, or an acid- ‘oxidant combination such as H,SO,-Cr,0y attacking the pho toresst but not the oxide or the silicon (F). Other liquid strip- pers include organic solvent strippers and alkaline strippers (with or without oxidants). The oxidized Si wafer with the etched windows in the oxide (F) now awaits further processing. Lithography This might entail a wet anisotropic etch of the Siin the oxide ‘sndows, with SiO, as the etch mask. Masks ‘he stencil used to repeatedly generate a desired pattern on ssis-coated wafers is called a mask. In use, a photomask—a scary optically at glass (transparent to near ultraviolet [UV]) or quartz plate (transparent to deep UV) pater metal (eg. an 800-A-thick chromium layer)—is placed indirect contact with the photoresist-coated surface, and the safer i exposed to UV radiation. The absorber pattern on the potonask is opaque to UV light, whereas glass and quartz are sanspacent. The absorber pattern on the mask is generated by cbeumlithography, technique thatproduceshigher resolution tan photolithography. A light feld or dark field image, known ‘smack polarity (Inset 1.2, is then transferred to the semicon: dxcorwrface. Tis procedure results in 1: image ofthe entire sik onto the silicon wafer ‘The described masks, making ditect physical contact (also sired to as hard contact) with the substrate, are called comiact mss, Unfortunately, these masks degrade faster through wear ‘ian do noncontact, proximity masks (also refered to as soft entct marks), which are dighty raised, say 10 to 20 jum, above te water. The defects resulting from hard contact masks on but the wafer and the mask make this method of optical pat- waa rnafer unstable for very large scile integration (VLSI) sanufscturing. In VLSI, integrated circuits have between 1%6000 and | million components. We review hard contact rks because they are sll in use in R&D, in. mask making, island for prototyping, Contact mask and proximity mask Potingare collectively known as shadow printing. A more ci- Slemahod af masking is projection printing where, rather than Ping a mask in direct contact with (or in proximity of) a ‘afer, th photomask is imaged by a high-resolution lens system ont the rexst-conted wafer. Inthe ater case. the only imi to Light field and dark field Mask-reticle polariies for afield effect tansistor | | showing the gate and centacs. an absorber om Inet 2 the mask lifetime results from operator handling. The imaging Jens can reduce the mask pattern by 1:5 or 1:10, making mask fabrication les challenging. ‘The design of ectron beam generated masks for 1Cs and ‘miniaturized machines is generally fairly straightforward and requires some suitable computer aided design (CAD) software and a platform on which to run it. Electron-beam lithography is discussed late in this chapter, and mask design and suitable CAD softwarevwill be addresied in Chapter. In miniaturization science, one often is looking for low-cost and fast-turnaround methods to fabricate masks, This may involve manually drawn patterns on cat-and-peel masking films and photo reduction, affording fast turnaround without relying on outside photo- ‘mask services. Alternatively, it may involve direct writing on a photoresit-ccated plate with a laser ploster (~2 jm resolu tion}* Simpler yet, using a drawing program such as Canvas (Deneba Systems, Inc), Freehand (Macromedia, Inc., lusta- tor" (Adobe Systems Inc.) oF L-Edit (Tanner Research, Ine.). mask design can be created on a computer and saved as a Post- Script fil to be printed witha high-resolution printer (say 4000 pion a transparency." The transparency may then be clamped between a presensiized chrome-covered mask plate (ie. ven- dor such as Nanofilm has preapplied the resist) and a blank plate. After exposure and development, the exposed plate is put ina chrome etch for a few minutes to generat the desired metal pattern, and the remaining resist is stipped off Spinning Resist and Soft Baking ‘A.common step before spinning on a resist with Si asa substrate is to grow a thin layer of oxide on the wafer surface by heating ito between 900 and 1150°Cin steamor ina humidified exygen stream (see Figure |.1A). Dry oxygen also works, but wet axygen and steam produce faster results The oxide can serve as « mask for a subsequent wet etch or boron implant. As the frst step in the lithography processitselfa thin layer of an organic polymer, a photoresist sensitive to ultraviolet radiation, is deposited on the exide surface (see Figure 1.1B). The photoresist is dispensed froma viscous solution ofthe polymer onto the wafer lying on a wafer platen in a resist spinner (Inset 1.3)" A vacuum chuck holds the wafer in place. The wafer is then spun at high speed, between 1500 and 8000 rotations per minute (rpm), depending on the viscosity and required film thickness to make a uniform film, At these speeds, centrifugal force causes the solution 10 flow tothe edges, whereit builds up until expelled when surface tension is exceeded. The resulting polymer thickness, T; is a fanction of spin speed, solution concentration, and molecular weight (measured by intrinsic viscosity). The empirical expres- sion for T is given by: an (© = rotations per minute (rpm) Fundamentals of Microfabrication Resist spinner and on-line film thickness monitor Photodiode array spectrometer 450.950 nm Mac G4 High-speed sta acquistion| Photoresist is dispensed on a waler laying ona water platen. ‘The water is spun at high ates to make a unitrm thin resist coating, With uniformities of +10 A being spectied for waferto-wafer coatings, each coating Parameter (resist dispense rate, dispense volume, 2pin speed, beck temperature, ambiont humidity, and temperature, et.) must be optimized. A realtime, in stu thickness monitor can provice full optiizaton Possibiies of he photoresist coatng process. The system shownis a multiwavelength reflection spectrometer. Reflected light containing the interference profle is analyzed and the resist tHickness is deduced. (From Metz otal, Somicond. Int, 15, 68-69, 1982. With permission.) eset 13 Once the various exponential factors (cB, and +) have been determined, Equation 1.1 can be used to predict the thickness of the film that can be spur for various motecular weights and solution concentrations of «given polymer and solvent system.” “The spinning process is of primary importence to the effec- tiveness of patterntransfer. The quality of te resist coating deter- imines the density of defects transferred to the device under construction. The resis film uniformity across a single substrate and from substrate to substrate must be +5 nm (for a 1.5 ym film, thisis 0.396) to ensure reproducible ine widths and devel ‘opment times in subsequent steps. The ccating thickness ofthe thin, glassy resist film depends on the chemical resistance required for image transfer and the fineness of the lines and spaces tobe resolved. The application of too much resist results in edge covering or run-out, illocks,and cidges, reducing, man~ ‘ufacturing yield ‘oo litle resist may leave uncovered areas. For silicon integrated circuits, the resis thickness after prebaking (see below) typically ranges between 0.5 and 2 um, For miniaturized 3D structures, much greater ress thicknesses are often required, In the later case, techniques such as casting, plasma polymeriz, ing ofthe resist, and the use of thick sheets of dry photoresist rephce the ineffective resist spinners. layer 1 em thick and above hhave been produced experimentally The challenges involved in making thicker resist coats for high-aspect-ratio miniaturized ‘machinery will be discussed further in Chapter 6. Optimization of the “regular” photoresist coating process in terms of resist dispense rate, dispense volume, spin speed, ambient tempera- ture, and humidity presentsa growing challenge as 1 jm resist thickness with a repeatability of £10 A (40.196) is becoming the norm. The microelectronic industry in 2001 was dealing with feature sizes of 0.18 pm and below, and for these smaller feature sizes, control over thinner and thinner resist layers is needed. An on-line film thickness monitor, possibly a technique based on reflection spectroxcopy (Inset 1.3), will become essential for sta~ tistical process control of such demanding photoresist coatings.* Afier spin ccating, the resist still contains up to 15% solvent and may contain built-in stresses, The wafers are therefore soft baked (also prebaked) at75 10 100°C for, say, 10 min to remove solvents and stress and to promote adhesion of the resist layer to the wafer. The optimization of the prebsking step may sub- stantially increase device yield. Exposure and Postexposure Treatment Aver soft baking, the resst-coated wafers are transferred to some type of illumination or exposure system where they are aligned with the featureson the mask (see Figure 1.1C). For any Tihographie technique to be of value, it must provide an align rent technique capable of a superposition precision of mask and wafer that is a small fraction of the minimum feature size. {In the simplest case, an exposure system consists of s UV lamp, iluminating the resist-ccated wafer througha mask without any. lenses between the two. The purpose of the illumination is to deliver light with the proper intensity, directionality, spectral characteristics, and uniformity across the wafer, allowing a nearly perfect transfer or printing of the mask image onto the resist in the form of a latent image. In photolithogeaphy, wavelengths of the light source used for exposure of the resist-coated waler range from the very short wavelengths of extreme ultraviolet (EUV) (10to 14 nm) to deep ultraviolet [DUV) (150 to 300 nm) to near ultraviolet (LV) (350 to 500 nm). In near UV, one typically uses the g- line (438 am) or ‘line (365 nm) of a mercury lamp. The brightness of most shorter-wavelength sources is severely, reduced in comparison to that of longer-wavelength sources, and the addition of lenses further reduces the efficiency of the ‘exposure system. For example, the total collected DUV power for al kW mercury-xenon lamp in the 200 to 250 nm range isonly 30 1 40 mW; the additional optics absorb more energy of the short wavelengths passing through them. As a conse- Lithography ‘quence, with shorter wavelengths, higher resist sensitivity is required, and newer DUY sources that produce a higher flux ‘of DUY radiation must be used. For example, KrF excimer laser with a short wavelength of 248 nm and a power of 10 to 20 W at that wavelength is an option. In general, the smallest feature that can be printed using projection lithography is roughly equal to the wavelength of the exposure source, in this example, 248 nm would be expected. The same lase, in combination with some sophisticated revolution-enhancing techniques (RET), is used to produce the most advanced circuits with transistor gate features as small as 160 nm. RET methods (see below) enable one to go quite a bit beyond the conventional Rayleigh diffraction limit. Other candidate exposure systems of the future, but not yet out of the labora: tory, include two DUV excimer lasers: the ArF at 193 nm and the F, at 157 nm, The consensus candidate for the next gen- eration of lithography isphotolithography using 193m light from ArF lasers. In the case of EUV, a plasma or synchrotron source and reflective optics are used. Refractive optical ele ments are too absorbing at those wavelengths.” The prospect ‘of using EUV as a commercial tool i till in the early research Phase and is discussed below under Next-Generation Lithog- raphies (page 48). ‘The incident light intensity (in Wier?) multiplied by the exposure time (in seconds) gives the incident energy (J/em) or dose, D, across the surface ofthe resist film. Radiation induces a chemical reaction in the exposed areas of the photoresist, altering the solubility ofthe resistin a solvent either directly or indirectly via a sensitizes During the ltent-image-forming reaction, the sensitizer in the resist usually bleaches in other words, exposed resist is ren- dered transparent to the incoming wavelength. This bleaching allows the use of thick flms with high absorbency, since light will each the substrate through the bleached resist. The absor- beney of the unexposed resist should not reach 40% so as to avoid degradation of the image profile through the resist depth, 2s too large a percentage of the light is absorbed in the top layer. On the other hand, with the absorbency far below 40%, expo: sure times required to form the image become too long. The smaller the dose needed to “write” or “print” the mask features ‘onto the resist layer with good resolution, the better the litho: graphic sensitivity of the resist Postexposure treatment is often desired, because the reactions initiated during exposure might not have run to completion. To halt the reactions or to induce new ones, several postexposure tteatmentsare in use: postexposure baking, flood exposure with ther types of radiation, treatment with reactive gas, and vac- ‘vam treatment Postexposure baking (sometimes i and treatment with reacive gas are used in image reversal and dry resist development. In the case of a chemically amplified resist, the postexposure bake is most critical, Although reactions induced by the catalyst that forms during exposure take place 21 room temperature, their rate is highly increased by baking at 100°C. The precise control of this type of postexposure bake critically determines the subsequent development itself. Image reversl, dry resist development, and chemically amplified resists will be treated below. Development Development transforms the latent resist image formed during ‘exposure into a relief image that will serve as a mask for further subtractive and additive steps (see Chapters 2 and 3, respec- tively), During the development process, selective dissolving of resist takes place (see Figure 1.1D). Two main technologies are available for development: wet development, widely used in circuit and miniaturization manufacture in general, and dry development, which is starting to replace wet development for some of the ultimate line width resolution applications. Wet development by solvents may be based on at least three different types of exposure-induced changes: variation in ‘molecular weight of the polymers (by cross-linking or by chain scission), reactivity change, and polarity change! Two main types of wet development setups are used: immersion and spray (Inset 1.4). During immersion developing, cassette-loaded wafers are batch-immersed for a timed period in a developer bath and agitated at a specific temperature. During spray development, fan-type sprayers direct fresh developing solution across wafer surfaces. Positive resists are typically developed in aqueous alkaline solutions, and negative resists in organic ones. Aqueous development is highly favored for health reasons. The aqueovs development rate depends on the pH of the developer and the temperature, which needs to be controlled to within #0.5°C’ Surfactants and other wetting ‘agents added to the developer ensure uniform wetting, and buffers provide « more stable operating window and a longer lifetime, The newer negative resist formulations also may be developed in aqueous solvents. The use of organic solvents leads to some swelling ofthe resist (especially for negative resists; see below) and loss of adhesion Spray developer Fresh developing solution is directed across water sur- faces by a fan-type spraying nozze. The renewal of devel- ‘oper allows @ uniform bath strength to be maintained. Inset 4 of the resist tothe substrate. Dry development overcomes these problems, as it is based either on a vapor phase process ar a plasma. In the latter, oxygen-reactive ion etching (O,-RIE) is used to develop the latent image. The image formed during exposure exhibits a differential etch rate to O,-RIE rather than differential solubility wo a solvent.’ Dry developed resist should not be confused with dry flm resists, which are resists that come in flm formand are laminated onto substrate rather than spin couted. Dry-developed resists, such as the DESIRE process, ‘here the surface of the exposed resist is treated with a silicon: containing reagent, will be discussed below. With continued pressure by the U.S. Environmental Protec- tion Agency (EPA) fora cleaner environment, dry development as well as dry etching (see Chapter 2)are bound to get increasing attention De-scumming and Postbaking ‘A mild oxygen plasma treatment, so-called de-scumming, removes unwanted resist left behind after development. Nega- tive, and toa leser degree positive, resists leave a thin polymer film atthe resist/substrateintertice. The problem is most severe {in small (<1 um) high-aspect-ratio structures where the mass transfer of a wet developer is poor. Patterned resist areas are also thinned in the de-scumming process, but this is usually of litte consequence. Before etching the substrate or adding 2 material, the wafer ‘must be postbaked. Postbaking or hard baking removes residual solvents and anneals the film i promote interfacial adhesion of the resist that has been weakened either by developer pene- tration along the resst/substrate interface or by swelling of the resist (mainly for negative resists). Hard baking also improves the hardness ofthe film. Improved hardness increases the resis- tance of the resist to subsequent etching steps. Postbaking fre- {quently occurs at higher temperatures (120°C) and for longer times (say 20 min) than soft or prebeking. The major limitation for heat application is excessive flow or melt, which degrades wall profile angles and makes it more difficult to remove the resist. Special care needs to be taken with the baking tempera- ture above the glass tra iy at which impu- rities are easily incorporated into the resis. Positive resists withstand higher heating temperatures than negative resists, but their stripping proves mote difficult, De-scumming and post- bbaking both follow step (D) in Figure 1. Resist does not withstand long exposure to etchants well. As a consequence, with 1:7 buffered HF (BHF) (a mixture of one part 49% aqueous HF-solution and seven parts NHF that is used to strip Si0,), the postbake sometimes is repeated after 5 rin of etching to prolong the lifetime of the resist layer (see Figure 1.1£), Also, postbaking should be prolonged before eee- troplating. Resists ‘The principal components of photoresss area polymer (tase resin), sensitizer, and a casting solvent. The polymer changes structure when exposed to radiation; the solvent allows spin Fundamentals of Microfabrication application and formation of thin layers on the wafer surface; sensitizers control the photochemical reactions inthe polymeric phase, Resists without sen component systems, whereas sensitizer-based resists are two- component systems. Solvent and other potential additives do not direelly relate o the photosctvity of the 1 izers are single-component or one- Resist Tone Ifthe photoresist isof the type called positive (also positive tone), the photochemical reaction during exposure of a resist typically ‘weakens the polymer by rupture or scission of the main and side polymer chains, and the exposed resist becomes moce sol uble in developing solutions (say ten times more soluble). In other words, the development rate, R for the exposed resist is about ten times faster than the development rate, Ry, for the unexposed resist. Ifthe photoresist is ofthe type called negate {also negative fone), the reaction strengthens the polymer by random cross-linkage of main chains oF pendant side chains, ‘becoming less soluble (slower dissolving). Exposure, develop- ment, and patern-transfer sequences for negative and positive resis are shown in Figure 1.2. Positive Resists Two well-known families of positive photoresist are the single- component poly(methylmethacrylate) (PMMA) (Inset 1.5) resisis and the two-component DQN (Inset 1.6) resists com- posed of a photoactive diazoquinone ester (DQ) (200 50 wt%) and a phenolic novolak resin (N). Figure 1.2 Positive and negative resist: exposure, development, and pattern transfer Positive resists develop inthe exposed region. Negative resists remain in the exposed region Lithography Poly(methyimethacrylate) or PMMA Phac induce chan scison of PUMA resi Hy ty Sty Oy HOE ot Fy Poy COONS At bo’ to fo “tno Gey dems 005 cry oe Os tot boys a ben, 100.00, o4j-6n90 Inset 15 Diazoquinone ester (DQ) and phenolic novolak resin (N), i.e., DQN ‘The novelak matrix resin (N) is prapared by acid copaly- ‘merization of cresoland formaldehyde. The base ineoluba Sensiizer, a dazoquinone (DQ), undergoes photolysis to produce a carbene which thon undergoes a resrrange ‘ment to ‘orm a ketene, The ketene reacting with water [resent inthe fm forms a base-salube, Indenecarboxylc ‘acid photoproduct, Ore Code = 4 ~~ & PMMA becomes soluble through chain scision under DUV illumination. The maximum sensitivity of PMMA lies at 220 ‘nm; above 240 nm, the resist becomes insensitive, PMMA resin by itself constitutes rather insensitive or siow DUV photoresist, requiringdoses >250 ml/en®. Exposute times of tens of minutes, were required with the earliest DUV PMMAs available.” By adding a photosensitizer such as t-batyl benzoic acid, the UV spectal absorbency of PMMA is inereased, and a 150 mJ/em? lithographic sensitivity can be obtained. PMMA is also used in slectron beam, ion beam, and x-ny lithography. ‘The DQN systems a “workhorse” near-UV, two-component positive resist, which photochemically transforms into a pola, base-soluble product.’ The hydrophilic novolak resin (N) isin iself alkali soluble but is rendered insoluble by the addition of 20 to 50 wt% DQ, which forms a complex with the phenol ‘groups of the novolak resin. The resist is rendered soluble again tarough the photochernical reaction of DQ. The matrix novolak resin is a copolymer of a phenol and formaldebyde. A novolak resin absorbs light below 300 nm, and the DQ addition adds an sbsorption region around 400 ni, The 365, 405, and 435 nm mercury lines can all be used for exposure of DNQ. The intense absorption of aromatic molecules prevents the use ofthis resist st exposing wavelengths fess than bout 300:ams at those shorter wavelengths, linear acrylate and methacrylate copolymers have the advantage. Most positive resists are soluble in strongly albaline solutions (a fact that is taken advantage of for stripping of the resist—see Figure 1.1F and text below) and develop in mildly alkaline ones (as shown in Figure 1,1D). Some typical industrial developers for positive resists are KOH (¢.g.2 0.05 to 0.5 N aqueous solu tion and a surfactant), tetramethylammoninm hydroxide (TMAH), ketones, and acetates. As mentioned above, besides changing the molecular weight ofthe resist, radiation-induced reactions may also change the resists solubility by altering its hydrophilicity (polarity) or its reactivity. Typical casting solvents for positive resists are Cellosolve" acetate, methyl Cellosolve, and aromatic hydrocarbons. Negative Resists The first negative photoressts were based on free-radical-iit ated photo-cross-linking processes of main or pendant polymer side chains rendering the exposed parts insoluble. They were the very first types of resists used to pattern semiconductor devices and sil constitute the largest segment of the photoresist industry, being widely used to define czcuitry in printed wiring boards (PWS)! As illustrated in Figure 13, a negative photo resist becomes insoluble in organic (more traditional negative resists) or water-based developers (newer negative resist sys tems) upon exposure to UV radiation. The insoluble yer forms “negative” pattern thats sed as stencil (usually temporarily) to delineate many levels of circuitry in semiconductors, micro «lectromechanical systems (MEMS), and printed wiring boards (PWhi). The insolubilizstion of radiated negative resists can be achieved in one of two ways: the negative resist material increases in molecular weight through UV exposure (traditional negative resists), or it is photochemically transformed to form new insoluble products (newer negative resist products). The increase in molecular weight is generally accomplished through photoinitintors that generate fre radicals or strong acids facil itating polymeric cross-linking or the photopolymerization of ‘monemeric or oligomeric species, Photochemical transforma: tion of negative photoresists may also lead to hydrophobic or "~ Galosve a wade ame sohens based on eters eyes yc hese slvens ve en ened aspossblecrngens Proteome great + Hyeophebie ups = Hyaopnie gous Figure 1.3. Negative resist system. Exposure induces different types ‘of changes in the photopolymer. hydrophilic groups. which provide another means of inducing preferential solubility between the exposed and unexposed resist film. Commonly used negative-acting, two-component resists are bis(aryazide rubber resists (Inset 1.7), whose matrix resin is cyclized poly(cis-isoprene), a synthetic rubber. Bis(aryl)azide sensitizers lose nitrogen and generate a highly restive nitrene ‘upon photolysis. The nitrene intermediate undergoes a series of reactions that results in the cross-linking of the resin. Oxi- dation, with oxygen ftom the ambient or dissolved in the poly- ‘mer, ofien isa competing reaction for polymerization. In other ‘words, polymerization canbe inhibited by the quenching ofthe cross-linking reactions through scavenging ofthe nitrene pho- toproduct by oxygen. This competing reaction represents a dis- advantage, as exposure has to be carried out under a nitrogen blanket or in a vacuum. Another disadvantage of this type of negative resist is that the resolution is limited by film thickness. ‘The cross-linking process starts topside, where the light hits the resist first. Consequently, overexposure is needed to render the resist insoluble atthe substrate interface. The thicker the resist ‘wanted, the greater the overdose needed for complete polymer- ization and the larger the scattered radiation. Scattered radia- at the resist/substrate interface in turn reduces the obiainable resolution. Moreover, the organic solvent developer swells the cross-linked negative image, which further degrades the resolution. In a practical situation, this leads to a2to 3 rm ‘maximum resolution in a 1 pm thick resist layer. To improve the resolution of a negative resist, thinner resist hyers can be used; however, when using thin layers of negative resist, pin- holes become problematic. Xylene is the most commonly used aromatic solvent for negative resists, although almost any Fundamentals of Microfabrication s(anfiazie-serinzed ror rossi win ‘yelaed pov(cissoprene) a5 mat ese DOr ( poo ‘Tre pimary phetoovert in eayandenber rats te ordegoes 2 aney of reactions thet rot feo, poe omer ihages. A ypc! tue- a of ane caer anplyed sate is town, The rection To sl ln fjlaed rbber mati ard te nen med Rw h eae be wei ENE oelinn aa eh, — He mec . OS — OO aT organic solvent will do. Aromatic solvent developers may pose environmental, health, and safety concerns. Newer negative resists are water developable. ‘An example of a commercial, two-component negative pho- toresst is the Kodak KTFR lan azide-sensitized poly(isoprene) rubber] with a lithographic sensitivity (also photospeed) of 75, to 125 ml/cm*, Negative photoresist, in general, adhere very well to the substrate, and a vast amount of compositions are available (stemming from R&D work in paints, UV curing inks, and adhesives, all based on polymerization hardening). Nega- ive resists are highly resistant 10 acid and alkaline aqueous solutions as well as to oxicizing agents. As a consequence, a aiven thickness of negative resist is more resistant than a cor- responding thickness of positive resist. This chemical resistance ensures better retention of resist features even during 2 long, aggressive wet or dry etch. Negative resists also are more sen- sitive than positive resists but exhibit alower contrast (ysmaller; see Contrast and Experimental Determination of Litho- ‘graphic Sensitivity, page 19), ‘A comparison of negative and positive photoresist features is presented in Table 1.1. This table is not exhaustive and is meant only as a practical guide for selection of a resist tone. The choice of whether to use a negative or a positive resist system depends on the needs of the specific application, such as resolution, ease of processing. speed, and cost. The choice of resist tone will even depend on the specific intended pattern geometry, which is known as the optical praximity effect. For ‘example, an isolated single line most easily resolves in a nega tive resist (higher-resolution ine), whereas an isolated hole or trench is most easly defined in a positive resist. Because tra- ditional negative resists used to have a line width limit of ony Lithography ‘TABLE 1.1 Comparison of Traditional Negative and Positive Photoressts ___ Ram pe Characteristic Paskive Negative Adhesion 1 5 rar ‘reel Ausable compositions Many Vest Contrast Higher. 22 Lower e158 Con More expensive Less expensive Developer Aqueous based (ecologically sound) Ongar sobent Daeloper process window Sell Very wide, insensitive overderdoping Inuence of cxygen No. Ye Liat Yes [os with muliple yer resist MLR} Yes with new types of negative ess Minimum fewure 105 ym and below ‘Opaque drt on clear porson of Not very sensitive to mk Photosped Slower Pinhole count Higher Pinhole in mask Prints mast pintotes Plasma ech resistance Very good Proximity effect Reside after developmert Seastzer quantum yield 0.2 100.8 Step coverage Better Stripper of resist over Oside steps Acid Metal stepe Simple sohents Swelling in developer No “Thermal ability Good Wet chemical resistance Fair Prins isoited hols or trenches better Mostly at <1 mand high aspect ratio (singhe-aye esi (SL3)) 224m ‘Causes printing of pinholes Faster Lower Not so senative to matk piahoes Not very good Prints soa hes beter Dien a problem D5 t01 Lower Acid Chlorinated solvent compounds Fair Excelent ‘Newer rsist stems are discussed under Photalithograpy Resolution Enhancement Technology page 32 about 2 to 3 um, and because the industry has moved away fiom organic-solvent-based systems in favor of less toxi water-based developers, positive resists have gained in popu: larity. However, traditional negative resists continue to be used in the proxtuction of PWBs and low-cost, high-volume chips, as they require only small amounis of sensitizers and therefore are substantially less expensive thin postive resists, Moreover, {eat progress has been made in improving the resolution of new types of water-soluble negative resists. These are used in new generations of ICs and in high-aspect-ratio miniaturized systems.!** In working with different resists, itis also impor- tunt to be aware of such properties as shelf life ash point, and threshold limit value (TLY) cating. The fash point is the ten perature at which the resist vapors ignite in the presence of aa pen flame. The TLV is the toxicity rating that specifies the maximum ambient concentration (in ppm ie. parts pet mil lion) to which a worker can be safely exposed during a normal workday. Table 1.2 lists some common positive and. negative resists employed in various lithography strategies along with their lithographic sensitivities. For charged particles (e-beam lithog- raphy and ion-beam lithography), sensitivity is expressed in coulonibs per centimeter square (C/cm?}; for photons (optical and x-ray), joules per centimeter square (I/cm’) is used. Ideally, i charged-partcle lithography, one should select a resist with sensitivity in the range of 10° to 10°” Clem, and in photon lithography, 10 t9 100 mcm? to minimize the exposure dura- tion. Permanent Resists Resists typically are removed (stripped) once they have served their function as temporary stencils, Some negative resists, hhacdened through UV exposure, are used as permanent parts (of miniature devices. In this book, we will cover two examples in this category: dry film resists and polyimides, Dry film resists hhave been used for along time in EW but less so in ICs and ‘miniaturization science. Polyimides are used, for example, in ‘multichip modules as low-dieletric insulation layers and as flexible hinges in mechanical miniaturized structures.!" Recently the benefits of using dry resist ilmsin the fabrication 10 ‘TABLE 1.2 Typical Negative and Positive Photoresistsand Their Lithographic Sensitivity Cass Tone tahographie resit—_—_Resit mane (polarity) _senstivity Optical CAMP. (OCG) Positve 10) mjiam? APEX-E (IBM and Shipley) Positive 75 mj/cm?™ XP-2158 (Shipley) Postive 30 mien? KRE (rom UCBSR) ——Nepitive 20-30 ealfom? Esha COP [eopolrmeriaccyano Newitve 0.5 wien cehylacrylate- amido thy serylate] (GaSe [germanium selenide Negative 80 Chom PS [poly (butenet Positive 1 yClem! saltone) Xeay COP Negstive 100 sie cor, Nepaive 1M mls pas Posiive 170 mem? PaMa Pesitve 6500 mifem? of biosensors and microfluidic were recognized as well” For ‘many applications, the poorer resolution of dry resists (28 t0 75 um) is no obstacle for their use in mesoscale devices (han- dreds of micrometers to millimeter scale). Dry ress film mate- rials are less expensive than Si and form s convenient substrate; they come in roll so that large sheets can be processed. In the future, continuous lithography may be feasible. Continuous, ‘web-based manufacturing may finally make possible disposeble ICs and miniaturized devices such as biosensors and micros dics (see also Example 3.3 and Inset 1.17). Glass Transition Temperature of a Resist (T,) Resists must meet several rigorous requirements: good adhe- sion, high sensitivity high contrast, good etching resistance (wet cor dry etching), good resolution, easy processing, high purity, Jong shelf life, minimal solvent use, low cost, and a high glass transition temperature, T,. Most resists are amorphous poly- ‘mers that ethibit viseous flow with considerable molecular ‘motion of the polymer chiin segments at temperatures above glass transition, At temperatures below T, the motion of the segments is halted, and the polymer behaves as a glass rater than a rubber [FT, is ator below room temperature, the resist is considered to be a rubber ft lies above room temperature, iis comsidered to be a glass. Since, above Ty, the polymer flows easly, eating the resist film above its ghss transition temper- ature fora reasonable amount of time enables the film to anneal {nto its most stable energetic state. Inthe rubber sat, it is easy to remove the solvent from the polymer matrix that is, soft bake the resist. Extreme attention needs tobe given tothe cleanliness ‘ofthe working environment with the resistin this state. When softening the resist at or above T,, it may be easier to remove solvent, but the resist tends to pick up impurities. The impor- tance of resis reflow, as we will earn fateralso lis in planarizing topography. Fundamentals of Microfebrication In general, polymers that crystallize are not useful as resist, because the formation of crystalline segments prevents the for- mation of uniform high resolution isotropic films? Waler Priming In reality, resist chemisiry is more complex than indicated in the simple description above. Additives such as plasticizers, adhesion promoters, speed enhancers, and nonionic wurfictanis further promote resist performance.” Resists, especially postive resss, often do not adhere well to a silicon wafer. This effect is more pronounced when the humid- ity shigh o ifthe wafer has been immersed previously in water. Good humidity control (at 40% RH) and annealing are required to remove surface water and prepare a silicon wafer for resist coating, The more hydrophobic the wafer surface, the betier the resist adhesion To this end, Si wales may be vapor primed with reactive slicane primers before spin coating. Atypical adhesion promoter is hexamethyldisitazane (HMDS). HMDS is widely lused in the semiconductor industry to improve photoresist adhesion to oxides (Si isalways covered witha thin native oxide, anywhere from 20 to 50 A thick). Reactive Si-NH-Si functional groups in HMDS react with the oxide surface in a process known as siilation, and a strong bond to the surface is formed. The ety, it is assumed, will bond/adhere to the photoresist, enhancing the photoresist adhesion. The process works not oniy on silicon dioxide but ako on other oxides (e..Al,O,).It should be noted that HMDS is extremely flammable and a suspected, carcinogen; it should be handled with care. dehydration bake of the SiO, surface at 200 to 250°C for 30 min (with optional vacuum) removes adsorbed water from the silanol groupsat the silicon surface, which then can react with the amino groups of the HMDS vapor. The primer may be applied by dipping the wafer in ¢ 1 to 10% HMDS solution in xylene, by spin coating it onto the wafer or by exposing the water to HMDS vapor in a stream of dry nitrogen (in a vacuum chamber and while heat- ing the wafer for approximately 40 to 60s). The last method, provides the best adhesion and avoids water adsorption. Sputtering of the surface (Chapter 2) presents an attractive alternative to vapor priming; the micro roughness atthe surface induced by sputtering provides for mechanical adhesion of the resist to the substrate. Wafer Cleaning and Contaminants: The Clean Room {An important step,even before wafer priming, is wafer cleaning. Contaminants inclide solvent stains (methyl alcohol, acetone, trichloroethylene, isopropyl alcohol, xylene, etc.), dust from, ‘operators and equipment, smoke particles, etc. Solvent stains and other contaminantson asilicon wafer can be easily observed in dark field microscopy (special off-axis microscopy). All lithography processes tke place inside a semiconductor clean, room, which is a specally constructed enclosed area that is environmentally controlled with respect to airborne particu- lates, temperature (+ 0.1 °F, air pressure, humidity (from 0.5 to 5% RH), vibration, and lighting. In Table 1.3, ome common,

You might also like