You are on page 1of 444

:

1. , ,
2. , ,
3. , ,

2011


.


CMOS
,

,
GHz.

CMOS ,
, (short
channel effects),
(doping fluctuations) ,
,
. CMOS,
,
7 nm 2019.

(emerging
technologies).


.

(Quantum Cellular Automata - QCA).

, ,

CMOS.


. QCA
.

1
() QCA, , o
1012 /cm2
1 THz.
QCA,
,
CMOS ,

.

QCA
.
2n 1
QCA.
,
2n
1
,
.

QCA (..).

QCA ..,
..

CMOS .


. ., QCA
2 1, QCA
2 1 .

QCA ..
.

2
DATICAQ (Design Automation Tool of 1-D
Cellular Automata using Quantum Cellular Automata). DATICAQ
QCA
.. .
QCA, ,
QCA,

,
.
QCA,
,

.

QCA

QCA.


,
.

QCA Mazoyer
Firing Squad Synchronization,
QCA
.
..
.
QCA,

.

3
4
Abstract
In last decades exponential reduction of integrated circuits feature size
and increase in operating frequency was achieved in VLSI fabrication industry
using the conventional CMOS technology. However the CMOS technology
faces serious challenges as the CMOS transistor reaches its physical limits,
such as ultra thin gate oxides, short channel effects, doping fluctuations and
increased difficulty and consequently increased lithography cost in the
nanometer scale. It is projected that the CMOS technology, in its present state
will reach its limits when the transistors channel length reaches approximatly
7 nm, probably near 2019.
Emerging technologies have been a topic of great interest in the last few
years. The emerging technologies in nanoelectronics provide new computing
possibilities that arise from their extremely reduced feature sizes. Quantum
Cellular Automata (QCA) is one of the most promising emerging technologies
in the fast growing area of nanoelectronics. QCA relies mostly on Coulombic
interactions and uses innovative processing techniques which are very
different from the CMOS-based model. QCAs are not only a new
nanoelectronic model but also provide a new method of computation and
information process. In QCA circuits computation and data transfer occurs
simultaneously. Appling the QCA technology, the elementary building
component (QCA cells) cover an area of a few nanometers. For this feature
sizes the integration can reach values of 1012 cells/cm2 and the circuit
switching frequency the THz level. The implementation of digital logic using
QCA nanoelectronic circuits not only drives the already developed systems
based on conventional technology to the nanoelectronic era but improves their
performance significantly.
At the present Ph.D. thesis, a study of QCA circuit clocking schemes is
presented showing how these schemes contribute to the robustness of QCA
circuits. A novel design of a QCA 2 to 1 multiplexer is presented. The QCA
circuit is simulated and its operation is analyzed. A modular design and
simulation methodology is developed for the first time. This methodology can
be used to design 2n to 1 QCA multiplexers using the 2 to 1 QCA multiplexer as
a building block. The design methodology is formulated in order to increase
the circuit stability.

5
Furthermore in this Ph.D. thesis, a novel design of a small size, modular
quantum-dot cellular automata (QCA) 2n to 1 multiplexer is proposed, These
multiplexers can be used for memory addressing. The design objective is to
develop an evolving modular design methodology which can produce QCA 2n
to 1 multiplexer circuits, improved in terms of circuit area and operating
frequency. In these implementations the circuit stability was a major issue and
was considered carefully.
In the recent years, Cellular Automata (CAs) have been widely used in
order to model and simulate physical systems and also to solve scientific
problems. CAs have also been successfully used as a VLSI architecture and
proved to be very efficient in terms of silicon-area utilization and clock-speed
maximization. In the present Ph.D. thesis a design methodology is developed
for the first time, which can be used to design CA models using QCA circuitry.
The implementation of CAs using QCA nanoelectronic circuits significantly
improves their performance due to the unique properties of the nanoelectronic
circuits.
In this Ph.D. thesis a new CAD system we develope for the first time,
and was named Design Automation Tool of 1-D Cellular Automata using
Quantum Cellular Automata (DATICAQ), that builds a bridge between one-
dimensional CAs as models of physical systems and processes and one-
dimensional CAs as a nanoelectronic architecture. The CAD system inputs are
the CA dimensionality, size, local rule, and the initial and boundary conditions
imposed by the particular problem. DATICAQ produces as output the layout of
the QCA implementation of the particular one-dimensional CA model. The
proposed system also provides the simulation input vectors and their
corresponding outputs, in order to simplify the simulation process. No prior
knowledge of QCA circuit designing is required by the user. DATICAQ has
been tested for a large number of QCA circuits. Paradigms of QCA circuits
implementing CA models for zero and periodic boundary conditions are
presented in the thesis. Simulations of CA models and the corresponding QCA
circuits showed that the CA rules and models have been successfully
implemented.
At the present Ph.D. thesis, the design of large scale QCA circuits is
analyzed and a study of the problems arising on complex algorithm

6
implementation using QCAs is presented. One of the most important problems
of the large scale QCA circuits is the synchronization of the internal signals of
the circuit between the subsystems of the large QCA circuit. This problem
becomes more difficult when the circuit includes signal loops. In the present
thesis a methodology and a QCA circuit is presented for the first time, which
solves the above mentioned synchronization problem. The QCA circuit
implements the Firing Squad Synchronization Algorithm proposed by
Mazoyer in order to solve the synchronization problem. The implementation
was obtained using a one-dimensional 3-bit digital CA model. The QCA circuit
is simulated and its operation is analyzed.

7
8



.
QCA
.
.

, ,
CMOS
.

()

.
. .
,
,
. ,
,
.
, , ,
&
. .

. . ,
.
,
&
. . ,

.

9
. ,
,
,

.

10

.................................................................................................................................... 1
.................................................................................................................................... 9
1 - . ........................................................................................................... 15
1.1 . ................. 15
1.2 ........... 17
1.3
.................................................................................... 17
1.4 ...................................................... 19
1.5 ............................................................................... 20
2 - ....................... 23
2.1 .................................................................................................................. 23
2.2 .................................................................................... 25
2.2.1 (carbon nanotube
devices) 25
2.2.2 ...................................................................................................... 27
2.2.3 ............................................................ 29
2.2.4 .............................................. 31
2.2.5 (Resonant Tunneling Diodes RTD)...... 35
2.2.6 ............................................................................................... 37
2.3 (nano-scale crossbars) ............................................ 38
2.4 .......................................................................................................... 40
2.4.1 SET ............................................................................................. 40
2.4.2 RTD ............................................................................................ 41
2.4.3 NanoFabrics............................................................................... 42
2.4.4 NanoPLA .................................................................................... 45
3 - (QCA) ............................................................ 49
3.1 .
.............................................................................................................................. 49
3.2 . ......................................... 54
3.3 . ....................................... 60
3.3.1 ........................................................ 60
3.3.2 ............................................. 61
3.3.3 . .................................................... 62

11
3.4 . .......................... 62
3.4.1 .................................................. 63
3.4.2 ................................................. 65
3.4.3 ..................................................... 65
3.4.4 .................................................. 66
3.5 QCA ........................................................ 68
3.6 ......................... 70
3.6.1 ............................................................................... 70
3.6.2 ..................................................................... 71
3.6.3 (testing), (defects) (faults)............................... 72
4 - 2n 1 QCA ............................................... 73
4.1 . ................................................................................................ 73
4.2 QCA. ................... 74
4.3 QCA. ........................ 82
4.4
..................................................................................... 87
4.4.1 2 1......................................................................... 87
4.4.2 4 1......................................................................... 94
4.4.3 8 1......................................................................... 97
4.5
. ................................................................ 103
4.5.1 2 1....................................................................... 103
4.5.2 2n 1. ............................ 108
4.5.3 QCA 8 1.................... 113
5 - QCA
. ............................................................................................................................. 119
5.1 . .............................................................................................. 119
5.2 - ...................................................... 121
5.3 ................................................................. 127
5.4 .. . .................................. 131
5.5 .. VLSI ........................................................... 136
5.6 .. . . 141
5.7 ..
QCA (DATICAQ: Design Automation Tool of 1-D Cellular Automata using
Quantum Cellular Automata). ............................................................................. 149

12
5.7.1 DATICAQ. ...... 149
5.7.2 DATICAQ .. . ............. 160
5.7.3 DATICAQ .. . ............ 165
6 - QCA . .................... 173
6.1 ............................................................................................... 173
6.2 (Firing Squad
Synchronization) ................................................................................................. 175
6.3 Mazoyer................................. 177
6.4 Mazoyer QCA. ................................ 179
7 - ........................................................................ 199
7.1 ....................................................................................................... 199
7.2 .............................................................................................................. 203
.......................................................................................................................... 205
.......................................................................................................................... 229

13
14
1 - .
1.1
.


,

VLSI .

CMOS,
,
(short channel effects),
(doping
fluctuations) ,
, .
CMOS, ,
7 nm
2019 [1].


CMOS.
1012
THz
[2].


.
, (Quantum Cellular
Automata - QCA) [3] [4] ,
,

CMOS.

15


[5] [6]. CMOS
,
,
.
QCA
[5]. H
QCA :
(majority voter MV) (inverter INV).
,

[7] [8] [9]. QCA
:
QCA (processing-by-wire),
QCA, ,

(memory-in-motion).
processing-by-wire
(inverter chain),
.

.
QCA ,

[10] [11],
.
(Molecular QCA)
-
(DNA self-assembly) /

[12].

16
1.2


1993 Craig Lent [13]

.
, [14],
: , AND, OR, NOT XOR [15],
[16] [17] [18], [19],
[20] [21] [22], flip-flop [23] [24] [25],
(ALU) [26] [27] [28]
[29] [30].

1.3

QCA
( -)

.
,
CMOS,
QCA.
,
QCA.

, ,
(design for
testability).

,
.

. QCA

17


.
QCA
,

QCA .
CAD
(Computer Aided Design) netlist
QCA layout.
QCA
.

QCA,
QCA
.

QCA
.
QCA [7],

(
)
. ,
QCA CMOS,
QCA
(adiabatic switching)
QCA . QCA
,
QCA , .
QCA

QCA

18
,
CMOS.
QCA
.
QCA
- (DNA self-assembly)
.

.

1.4



.
QCA
.

.
QCA
.
,

, ,
.

,
, pipelining
.


.
CMOS

19
.
QCA
.
,

.

1.5



.

.
QCA,

,
. , QCA

.

.
QCA

. QCA

.
2
. 3
,
QCA. 4

. 4
2n 1
.

20
5

.



. 6

QCA .

, .
7

.

21
22
2 -

2.1

CMOS (
, ..),



, ,
.

(carbon nanotubes), (Si nanowires),
(single electron transistors),
(resonant tunneling diodes),
(single molecule devices) [31].

, , ,
, .
.

,
1 100
,
.
, (Field Effect
Transistors FETs), ,
,
,
[32] [31].
[33] [34].

23


.
top-down


(etching)
.
,
- (self-assembly)
- ,
.
-
,

[35].
-
[35].


, ,
, .. [36],
.
,

(
, , ).
BIST (Build-In-Self-Test)

. ,
[37] [38] [39].
PLA (Programmable Logic
Array) [40] [41]
(reconfigurability) .

24
2.2

2.2.1 (carbon
nanotube devices)

(CNTs) [42]

,
2.1. CNTs
, [43].
CNTs
CNTs CNTs.
(single-walled nanotubes SWNT)

(multi-walled nanotubes MWNT)
[44].

2.1: [45].

CNTs
, (scanning probe microscopes -
SPM), [46] [47] [48], [49],
(Field Effect Transistors FETs),

25
(Single Electron Transistors SETs),
[50], [51]
[52].

CNTs. CNTs

.
FET -p
(SWNT) [50].
, Al2O3
SWNT
.
, NOR
SRAM [50].

[50].
CNTFETs -p, CNTFETs
-n [53] CNTFETs
-p . 2.1
CNTFETs -p
-n. CNTFETs -p.
Poly(methyl methacrylate) (PMMA) .
CNTFETs -n
( 2.1.). CNTFETs (10-3 Torr
) ( 2.1.). n-CNTFET
-p, PMMA -
n ( 2.1.). CNTFET
2.1.
2.1..

26
2.1: CNT FETs.

CNTs

. [54]
CNTFET
"on" CNTs
CNTs .
CNTs
[49]. CNT (M) (S).
MM, SS, MS [49],
MM SS , MS
Schottky. [51]
CNTs
.

2.2.2 (Nanowires)

CNTs
CNT ( )

27
.
. (Nanowires NWs)
6 20 nm 1 50 m [32].
CNTs,
[55]. ,

, FET [32]
[56] [55] [33].
-p -n .
[55] p-n

.
(turn-on voltage) 1.0V.
95%. [56]

-n n+,
-p.
(common base gain) 0.94 (common emitter
gain) 16.
FET [55].
n-GaN/p-Si (turn-on voltage).


, FET [55].

2.2.
AND [55] p-Si (
2.2.) n-GaN (
2.2.). n-GaN NW ,
( ) ,
p-Si NW. FET
NOR [55] 2.2..
p-Si (
2.2.) n-GaN (

28
2.2.)

AND. NOR 5 [55].
[34]
[33] [57] [58].

Vc1 Vc1

Vc1 Vc1
Vpu Vi1

Vi1 Vi2 Vi1


Rpu
Vi2
Vout Vout Vout

Vout
Vi2 Vpd
Rpd

Vi1 Vi2

() AND () NOR

2.2:

2.2.3


.
, , ,

[59] [31].
1
100 nm, -
[60].
-
(self-assembly)
.
.

29


.

() [59].
, ,
, [59]
[60]. 2.3..

1 4 nm.
,
Kondo [59].

FET,
.

2.3: () ()

30

[61] [59] [62].
[61].
catenanes rotaxanes
.
"on" "off" .
. 2.3.
rotaxane [61].
rotaxanes
40 nm.
"on" , "off"
[61].
0.5V 3V.
Ron/Roff 2 1
[61].

2.2.4

(Single-Electron
Devices) ,
. ,
,

[63]. ,
,
Averin Likharev [64].

(single-electron box),
(single-electron transistor SET), (single-
electron trap) (single-
electron turnstile and pump).

31
2.2.4.1 - (Single-electron box)

-
( )
( 2.4).
( )


[65].

.
-
(
)
(
).


Q=-ne
U
C0

2.4: -.

2.2.4.2 - (single-electron trap)

-
-. -
[66] [67]
-, (
)
[65], 2.5.

32
.
Vg
[65].
12
[68] [69].

Q=-ne

V
g

2.5: -.

2.2.4.3 - (single-electron
turnstile and pump)

-
- [65]
2.6. [70]. V=0
-
U
U. V0
U
(drain) U [65].
- [71], 2.6.,
Ui(t)

.

33
U(t)
(source) (drain)
(gate)

-V/2 +V/2

()

U1(t) U2(t) U3(t) U4(t) U5(t)


(source) (drain)

()

2.6: () - (single-electron
turnstile), () - (single-electron pump).

2.2.4.4 - (single-electron transistor SET)


-
- 2.7.

(gate)

(source)
(drain)

2.7: - (Single-electron transistor).

34
(dot)
,
[72] [73].
( 2.8).

(1 3 nm
[31]).
-, SET
MOSFET.

Vg


(gate)
Vd
-
e
(source) (drain)

2.8: -

2.2.5 (Resonant Tunneling Diodes


RTD)

(RTD) [74] [75]


(skew rate)
300mV/ps [76]. RTD
(high-band-gap)
,

[77].
(negative differential resistance NDR) I-R,
2.9..

35
Ip (peak
current)
Vp (peak voltage).

Iv (valley current)
Vv (valley voltage).

2.9: RTD () I-R () () .

RTD
multi-
peak I-V RTD . -
RTD
(multivalued logic),
(high-speed
nanopipelined circuits) -
[78]. (latch)
RTD [79]

[80].
RTD

RTD,
[1].

36
2.2.6

-
(CNT, NW, SET)
. ,
FET
[31] [81].
,
. FET
.
[81].
.
,
.

.
[81], 2.10.


(gate)

(source) (drain)

Au Au

Co Fe Co Fe

AlAs (tunnel barier)

InAs

2.10: FET.

FETs
MOS FETs. ,
.
-

37
0
[31] [81].
Ramsauer [81].
,

. .
, Fermi
,
Ramsauer. ,

-
.
,
[31].

2.3 (nano-scale crossbars)

CNT NW
,
[82]
[40] [33] [51] [58] [83].

.
, FET [82] [40] [51].
(bottom-
up) ,
,
.

.
[32].

, FETs
NWs CNTs.

38

(programmable logic arrays
PLAs) [82] [40] [51].

OR - [40].
,

[40] [41].

2.11: NW NW.


NWs [57] [33].
[34]. NWs

NW
.
NW
.
.
10m 10m NW
25m. NW 40nm
500nm .
NW SNAP
[57] [33]. 2.11.
40 NW (Pt) 10nm, 60nm
20 30nm . 2.11.

39

NW [33].
20nm 80nm.

,
[82]. 8 8
rotaxanes ,
.
1m.
. 85%
.
3,5 7 V
"1", -3,5 -7 V "0".
() 4 4, 4 4
- 4 4.

2.4

2.4.1 SET

SRAM,
(SET) 2.12 [84].
SETs (T1 T2)
.

(NDR).

SRAM [84].
1,
. 2 (
2.12.),
1, - 2
IIN, VIN .
( )

40
(NDR). 2
2.12.,
[84].

2.12: SRAM () (NDR)


()

2.4.2 RTD

(RTD)
SRAM. [79]
(latch) ,
RTDs
[77] [85]. 2.13.

I-V 2.13..
.

"DATA". RTD ( 2.13. ) RTD
( 2.13. ). "DATA"
"high ( "1") "low" ( "0").

RTDs [77].

41
2.13: RTD () () I-V

2.4.3 NanoFabrics


(chemically assembled electronic
nanotechnology CAEN) [41].
FPGA
-

. CAEN
nanoBlocks

. nanoBlocks
nanoFabrics.
nanoBlock 2.14. nanoBlock
MLA (Molecular Logic Array),
NWs
. NWs
.
"on" .
-.

42
2.14: nanoBlock [41].

,
MLA

. AND
MLA 2.15.
.

inline NDRs MLA ( 2.14).

2.15: AND MLA [41].

43
nanoBlocks (clusters).
nanoblock ,
.
nanoBlocks
. nanoBlocks
2.16.
nanoBlocks switch-block.
(long-lines) clusters
clusters
.
island-style FPGA 2.17.

nanoBlock

nanoBlock nanoBlock

nanoBlock

2.16: nanoBlocks.

NanoFabrics

[41]. [35]

.

44
NanoFabric,
CAEN .
NanoFabric
.

.
.

2.17: NanoFabrics.

2.4.4 NanoPLA


PLAs [40].
- NWs,

. NW FETs
buffers.
NWs
[86].

45
nanoPLA [87].
NWs
crossbars .
OR
2.18.
-.
,
. NWs
FETs,
,
-.

Out1 Out2

In0
In0

In1
In1
In2
In2 In3
Out1
Out2
In3

Vpd
( )
Rpd Rpd

2.18: OR.


[40] OR 2.19.
NWs
,

.
2.19
[86].

46
.

.

2.19: (nanoPLA)
[40].

NanoPLA
[88]. NanoPLA
NWs
.
[88]

18%. ,

[40].

47
48
3 -

3.1
.




. ,
, , .
QCA
(quantum dots)
.
.

,
.

[3]

Coulomb.
3.1.
, "0"
"1".


. ,

.
P
.

49
i 3.1
i, [13] [15]:

( ) ( )
(3.1)


, i
. 3.1. QCA.

. ,
2 3
, 1 4.

2 1


3 4

()

1 0
P = +1 P = 1
()
3.1: () ,
() QCA

3.2
[15],
P2 2
1.
, P2
P1.
Schrodinger [3].
,
.
,
.
50
QCA
(nanotube),
,
(Scanning Tunneling Microscope STM). QCA
"plunger
electrode" [89] [90] [91].
.

.
[92] [93] [94],
(SET
electrometers).

1 2

1.0

0.5

P2 0.0

-0.5

-1.0
-1.0 -0.5 0.0 0.5 1.0
P1
3.2: QCA.


,

Coulomb
.
QCA
.

51
QCA
.

QCA (majority voter - MV)
: MV(A,B,C) = AB + AC + BC .
5
3.3.. AND OR

-1
AND 1 OR. (inverter)
QCA
3.3.. 45
,
. CMOS
,
.

A A B AB+AC+BC

C
() ()

A A A A

() ()

3.3: QCA () , () , ()
(binary wire), () (inverter chain).

52
3.3.
(binary wire) (inverter chain)
.
. ,
45,

.
QCA QCA
.

,
.

,
,
.
.



[3].
.
(meta-stable)
(kink). (kink energy) Ek

.
[3], N

:

(3.2)

kB Boltzmann T
Kelvin. Ek/kBT
4, N (3.2) 50.

53
Ek/kBT 10 Ek,
N 22.000 .

3.2 .

VLSI
(.. clock)
. QCA
[95]
. QCA
,
[2].
.

QCA: .
QCA
.
[96].


.
QCA.

.




. ,
(CNT) [97]
QCA.

.

54

.
:
,
,

[96].


.
QCA
QCA
3.4.

.

. QCA
, .

,
.


3.4.

null
0
1

3.4: QCA

55
QCA
Hennessy Lent [95] :
(Switch), (Hold), (Release) (Relax)
( 3.5).
(clocking zones)
.
.

. ,


,
,
(-1,1).
,
,
.


.
,
.

Schrdinger. QCA
,
,
.

3.5: QCA

56

0
clk 0

clk 1

2
clk 2

3
clk 3

3.6: .

QCA
(pipeline) [98] [99]

.
,
.
3.6
. 0

-1
1
0. 0 , 1
, 2
1
0
-1. 0
, 1 , 2

57
3 , 2
1 -1.

2 3.
QCA
,

.
, [3]
(kink),

.
QCA
,
.

3.7: QCA

58

QCA. 3.7

[95]. QCA xz
z
y.

QCA
QCA
. [97]
(single walled carbon
nanotubes SWNT)
[100] SWNT

QCA.

QCA 3.8..

QCA ( 3.8.).
[97],
45
QCA,
3.8..

() () ()

3.8: QCA.

59
3.3 .


QCA,

. QCA,

.
(pipeline
stages). QCA
:
,
.

A A

3.9: .

3.3.1

QCA

.


, 3.9.

60

[15].


.


[101]. [102] [103]
QCA
.

3.10: .

3.3.2


3.10.
,
,
.

,
QCA
[104].

,
,
.

61

.

3.3.3 .


[105], [106],
[107]

[108] [109].
.

.

3.4
.


,
:
(Metal QCA) [5] [6],
(Semiconductor QCA) [110],
(Molecular QCA) [94]
(Magnetic QCA) [111] [112].



.

,

,
. QCA

62
QCA,
[113].

QCA [114].

QCA [115] [116].
QCA
(metastable) [117].
RMQDA (Restricted minima quantum-dot arrays)
-
[118]. SCQCA (split current quantum
cellular automata) (resonant
tunneling currents) [119].

3.4.1
QCA
[6]
.
(tunnel junctions) .

, ,
. [6]
60 x 60 nm2
10mK.
(Electron Beam Lithography EBL) (dual
shadow evaporation) (oxidized silicon wafer)
[6]. 3.11
. D1 D4,
. E1 E2
(SET electrometers)
.

63
V3

V1 E1
D1 D3

D2 D4
V2 E2

V4

3.11: QCA
[6].

3.12: QCA [6].

3.12
(Scanning Tunneling Microscope
STM). QCA
300 mK [120],
.

64

QCA, 1 m,
, ,
.

3.4.2

QCA
QCA,
.


77 K [110],
(supercomputer).
QCA

[121] [122] [123] [124] [125] [126] [127].

3.4.3

QCA

QCA.

, QCA
.

QCA. QCA .

,
.

, QCA,
.

65
(high-resolution electron beam lithography), -
(DNA self-assembly) [96]
[128] [129] [130] [131] [2] [132] [133] [134] [135] [136] [137] [138] [139]
[140] [141] [142] [143] [144] [145]. 3.13
QCA -
[139].

() ()

()

3.13: () {( ) ( )} (
) ( ) -, ()
{( ) ( )} ( ) ( ) , ()
.

3.4.4


.


.
66

,
.
QCA,
10-100 MHz [111] [146] [147] [148] [149]
[150] [151] [152] [153]. 3.14
QCA ,
(EBL),
- ( 80% Ni 20% Fe).

3.14: QCA - (permalloy).

67

QCA,
QCA,
QCA .

QCA ,

.
.

3.5 QCA



.
.

.

QCA,
.

QCA [154].

M-AQUINAS [155], Q-BART [26],
QCADesigner [156] [157] [158] [159] [160].
(Bayesian
networks) [161] [162] [163] [164] [165]
Hopfield [166].
SPICE [167] [168]
[169].
QCA
Srhrdinger.
,

68
,
,
[170].
,

10-15 .

Hartree-Fock, -


Coulomb [154]. Hartree-Fock

- .
,

[159].
Hartree-Fock
QCADesigner [156] [157] [158] [159] [160]
coherence vector.
i,
,
R (radius of effect). i
,
Hamiltonian :

[ ] (3.3)

kink i j,
.
j
. i
R.
Schrodinger ,

69
()
. ,

.

3.6

QCA

QCA.
,
. H
(coplanar wire crossing),
(sub-gate
level pipelineing), (processing-
in-wire) (memory-in-motion),

.

,
QCA.
QCA
. , , ,
, .. [171] [7] [96] [172] [15] [9].

3.6.1
QCA


.
VHDL [173],
HDLQ [174],
(behavioral level).

70
(modular)
(modular tile-based
design) [175] [176] [177] [178] [179]
QCA
[23] [180] [24].
(globally asynchronous locally synchronous - GALS)
QCA [181] [182] [183].


QCA .
.

3.6.2



QCA, ,
(placement)
(routing).
Boolean
[184] [185], QCA
[186].
QCA [187],
[188] (evolutionary) QCA
[166] [189].
[190]
QCA
MALS [191] [192] [193] [194].
(partitioning)
(placement) QCA
[195] [196] [98] [197].

QCA
[107] [109] [106] [108] [195].

71
3.6.3 (testing), (defects) (faults)

QCA ,

.
QCA ( , -
)
,
[198] [199] [200] [201], -
[202] [203],

(tile-based design) [177] [178]
QCA [180] [24] [23].
[17] [204]
[205] [206],
[165],
QCA [101] [207]
[208] QCA
[102] [103]. fault masking
QCA PLA (Programmable Logic Array)
[209] N-detect stuck-at
fault testing QCA [210].
(Automatic test pattern generation ATPG)
QCA [211] [212] [213].

QCA [214] [215].

72
4 - 2n 1
QCA

4.1

(multiplexers, mux)
,
() () .

,
(select inputs). 2n
, n .

.
,
, .
,
' (router) (switch)
.

.
,
.

Gbytes, .
. ,
1 GByte ,

, 1073741824--1
30 .

"" , .

,

73
.
, .


.


.


THz,
.

2n 1
. 2
1 QCA
(building blocks).

2n 1
2 1.
,

QCA QCA
, 10 .

(kink) .

.

4.2 QCA.

3.2
,
[96].

74
,

QCA. ,

. ,
.
,

-
[216].
(ground state) ,

.
(excited state)

(kink).
QCA
kinks, (3.2) .

. ,

N ,
kinks
.
QCA, ,
, ,
.
QCA
.
QCA
[96],

(.. -x).

75

, .
( -y),
,
QCA
.
.

4.1: 8 1 [20].

76
4.1 8 1

[20].
2 1 ( ( )) .
2 1
SEL1.
2 1
,
SEL2. 2 1
SEL3.
2 1 (
AND OR) .
SEL1 (
) 2 1 .
(51
QCA) .
1
,
/2 2 1.

,
QCA, .

y [217]
.
,

, QCA
.
4.2
, .
.
,
.

77


.

4.2: ,
.

QCA,

.
, .
,

,
.
,
. ,
,
,
( ) .


.

:
78
1.

.
2.
,
.
3.
(Design
Modification I - DMI).
4. QCA

,
(Design Modification I - DMI).

4.3 8 1
.
4.1
8 1 ,

. DMI
SEL1 SEL2,
SEL2
SEL1

. DMII


.
4.2 QCA

.
.

, ,

79
2,5% QCA
, 576 562.

4.3: 8 1 .

QCA

,
.

Schrdinger. [96]

80

:

(4.1)

Ts C
. 1.16
.

.

.
,
.


( ),
(
).

, .


.

.
8 1

51 13 ( 4.1 , 4.3).
(3.2)
51 , k
kinks 3,9
kBT, 13
2,6 kBT.
QCA ( Ek),

81
8 1
(300 Kelvin),

195 Kelvin.
QCA

.

4.3
QCA.



, QCA
.
.
,
, (systolic arrays)
.
VLSI
70
[218].
.

,

.
,

.
QCA,
.
,
, ,

82
.
,
,
QCA,
(data pipelining)
QCA [219].

4.4: QCA,
.

4.4
QCA,
. ,
(
)
( ).
(
).
:

,
.

83
4.5: 8 1 .

4.5 8 1
.

,

.

84
QCA
, ,

, ,
.
,
,
QCA
. ,

,


,
.


( ),

( ).
3, QCA
,
.
,

, 4.6..
QCA
clk0, clk1, clk2, clk3
0, /2 , , 3/2
.

85


/2

3/2

()
4.6: () QCA
()
, () QCA
.


,
,
, .

[220].
,

( 4.6.).

.
.
1 ( )
. 2 (
) ,
, .

86

1 2.

4.4
.

4.4.1 2 1.

2n 1
QCA. ,

(circuit blocks),
2n 1 .
block 2 1,
4.7. i0 i1
, s out
.

i0

i1

out

( ) AND block

Clock 0
AND block
Clock 1
OR block
Clock 2
Delay block Clock 3

4.7: block QCA 2 1.

87
block : AND
block, ( ) AND block, OR block block (delay
block). blocks
.
(0, 1, 2, 3, 0, 1, )

.
,
( OR block ),
.
[20] [219].

(pipeline),
AND OR block
. i1,
AND block, block
s AND
block ( ) AND block.
4.8 QCA blocks
2 1. block AND ( )
AND,
() 0, AND
. ()
block (C)
block.
block,
,
block
(C) .
block. block
AND ( ) AND 4.8 () ()
,
QCA, ,
QCA .

88

45. block
block

(inverter chain).

blocks
,
,
,
. block AND
( ) AND, (C)
block block AND,
block ( ) AND.

A A
B B

C C

() ()

() ()

4.8: QCA blocks 2 1, () AND block,


() ( ) AND block, () OR block () block (delay block)

89
block OR 4.8..

1, OR ,
block.
block (delay block)
4.8.. delay block
.
.

.


, ,
( 0 1).

, -1 1.

-1 1 (
3.2),
0 1.
QCA 2 1
4.9.

QCADesigner.
1818 nm,
5 nm
2 nm. 56
QCADesigner 238 278 nm2
0.07 m2. 12 14

1/3.

90
4.9: QCA 2 1 .

2
1 coherence vector
QCADesigner, 4.10.

i0 i1.
out ( ) .
, s 0,
i0,
0,1,0,1,. s
1, (
) i1,
0,0,1,1,.

91
4.10: 2 1.

QCA ,

[221]. QCA
,
, .
, QCA
,
,
.
QCA
, [204]
[181].
( ),
.
,

92
QCA
, .



[222].
,

.
. ,
,
28 27
45 [204].
Kelvin
QCA
, kink (
) [3] [223].
,
[3] [20] N QCA
kinks (3.2).
2 1 10,

[20].

.

QCA,
.

. ,

.

,

93
.

.

QCA ,
[224] [217].
2 1,
QCA
.

. ,
,

[204] [222],

QCA.

.


.

4.4.2 4 1.

2 1
,
QCA 4 1
. block
4 1, 4.11.
blocks
. delay blocks x2 x3
2/4 3/4 .

94
s0

s1

i0

i1

i2 x2

i3 x3

out

( ) AND block

Clock 0
AND block
Clock 1
OR block
Clock 2
Delay block Clock 3

4.11: block QCA 4 1.

i0 i3
s0, s1
. block , block

.
,
,
blocks , .
block
,
.

block .

95
4.12: QCA 4 1.

QCA 4 1
,
,
2 1, 4.12.
215 398 618 nm,
0,25 m2. 20 31

0,347.
4 1,
4.13.
2 THz.
, 6
(1,5 ).

96
coherence vector relaxation time 10-15 s, step time 10-16 s radius of effect
80 nm.

4.13: 4 1.

4.4.3 8 1.

QCA

.
8 1. block
4.14.
blocks ,
2 1, 4 1.
,
,

block. 8 1 delay
blocks 1 7
. 4 1
8
AND blocks 4 1 3

97
AND blocks, ,
4 1. 8
1 8 ,
, 4 1 4 .
8 1 3 (s0,s1,s2),
, 4 1
2 (s0,s1).

s1 s0

s2 x2

i0

i1

i2 x2

i3 x3

i4 x4

i5 x5

i6 x6

i7 x7

out
( ) AND block

Clock 0
AND block
Clock 1
OR block
Clock 2
Delay block Clock 3

4.14: block QCA 8 1.

98
8 1
,
,
, 4.15.

4.15: QCA 8 1.

99
633 , 518
1298 nm, 0,67 m2. 26 65


0,375.

4.16: 8 1.


4.16.
s, s0, s1 s2,
s2 s0 .

out

100
.
2 THz.
11
2,75 .
coherence vector relaxation time 10-15 s, step
time 10-16 s radius of effect 80 nm.
2 1, 4 1
8 1,
2 1.
block 2 1,
2n 1.
, 2n 1,
2n+1 1, : () block
(.. 4.14), ()
AND blocks () block
2n-1 ,
- 2n-2 ...
block
4.14 4.11.
, QCA
2n 1,
.
QCA
, 2
1 [20]. ,

2 1,
.
,

. 8 1
[20]
2 1 .
8 1

101
,
,
.
11 9
,
,
( kinks) .
4-1.

4-1: QCA 8 1 Vankamamidi


et. al. [20], .



Vankamamidi et. al.
[20] .

QCA 576 633



2052 1690
(grids)

(m2) 0,82 0,67



9 11
( )

13 10
()

2n 1,
block
n 2n, AND ( ) AND blocks, (n 2n/2)

AND blocks ( ) AND blocks.


2n-1 delay blocks . delay
blocks .
i1 ,
i2 ...,
i(2n-1), 2n-1 .
n-1 delay

102
blocks . delay blocks
.
s(n-1) ,
s(n-2) ...,
s0, n-1 . delay block
2n-1 OR blocks AND blocks
.

4.5
.



,
2n
1.
,
.
,
, ,
, ..
,
2 1,
4 1 8 1,

2n 1.

4.5.1 2 1


, QCA.
, QCA
(blocks),
,
blocks .

103
s

i0

i1

out

( ),( ) AND block

Clock 0
OR block Clock 1
Clock 2
Delay block Clock 3

4.17: block QCA 2 1.

block 2 1,
4.17, i0 i1
, s out
. ( )( ) AND
block, OR block block (delay block). blocks

.
(0, 1, 2, 3, 0, 1, )
.
,
, .

block. i1
( delay block),
s ( )( ) AND block.
s

104
( )( ) AND block
block.

block .
.

A
B

() ()

()

4.18: 2n 1: ()
( )( ) AND block, () OR block, () delay block.

4.18 ( )( )

AND, OR delay blocks. ( )( ) AND block



0, AND.
-1
AND,

. i0 i1 block
, AND,
. AND
block

105

.
i0 i1,
block.
QCA OR block 4.18..
,
1, OR
block.
block (delay block) 4.18.. delay
block
. .


.

4.19: QCA 2 1
.

QCA 2 1
4.19.
1818 nm,
5 nm 2 nm.
48 238 218 nm

106
0.05 m2. 12 11

0,3636.
2 1
[225] [226] [20] [204].

4.20: 2 1.


2 1 coherence vector
QCADesigner, 4.20.
s0, i0, i1, out
.

.

i0 i1. out
i0 (
0,1,0,1,) s 0,
.
s 1,
i1 (
0,0,1,1,0,0,). s
0,
i0 0,1,0,1, .

107
4.5.2 2n 1.

2 1
blocks,
2n 1.
block
2n 1 , 4.21.
block AND block
2 1.
AND block , ( )( ) AND
block, ( )( ) AND block ( )( ) AND block.

2n n . ( )( )
AND blocks, 2n ,
. ,
( )( ) AND ( )( ) AND
blocks. ,
( )( ) AND ( )( ) AND blocks
, ...
( )( ) AND ( )( ) AND blocks
:

( )( ) AND blocks :

((( ) ) ) | | (4.2)

( )( ) AND blocks :

((( ) ) ) | | (4.3)

k=1,,2n-2 j block ,
2 ( ) n
( ). div
mod
.

108
S0

S1

n-1 delay blocks


n-2 delay blocks
Sn-2


Sn-1

i0

i1

i2

2n AND blocks
i3

rows


2n-2 delay
i2n-2
blocks

i2n-1

2n-1 delay n AND block


out
( ),( blocks
)
AND block
Clock 0
Corner
OR block
( ),( ) Clock 1
AND block OR block
Clock 2
( ),( ) delay block
Delay block Clock 3
AND block

4.21: Block 2n 1.


, 2n
.
AND block

109
blocks ,
, delay blocks
. AND block
block , i0
. , i1
s(n-1)

block.
i2 i3. H i2
2 ,
AND block
block
2 . i3
3 ,
s(n-1) 2
, block

block.
i4 4
AND block i5
5 ...
i(2n-1) (2n-1)
.
AND block
, .
s(n-1) ' ,
i0
block . block
,
s(n-2)
,
s(n-3) 2
... s0
(n-1) .

110
blocks corner OR block 2n-1 OR blocks,
AND blocks
OR ,
. 4.22
QCA, blocks
2n 1.

() () ()

()

() () ()

4.22: QCA blocks


2n 1, () ( )( ) AND block, () ( )( ) AND block, () ( )( ) AND
block, () OR block, () corner OR block, () delay block, () delay block
.

111
AND block,
37 , delay block 2
, OR block 20 corner OR block
17 ,

blocks,

2n 1. block 4.21
2n 1, : n2n-1 AND blocks, corner OR
block, 2n-1-1 OR blocks, (1+2+3++n-2+n-1) n(n-1)/2 delay blocks
(1+2+3++2n-2+2n-1) 2n(2n-1)/2 delay
blocks.
2n 1, Ncell, :

( ) ( ) ( ) (4.4)

,
AND blocks ,

. , AND
blocks ,

. ,
OR block
.

:

( ) ( ) ( )

(4.5)

QCA 2n 1,
.

, i(2n-1).

112
W, , 2n-1
delay blocks, n AND blocks OR block, :

( ) (4.6)


,
s0 . , H,
, n-1 delay blocks 2n-1 AND
blocks 3
:

( ) (4.7)


QCA ( ), 2n 1
:

( ( ) ) ( ( ) )
(4.8)

,
QCA,
,
:

(4.9)

4.5.3 QCA 8 1.

QCA 2n 1

.
8 1. block
.
, ,
,

113
,
block.
QCA 8 1
4.23.
1818 nm,
5 nm 2 nm.
569 518 1138 nm
0.59 m2. 26 57

0,3839.
,
coherence vector QCADesigner
4.24.
i0 i7,
out .
s0, s1, s2 select,
. select


s2,s1,s0.

i0 i7. i0
0,1,0,1, , i1 0,0,1,1,0,0,1,1,
i2 0,0,0,1,1,1, ... i7
0,0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,
out i0
( ) s 0,
i1 s 1, i2
s 2 ...
7 i7
( ).

114
4.23: QCA 8 1 .

115

1 THz.
, 11 2.75 ,


.
coherence vector relaxation
time 10-15 s, step time 10-16 s radius of effect 80 nm.

4.24: QCA 8 1.

116
4-2
QCA 8 1
,
QCA 8 1
Vankamamidi et al. [20].
10%

Vankamamidi et al.,
18%
.

4-2: QCA 8 1 Vankamamidi


et. al. [20], .



Vankamamidi et al.
[20]

QCA 576 633 569



2052 1690 1482

(grids)


0,82 0,67 0,59
(m2)


9 11 11
( )


13 10 10
()

117
8 1,

(569 576)
27% ,
[20].
,
13 10 .

11,5% ( 3.2)
(kinks).

118
5 -
QCA .

5.1

(..) [Cellular Automata, (C.A.)]


,

[227] [228]. .. VLSI
VLSI
. , .. VLSI
, , (modular), (cascadable)
, .
..

,
.
.., von
Neumann, ,
,

. ..
: ,
.
.., ,
() ,
. ,

, ..

,
.

119

. , ..
,
,
Rent (r0,5) , ,
,
.
, ..
,
[229] [228].


,
. T .. ,
, [230].
, ..
-.
. ..
( ..) (
..) ..
[231]. , ..
VLSI,
[232].
,
, ,
..
VLSI [230] [232].
,
CMOS ,

(emerging technologies).
,
( QCA),
, o 1012 /cm2

120
1 THz.

QCA,
.. ,

.
,
,
.. QCA, ,
QCA.

5.2 -
O Blaise Pascal (1623-1662), ,
, , ,
. , Pascal (
,

, 1303)
.
Pascal, ,

(1+x) n.
, , .. ,
,
1940.
, ..
.
. ,
, ,
, .. [233] [234] [235].
..
.

121
. ,

,
.. ..
John von Neumann [227], ,
1940,
. von Neumann
,
, .. ,
.

1 1

1 2 1

1 3 3 1

1 4 6 4 1

1 5 10 10 5 1

1 6 15 20 15 6 1

1 7 21 35 35 21 7 1

5.1: Pascal .

von Neumann
,
. ,

. ,

122
,
.
,
.
, .
,

, (-, self-
replicated).
- (self-reproduction)
.
S. Ulam [236], von Neumann

(cells).
(cell) (internal
state),
(bits) . von Neumann
,

. (rule)

.
,
. ,
,
.
von Neumann ..
- .. von
Neumann

.
29 . (evolution rule)

, , ,

123
.
, von Neumann (von Neumann rule)
[237],
.
, von Neumann

"".
,


. -
..,
.
von Neumann
(universal computing), .
(initial configuration)
.
:
; , ,

[238]. ,
( )
..

..
von Neumann,

. , E.F. Codd [239]
1968 , , C.G Langton [240] Byl [241]
..,
-, .
,
,
( DNA), ,

124
, .
..
,
( ),
[242].
,

.. 1970,
John Conway , ,
(Game of Life) [243].

. ,
""
( 1) "" ( 0).
: ""
"" .
, ""

, . ..
, (neighborhood)
(, ,
, ),
, .
,
.

. , (gliders).

, .

, [244].
, von Neumann,
.. .

125
, ..
1950 [245].
,
,
..:
,
. , ..,
, ,

.
1980, S. Wolfram
..,
Wolfram [246]. ..
, ,
,
. ,
..,
, ..,
,
.
Wolfram ..

Wolfram
, .
Wolfram, ,

.. , ,
.
.. ..
, ..
VLSI.
,
, ..

126
,
.


..,
. , ..
VLSI, ..
, , , ,
.
..
m,
VLSI. ,
, ..
,
(...),
CMOS
[13]
[96] [6].

5.3

, .. :

(i) -
.

(ii)

C r , t C 1 r , t , C 2 r , t , ..., C m r , t Boole,

r ,
t = 0, 1, 2, .

(iii) R R 1 , R 2 , ..., R m

:
C r, t

C j
r , t 1 R C r , t , C r , t , C r
j 1 2
, t , ..., C r q , t (5.1)

127
r k

r .
, R
,
. ,
, , ,

r . , , ,

.
(boundary cells)
. ,
,
(1)
(0) .
, t+1
,
t. , , ,

t-1, t-2, , t-k.
,
.
.. .

. ,
, . ,
,
. , ,
.
, (
, ,
).
..,
: von Neumann,

128
, ,
, , ,
. Moore
, ,
, ,
. 5.2
..

( ) ( )

5.2: () von Neumann , ()


Moore, .

, , ..
.
. ,

.
,
, .

,
, .

, .
,

129

. ,
(periodic) (cyclic), ,

.
5.3,
.. ,
, ,
. (fixed) ,

. (adiabatic) ,
. ,
(reflecting)
, ,
( 5.3). ,
, ,
.

5.3: .

.


, ,
,
. .. ,

.
, ,

130
(lookup table),

.
2p, p
.
,

,
, .
, +, , * /
.

.., .
..
. ,
, ,
. ,
,

.

5.4 .. .

..
1960,
. ..
, .
1980
.., Wolfram. ,
()
(lattice gas) HPP [247], 1970
Hardy, Pomeau de Pazzis,
..

131
,
.
,
.
Ising (Ising model) spin (classical spin)
. ,
,
.. , ..
,
,
, ,
.
..
,
. 1980,

. ..
FHP 1986 U.
Frisch, B. Hasslacher Y. Pomeau [248], S.
Wolfram [249],
..
[250]. ,
, , ,
Navier-Stokes.
, ..
,

.
1980, McNamara Zanetti [251], Higueras, Jimenez Succi
[252] Boole
.. ,
.
, Boltzmann,
Boole

132

Reynolds .
, (pattern formation),
-, -
, , ,
,
, , .. ,
..
.
,
..,
( )
. Toffoli [253]
..

Vichniac [254] ..
, . Toffoli [255],
.. ,

, Margolus Fredkin
, , 1980,
..
.
,
, .
..
. ,

.
.. , ,
(growth). Crisp [256]
..
. ..
Good [257]. Maverick [258] Packard [259]

133
,
..
, , Bruning [260]
..
, ,
,
,
. - ,
, .. Greenberg, Hassard Hastings [261]
K.A.
-. ..
(spiral pattern formation)
. (probabilistic) .. ..
,
, l. Park
[262] ..
soliton (soliton-like behaviour). ..
(collision properties).
[263]
..
,
,
, ,
. , [264]
..
. ..

,

.
..

[265].

134

.
Gaussian ,
30 Gaussian,

. [266]
..
.
[267] ..
DNA .
,

, , ..
..,
(etching)
[268]. ..


.
, ..,
" " (image reversal) [269],

(photoresist),
, ,
.
, ..
(chemical amplification)

(deep submicron ICs) Lasers
(deep ultraviolet) [270].
Lasers
(deep ultraviolet),
,
[271], [272],

135
,
, ,
(photoresist)
[273]

,
.
, ..
, .
..


. ,

.. Boltzmann
.
..
, .


..,
(dedicated hardware).

5.5 .. VLSI

.. VLSI (
, Very Large Scale Integration)
.

VLSI. ,
, (modular)
(cascadable)
. ,

136
(LFSR, Linear Feedback Shift Register)
, BIST (Build-In Self Test)
,
(response evaluation),
(error correcting codes), (data
encryption) . ,
VLSI,
LFSR, :

, ,
: (1) -
, (2) , (3)
.
, .. , , ,
.
..
m,
VLSI.
..
VLSI Pries, Card
[274],
...
.. ,
(multiplication rule). ,
.. ,
. ,
modulo
. ..

(optimal communication graphs) VLSI
(layouts). -
modulo K.A.
modulo VLSI

137
..
.
..

.. VLSI. , ,
, Card [275]
.. ,
150 90, .

.., 150 90,
(additive) ,
. , ,
.. ,

.. ..
. , , ,

, ..
[276],

. ..
VLSI ,
modulo , ,
,
(pictorial data) [276]. , , ..

,
.
, Hicks York [277]
.. ()
,
, ..

138
..
VLSI modulo .
,
Wolfram .. .
, (autoplectic) 3

(pseudorandom pattern generation). 30
45 . ,
Hortensius [278] (hybrid) ..,
90 150 30 45
.
.. ..

.

.

..
.
[279],
..
BIST .
Chowdhury [280]

..
, ,

..
..,
. ,

..
ISCAS (benchmarks) [281].

139

.
.. BIST
Albicki [282]. Albicki
(deterministic)
(uniform) ..
..
..
(disjoint) . ..
,
, ,
.
" "
(store and generate).
. ..
,

. ,
..
. ,

..
,
,
.. .
,
..

(bitstreams).
,
bitstreams .

.. .

140
. Nandi [283] ..
.
..
,
../

" ". ,
.. 90/150

(stuck-open faults).
, 3.

5.6 ..
.

.., ,

,
VLSI.

.
,
,
. ..

VLSI.
K.A.
QCA,
.
QCA
..,
..
CMOS ,
. .. QCAs,
,

141
,
.
QCA
...
,
..
QCA.

.. ,
Wolfram.
..,
(
, , ).

{0, 1}, 23=8 .
Wolfram ,

.
QCA .. ,
8 1
2n 1 .
.. ,
Wolfram ,
.

.


.

, 2 1
8 1
. 2 1,
2

142
1 .
7
3 . QCA
2 1 5.4. ,
select in0, in1
out.
1818 nm, 5 nm
2 nm. 32
178 187,95 nm 0.0335 m2.
9 9,5

0,3743.

5.4: 2 1 ..

143
5.5: 2 1

2
1 coherence vector
QCADesigner, 5.5.

in0 in1.
, select 0,
out in0
( ). select 1
out
in1 ( ).
select 0,
in0.
2 1
..,
.
144
,
,
,
.
.. QCA,
90, 5.6.
8 1
,
90 ( 90).

Wolfram.

.
, ,
, .
,
( 45),

.
,
/ ...

QCA
15 QCA.

QCA,
QCA .
K.A. 685
QCA 2965,5
,
5781307,77 nm 0,7559 m2.

145
5.6: QCA .., 90.

146
5.7: QCA .. 5
Wolfram 90.

147
5.8: .. 5
Wolfram 90.


,
coherence vector QCADesigner.
,
Wolfram ..
3 5 .
. 5.7 QCA
.. 5 90
5.8 .
5.7 3378 QCA
14265,5
2838,791307,77 nm 3,71 m2. 5.8
..,
0, 1, 1, 0, 0 #5 #1
.

148
5.7
.. QCA (DATICAQ: Design
Automation Tool of 1-D Cellular Automata using
Quantum Cellular Automata).

,
..
QCA, DATICAQ. ..
Wolfram,
QCA ,

,
,
CMOS.
, QCA,
-QCA,
, -QCA,
-QCA.

5.7.1
DATICAQ.
DATICAQ
QCA .. .
QCA,
,
QCA,
,
.
QCA,

,
.
DATICAQ
(World Wide Web WWW)
PHP.

149
(platform
independent).
standard HTML (Hypertext Markup Language),
web browser.
DATICAQ
- (client-server).

(web server),
. DATICAQ

URL: http://infoman.teikav.edu.gr/daticaq.
QCA ,
5.9.
..
.
QCA
...
,

QCA.

.., Wolfram
.. ...
, ..,
,


.
Test CA,
,

.
1 5.10.

150
1:
..

..

2:
QCA

: . QCA .qca QCA


Designer.

.
QCA Designer Simulator.

5.9: DATICAQ.

151


.. ..


Wolfram


Test
..
CA

2:
QCA Circuit
QCA

5.10: 1, DATICAQ.


,
.. ,
.

152
0
1 .
,
.. ( )
.
,
QCA.

QCA ..,
QCA ..,
.
.. QCA [284],
,
.
block ,

... block
...
..,

.

..
.
.

QCA,
. , DATICAQ
.. (blocks),
blocks
blocks.
block , QCA
blocks
blocks,

153
. ,
blocks, block
block
blocks .
blocks ,
blocks,


. ,
, blocks
( ),
.

,
QCA.

QCA, 28
QCA 27
[204].
2
QCA
2 .

,
block ,

block
block.


..,
... ,
,
. ,

154
..

.
,
..
. ..
..,

.

, ,

.., .
, QCA
.. 24
(6 ),
.. (12 )
.. (12
).
14 QCA, 2
QCA
2 .

.
,
.. Wolfram

( 5.9).
block, ,
. block_placement,
PHP,
..,
Wolfram. ,
.. (text

155
files), .
,
QCA block.
QCA
tab,
:
1. QCA
, .
2. QCA
, .
3. 0 3,


QCA.
4. QCA
: QCAD_CELL_NORMAL
, QCAD_CELL_INPUT
, QCAD_CELL_OUTPUT
, QCAD_CELL_FIXED
.
5. QCA
QCAD_CELL_FIXED.
0,1 rule0 rule7. rule
bits
Wolfram . rule0
rule7
Wolfram.
6.
45 ( 1) ( 0).
7.
QCA. ,
##
..
.

156
8. .
9. .

block
,
QCA . block_placement
block.
block
, block_placement
QCA, ...
block_placement
PHP DATICAQ,
QCA .. . PHP

.

5.11: DATICAQ 2
( QCA Circuit).

157
%%VECTOR TABLE%%
# This is a vector table file created by the CA-QCA-Tool.
# The inputs this vector table was constructed for are listed below
# from Most Significant Bit to Least Significant Bit:
# load_init_2
# init_2
# load_init_1
# init_1
# load_init_0
# init_0
# Active input mask.
111111
# The vectors.
000100
000100
000100
000100
000100
000100
000100
000100
000100
000100
000100
000100
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110
101110

5.12: .

158
5.13: DATICAQ
Simulation Help).

(layout) QCA
,
QCA Circuit ( 5.11).
Open the design
in QCADesigner, Simulation input vectors file Simulation Help.
,
, QCA DATICAQ,
QCADesigner. ,

QCADesigner
Simulator.

..,
.
5.12.

159
,

( 5.13).

5.7.2 DATICAQ .. .

DATICAQ,
, .. ,
block
5.14. , block
, block, block bleft,
block,
block. T block,
block bright, block,
block.
block down
block. block
, init load_init,
.. block.
init ..
load_init
.

Block Block Block Block

bleft down
down bright

5.14: block ..
.

5.15
block . QCA
blocks

160

.
QCA,
QCADesigner. ,
QCA 1818 nm, 5 nm
QCA 2 nm.

() () ()

5.15: block
.. : () bleft block, () down block, () bright
block.

T block bleft down 670 676


. 2865,5
5581308 nm2 0,73 m2. block bright
639 QCA 2665,5
5181308 nm 0,68 m2. 5.16
QCA ..
30,
DATICAQ.

161
5.16: QCA ..
30, DATICAQ.

162
3337 QCA
27581308 nm, 3,61 nm2.
QCA 13865,5
QCA
0,369 1/3. , QCA ..
DATICAQ,
, :

(5.2)
n .. , ,
R, QCA
:

-
(5.3)
-

, QCA
:

(5.4)

..
30
5.17,
QCA, DATICAQ,
5.18.

5.17: ..
30.

163
5.18: QCA ..
30.

164
5.18
, .
, load_init
"0" 00010 ..
init. load_init
"1" , ..
30. ..
6 .
coherence vector QCADesigner.

5.7.3 DATICAQ .. .

DATICAQ
QCA ..
. radio button
.
block
.., ..
.
right-up
left-up
Block

Block

Block

Block


up

up

Block Block
left right
right-down
left-down

Block

Block
Block

Block
down

down

5.19: block ..
.

165
()

()

() () ()

() () ()

5.20: block
.. : () left block, () right block, () left-up block,
() up block, () right-up block, () left-down block, () down block, () right-down block.

166
blocks 5.19.
block (
) ( ),
.
block left, left-up left-down,
block right, right-up right-down.
up blocks,
down blocks.

, block
init load_init
.. QCA.
block
..
5.20.
QCA QCADesigner, block right-up, up, left-down down
683
2865,5 QCA 5581308 nm2, 0.73 m2.
block left, right, left-up right-down 669, 669, 665
665 QCA
2665,5 QCA 5181308 nm2, 0.68 m2.
5.21, QCA ..
90 ,
QCA,
DATICAQ. QCADesigner,
6718 QCA 44582818 nm2
12,56 m2.
223141
QCA
0,214.

167
5.21: QCA .. 90
, QCA,
DATICAQ.

168
, QCA ..
n ..
DATICAQ,
, :

(5.5)

O QCA
:

(5.6)

O QCA
:

(5.7)

5.22: ..
90.

169
5.23: QCA ..
90 .

170
..
90
5.22
QCA, DATICAQ,
5.23. 5.22
1
0.
QCA, ,
, ,
, ,
...
.
load_init
load_init_0 .
, load_init
..
0000000100 init init_9 (
) init_0 ( ).
load_init
.. 90.
.. 6
.
, 6
.
coherence vector
QCADesigner.
DATICAQ,

.

..
..
. DATICAQ
QCA.

171
172
6 - QCA
.

6.1

QCA,

.
,
.
QCA,
,
.
QCA

.

,
,

QCA
. ,
QCA,

,
.
,
,
.
.
QCA ,
. , Dmax,
,

173
L , d,
:

(6.1)

QCA
, Dmin, ,
QCA . L
, ,
d, :

(6.2)

(6.1) (6.2)
L, QCA
:

(6.3)


QCA
,

. x1 x2
QCA ,
. ,

, .


:

(6.4)

174
(6.4)
x1/x2 QCA
:

(6.5)



, ,
QCA .

QCA
. QCA
Firing Squad Synchronization.

6.2 Firing Squad


Synchronization

Firing Squad Synchronization (FSS)


Moore 1962 [285].
, , n
, 1 n. 2
n-1 (soldiers). 1
(general) n (right-end-
soldier).
t+1,

t. t0,
(quiescent state). t=1,
(n=1)
. ,

.

175
) , (fire
state), ,
,

.
n.

, n
.
,

-
(self-reproducing machine).
J. McCarthy M. Minsky,
. 1962 Goto [286]
,
. 1966 Waksman [287]
16 . Balzer [288]
Gerken [289]
,
. 1987 Mazoyer [290]


.
[291]
.
Mazoyer
,
,
.

QCA
QCA
.

176
6.3 Mazoyer.

Mazoyer
120
.

. ,
(quiescent state),
, (general
state),
(general cell)
(firing state),

.
(boundary state),

( 6.1).

C1 C2 C3 C4 Cn



(General)

(Soldiers)

6.1: Firing Squad Synchronization.


,
. 6.2
. ,
G, L, F X

177
Right State Right State
A B
A B C G L X A B C G L X

A A B C B A F A B B L G

B G C C G C B A B C B G
Left State

Left State
C A A C A L L L

G C C C G C B G C G

L A L G L G B L B

X F G X

Right State Right State


C L
A B C G L X A B C G L X

A B B B B A L L L C G C

B C G C G B L L L L L L
Left State

Left State

C A B C B C C L L L G A G

G B B B B G L L L A C A

L A G C G C L L L L L L

X X

Right State
G
A B C G L X

A G G B

B G G G B G
Left State

C G G A A A

G G G F B F

L G G G

X G G F A

6.2: Mazoyer.

178
General, Quiescent, Firing Boundary .
O(n2).

: ) (

), ) (
) )
( ).
F,

. X,

.

.

6-1: Mazoyer
.. .

L 000

G 001

A 011

B 010

C 100

X 101

F 110

6.4 Mazoyer QCA.



QCA Mazoyer,
QCA
.
...
,
179
out_2 out_2
Right State Right State
A B
011 A B C G L X 010 A B C G L X
011 010 100 001 000 101 011 010 100 001 000 101
A A B C B A F A B B L G
011 0 0 1 0 0 1 011 0 0 0 0
B G C C G C B A B C B G
010 0 1 1 0 1 010 0 0 1 0 0
C A A C A L L L
Left State

Left State
100 0 0 100 0 0 0 0
G C C C G C B G C G
001 1 1 1 001 1 0 0 1 0
L A L G L G B L B
000 0 0 0 000 0 0 0 0
X F G X
101 1 0 101

out_2 out_2
Right State Right State
C L
100
A B C G L X 000
A B C G L X
011 010 100 001 000 101 011 010 100 001 000 101
A B B B B A L L L C G C
011 0 0 0 0 011 0 0 0 1 0 1
B C G C G B L L L L L L
010 1 0 1 0 010 0 0 0 0 0 0
C A B C B C C L L L G A G
Left State

Left State

100 0 0 1 0 1 100 0 0 0 0 0 0
G B B B B G L L L A C A
001 0 0 0 0 001 0 0 0 0 1 0
L A G C G C L L L L L L
000 0 0 1 0 1 000 0 0 0 0 0
X X
101 101

out_2
Right State
G
001
A B C G L X
011 010 100 001 000 101
A G G B
011 0 0 0
B G G G B G
010 0 0 0 0 0
C G G A A A
Left State

100 0 0 0 0 0
G G G F B F
001 0 0 1 0 1
L G G G
000 0 0 0
X G G F A
101 0 0 1 0

6.3: out_2.

180
out_1 out_1
Right State Right State
A B
011 A B C G L X 010 A B C G L X
011 010 100 001 000 101 011 010 100 001 000 101
A A B C B A F A B B L G
011 1 1 0 1 1 1 011 1 1 0 0
B G C C G C B A B C B G
010 0 0 0 0 0 010 1 1 0 1 0
C A A C A L L L
Left State

Left State
100 1 1 100 1 0 0 0
G C C C G C B G C G
001 0 0 0 001 0 1 0 0 0
L A L G L G B L B
000 1 0 0 000 0 1 0 1
X F G X
101 1 0 101

out_1 out_1
Right State Right State
C L
100
A B C G L X 000
A B C G L X
011 010 100 001 000 101 011 010 100 001 000 101
A B B B B A L L L C G C
011 1 1 1 1 011 0 0 0 0 0 0
B C G C G B L L L L L L
010 0 0 0 0 010 0 0 0 0 0 0
C A B C B C C L L L G A G
Left State

Left State

100 1 1 0 1 0 100 0 0 0 0 1 0
G B B B B G L L L A C A
001 1 1 1 1 001 0 0 0 1 0 1
L A G C G C L L L L L L
000 1 0 0 0 0 000 0 0 0 0 0
X X
101 101

out_1
Right State
G
001
A B C G L X
011 010 100 001 000 101
A G G B
011 0 0 1
B G G G B G
010 0 0 0 1 0
C G G A A A
Left State

100 0 0 1 1 1
G G G F B F
001 0 0 1 1 1
L G G G
000 0 0 0
X G G F A
101 0 0 1 1

6.4: out_1.

181
out_0 out_0
Right State Right State
A B
011 A B C G L X 010 A B C G L X
011 010 100 001 000 101 011 010 100 001 000 101
A A B C B A F A B B L G
011 1 0 0 0 1 0 011 0 0 0 1
B G C C G C B A B C B G
010 1 0 0 1 0 010 1 0 0 0 1
C A A C A L L L
Left State

Left State
100 1 1 100 1 0 0 0
G C C C G C B G C G
001 0 0 0 001 0 0 1 0 1
L A L G L G B L B
000 1 0 1 000 1 0 0 0
X F G X
101 0 1 101

out_0 out_0
Right State Right State
C L
100
A B C G L X 000
A B C G L X
011 010 100 001 000 101 011 010 100 001 000 101
A B B B B A L L L C G C
011 0 0 0 0 011 0 0 0 0 1 0
B C G C G B L L L L L L
010 0 1 0 1 010 0 0 0 0 0 0
C A B C B C C L L L G A G
Left State

Left State

100 1 0 0 0 0 100 0 0 0 1 1 1
G B B B B G L L L A C A
001 0 0 0 0 001 0 0 0 1 0 1
L A G C G C L L L L L L
000 1 1 0 1 0 000 0 0 0 0 0
X X
101 101

out_0
Right State
G
001
A B C G L X
011 010 100 001 000 101
A G G B
011 1 1 0
B G G G B G
010 1 1 1 0 1
C G G A A A
Left State

100 1 1 1 1 1
G G G F B F
001 1 1 0 0 0
L G G G
000 1 1 1
X G G F A
101 1 1 0 1

6.5: out_0.

182
23 .
, . 6-1

Mazoyer ..
.
1
,
. out_2,
out_1 out_0
.. out_2
out_0 ,
out_2, out_1 out_0
6.3, 6.4 6.5 .
..
Mazoyer,
Karnaugh.
( p2p1p0 ,
c2c1c0
n2n1n0 )
.
, Boole
, (minterms)
. Karnaugh

.
6.6 - 6.20, Karnaugh
.
,
.
0 1,
.

,

183
out_0 minterms
Right State
1. p2 p0 c2 c1 c0 n0
C ()
100 L G A B X C
000 001 011 010 110 111 101 100 2. c2 c1 c0 n1 n0
()
L C G A G C
000 0 1 1 1 0 3. p2 p0 c2 c1 c0 n1 n0
()
G B B B B
001 0 0 0 0

A B B B B
011 0 0 0 0

B C G G C
Left State

010 0 1 1 0

110

111

X
101

C C B A B C
100 0 0 1 0 0

6.6: Karnaugh out_0 C.

out_0 minterms
Right State
1. p1 p0 c2 c1 c0 n1 n0
L ()
000 L G A B X C
000 001 011 010 110 111 101 100 2. p1 p0 c2 c1 c0 n2 n1 n0
()
L L L L L L
000 0 0 0 0 0
3. p2 c2 c1 c0 n1 n0
()
G C A L L A L 4. p2 c2 c1 c0 n2 n1 n0
001 0 1 0 0 1 0
()
A G C L L C L
011 1 0 0 0 0 0

B L L L L L L
Left State

010 0 0 0 0 0 0

110

111

X
101

C A G L L G L
100 1 1 0 0 1 0

6.7: Karnaugh out_0 L.

184
out_0 minterms
Right State
1. c2 c1 c0 n1
G ()
001 L G A B X C
000 001 011 010 110 111 101 100 2. p1 c2 c1 c0 n1 n0
()
L G G G
000 1 1 1 3. c2 c1 c0 n2 n1 n0
()
G B F G F G
001 0 0 1 0 1
4. p2 p1 p0 c2 c1 c0
()
A B G G 5. p2 c2 c1 c0 n2 n1 n0
011 0 1 1
()
B B G G G G
Left State

010 0 1 1 1 1

110

111

X A F G G
101 1 0 1 1

C A A G A G
100 1 1 1 1 1

6.8: Karnaugh out_0 G.

out_0 minterms
Right State
1. p1 c2 c1 c0 n2 n1 n0
A ()
011 L G A B X C
000 001 011 010 110 111 101 100 2. p2 c2 c0 n1 n0
L A L G ()
000 1 0 1 3. p2 p1 p0 c2 c0 n2
()
G C C C
001 0 0 0
4. p1 p0 c2 c0 n1
()
A A B A B F C 5. p2 c2 c0 n2
011 1 0 1 0 0 0
()
B G C G C C 6. p2 p1 p0 c2 c1 c0
Left State

010 1 0 1 0 0
()

110

111

X F G
101 0 1

C A A
100 1 1

6.9: Karnaugh out_0 A.

185
out_0 minterms
Right State
1. p1 c2 c1 c0 n2 n1 n0
B ()
010 L G A B X C
000 001 011 010 110 111 101 100 2. p1 p0 c2 c1 c0 n1 n0
()
L B G B L
000 0 1 0 0 3. p0 c2 c1 c0 n1 n0
()
G C G C G B
001 0 1 0 1 0

A G B B L
011 1 0 0 0

B G B A B C
Left State

010 1 0 1 0 0

110

111

X
101

C L L A L
100 0 0 1 0

6.10: Karnaugh out_0 B.

out_1 minterms
Right State
1. p0 c2 c1 c0
C ()
100 L G A B X C
000 001 011 010 110 111 101 100 2. c2 c1 c0 n1 n0
L C G A G C ()
000 0 0 1 0 0 3. p2 c2 c1 c0 n0
()
G B B B B
001 1 1 1 1
4. p2 c2 c1 c0 n1 n0
()
A B B B B
011 1 1 1 1

B C G G C
Left State

010 0 0 0 0

110

111

X
101

C C B A B C
100 0 1 1 1 0

6.11: Karnaugh out_1 C.

186
out_1 minterms
Right State
1. p1 p0 c2 c1 c0 n1 n0
L ()
000 L G A B X C
000 001 011 010 110 111 101 100 2. p2 c2 c1 c0 n2 n1 n0
()
L L L L L L
000 0 0 0 0 0

G C A L L A L
001 0 1 0 0 1 0

A G C L L C L
011 0 0 0 0 0 0

B L L L L L L
Left State

010 0 0 0 0 0 0

110

111

X
101

C A G L L G L
100 1 0 0 0 0 0

6.12: Karnaugh out_1 L.

out_1 minterms
Right State
1. p1 p0 c2 c1 c0 n1 n0
G ()
001 L G A B X C
000 001 011 010 110 111 101 100 2. c2 c1 c0 n2 n1 n0
L G G G ()
000 0 0 0 3. p2 c2 c1 c0 n0
()
G B F G F G
001 1 1 0 1 0

A B G G
011 1 0 0

B B G G G G
Left State

010 1 0 0 0 0

110

111

X A F G G
101 1 1 0 0

C A A G A G
100 1 1 0 1 0

6.13: Karnaugh out_1 G.

187
out_1 minterms
Right State
1. c2 c1 c0 n1 n0
A ()
011 L G A B X C
000 001 011 010 110 111 101 100 2. p2 p1 p0 c2 c1 c0 n0
()
L A L G
000 1 0 0 3. p2 c2 c1 c0 n2
()
G C C C
001 0 0 0
4. p2 p1 p0 c2 c1 c0 n2
()
A A B A B F C
011 1 1 1 1 1 0

B G C G C C
Left State

010 0 0 0 0 0

110

111

X F G
101 1 0

C A A
100 1 1

6.14: Karnaugh out_1 A.

out_1 minterms
Right State
1. c2 c1 c0 n1 n0
B ()
010 L G A B X C
000 001 011 010 110 111 101 100 2. p1 c2 c1 c0 n0
L B G B L ()
000 1 0 1 0 3. p2 p1 p0 c2 c1 c0 n2 n1
()
G C G C G B
001 0 0 0 0 1
4. p2 c2 c1 c0 n1
()
A G B B L 5. p2 p1 p0 c2 c1 c0 n2 n1 n0
011 0 1 1 0
()
B G B A B C
Left State

010 0 1 1 1 0

110

111

X
101

C L L A L
100 0 0 1 0

6.15: Karnaugh out_1 B.

188
out_2 minterms
Right State
1. p0 c2 c1 c0 n1 n0
C ()
100 L G A B X C
000 001 011 010 110 111 101 100

L C G A G C
000 1 0 0 0 1

G B B B B
001 0 0 0 0

A B B B B
011 0 0 0 0

B C G G C
Left State

010 1 0 0 1

110

111

X
101

C C B A B C
100 1 0 0 0 1

6.16: Karnaugh out_2 C.

out_2 minterms
Right State
1. p1 p0 c2 c1 c0 n1 n0
L ()
000 L G A B X C
000 001 011 010 110 111 101 100 2. p1 p0 c2 c1 c0 n2 n1 n0
L L L L L L ()
000 0 0 0 0 0

G C A L L A L
001 1 0 0 0 0 0

A G C L L C L
011 0 1 0 0 1 0

B L L L L L L
Left State

010 0 0 0 0 0 0

110

111

X
101

C A G L L G L
100 0 0 0 0 0 0

6.17: Karnaugh out_2 L.

189
out_2 minterms
Right State
1. p1 p0 c2 c1 c0 n0
G ()
001 L G A B X C
000 001 011 010 110 111 101 100

L G G G
000 0 0 0

G B F G F G
001 0 1 0 1 0

A B G G
011 0 0 0

B B G G G G
Left State

010 0 0 0 0 0

110

111

X A F G G
101 0 1 0 0

C A A G A G
100 0 0 0 0 0

6.18: Karnaugh out_2 G.

out_2 minterms
Right State
1. p1 p0 c2 c1 c0 n0
A ()
011 L G A B X C
000 001 011 010 110 111 101 100 2. p2 p0 c2 c1 c0 n2 n1
L A L G ()
000 0 0 0 3. p1 p0 c2 c1 c0 n0
()
G C C C
001 1 1 1
4. p2 p1 p0 c2 c1 c0 n2 n1
()
A A B A B F C
011 0 0 0 0 1 1

B G C G C C
Left State

010 0 1 0 1 1

110

111

X F G
101 1 0

C A A
100 0 0

6.19: Karnaugh out_2 A.

190
out_2 minterms
Right State
1. p1 p0 c2 c1 c0 n1
B ()
010 L G A B X C
000 001 011 010 110 111 101 100 2. p1 p0 c2 c1 c0 n2 n1 n0
()
L B G B L
000 0 0 0 0 3. p2 p1 p0 c2 c1 c0 n2 n1
()
G C G C G B
001 1 0 1 0 0

A G B B L
011 0 0 0 0

B G B A B C
Left State

010 0 0 0 0 1

110

111

X
101

C L L A L
100 0 0 0 0

6.20: Karnaugh out_2 B.

.

:

(6.6)

(6.7)

191
(6.8)

boole,
out_0 :

(
)
( )
( )
(
)

( )
( )
( )
( )

(6.9)

out_1 out_2
:

( )

192
( )

(6.10)

(
) ( )

( ) =

( )
( )
( ) =

(6.11)
QCA
..

. ,

,
2n 1, .

193
Mazoyer, QCA

,
. ,

.
, blocks
(
), .
QCA
,
QCA.
,

,

.

,

. ,
, .
,


.
,
.

,
.

194
6.21: QCA Mazoyer

195
6.22: QCA
Mazoyer


, ,

, .
,
108 (27 ),
out_0, out_1 out_2
28, 44 56
80, 64 52
. 9
QCA, 2 QCA

196
2 .

QCA .
6.21 QCA
Mazoyer
. 1818
nm, 5 nm 2
nm. 8449
1338 8258 nm 11.05 m2. 67 413


0,305.

QCA Mazoyer
6.22. ,
, ,
, , .

. p2, p1 p0

, n2, n1 n0
.
,
p2, p1 p0 X (101).
, load_init

001 init_2, init_1 init_0 .


. ,
, load_init
.

Fire (F), : (X,G,L) -> (X,A,C) ->

197
(X,G,B) -> (X,G,G) -> (X,F,F).
27 .
,
27
.
coherence vector QCADesigner.

198
7 -



.
QCA
.
.

, ,
CMOS
.

7.1


,
.
,

.

.

QCA
.
QCA.
,
QCA
.
,

,

199

.

2n 1 QCA.
2 1
blocks,
. ,
,
,
QCA
.
,
2 1
.
, QCA 4 1 8 1,

,
.
2n 1,
.

,
2n 1.

,
.
,
, ,
,
QCA
.
QCA
,

200
,
.

QCA
. ..,

,
VLSI.
K.A. QCA,
.

QCA ..,
..
CMOS
. .. QCAs,
, ,
.
, QCA
..
Wolfram. QCA ..,
QCADesigner,
0,7559 m2
1 THz.

..
, QCA 2 1,
QCA 2 1
. 32
QCA 99,5
0,0335 m2.

QCA ..

DATICAQ. DATICAQ

201
QCA ..
. QCA,
,
QCA,
,
.
QCA,

,

. DATICAQ
(World Wide Web WWW)
PHP.

(platform
independent).
standard HTML (Hypertext Markup Language),
web browser.

QCA

QCA.

,

.

QCA Mazoyer
Firing Squad Synchronization,
QCA
.
..
.
QCA,

202

, QCA
.

7.2

,

,

QCA .
QCA.



QCA.
QCA,

.
, , boole
, PLA.


,
Moore.
..
.

DATICAQ,
.. QCA.

QCA ,
QCA
.

203

QCA
QCA
.
QCA
HDL (Hardware Description Language).


(MQCA-Magnetic Quantum Cellular
Automata). MQCA
QCA,

, MQCA.
MQCA


.

204

[1] "International Technology Roadmap for Semiconductors," Jointly Sponsored by


European Semiconductor Industry Assc., Japan Electronics and Information Technology
Industry Assc., Korea Semiconductor Industry Assc., Taiwan Semiconductor Industry
Assc., and Semiconductor Industry Assc., 2004.

[2] C. S. Lent and B. Isaksen, "Clocked Molecular Quantum-Dot Cellular Automata," IEEE
Transactions on Electron Devices, vol. 50, no. 9, pp. 1890-1895, 2003.

[3] C. S. Lent, P. D. Tougaw, and W. Porod, "Quantum Cellular Automata: The Physics of
Computing with Arrays of Quantum Dot Molecules," PhysComp '94: Proceedings of the
Workshop on Physics and Computing, pp. 5-13, 1994.

[4] C. G. Smith, "Computation Without Current," Science, vol. 284, no. 5412, p. 274, 1999.

[5] . Amlani, A. O. Orlov, G. L. Snider, C. S. Lent, and G. H. Bernstein, "Demonstration of a


Six-Dot Quantum Cellular Automata System," Applied Physics Letters, vol. 72, no. 17,
pp. 2179-2181, 1998.

[6] A. O. Orlov, I. Amlani, G. H. Bernstein, C. S. Lent, and G. L. Snider, "Realization of a


Functional Cell for Quantum-Dot Cellular Automata," Science, vol. 277, no. 5328, pp.
928-930, 1997.

[7] S. E. Frost, A. F. Rodrigues, A. W. Janiszewski, R. T. Rausch, and P. M. Kogge, "Memory


in Motion: A Study of Storage Structures in QCA," in 1st Workshop on Non-Silicon
Computation, Cambridge, 2002.

[8] M. T. Niemier, A. F. Rodrigues, and P. M. Kogge, "A Potentially Implementable FPGA for
Quantum Dot Cellular Automata," in 1st Workshop on Non-Silicon Computation,
Cambridge, 2002.

[9] K. Walus, A. Vetteth, G. A. Jullien, and V. S. Dimitrov, "RAM Design Using Quantum-Dot
Cellular Automata," in NanoTechnology Conference, vol. 2, 2003, pp. 160 - 163.

[10] R. K. Kummamuru et al., "Power gain in a quantum-dot cellular automata latch,"


Applied Physics Letters, vol. 81, no. 7, pp. 1332-1335, 2002.

[11] J. Timler and C. S. Lent, "Power Gain and dissipation in Quantum-dot Cellular
Automata," Journal of Applied Physics, vol. 91, no. 2, pp. 823-831, 2002.

[12] G. H. Bernstein, Hu Wenchuang, Hang Qingling, Sarveswaran Koshala, and M.


Lieberman, "Electron Beam Lithography and Liftoff of Molecules and DNA Rafts," in
IEEE conference on Nanotechnology, 2004, pp. 201-203.

[13] C. S. Lent, P. D. Tougaw, W. Porod, and G. H. Bernstein, "Quantum Cellular Automata,"

205
Nanotechnology, vol. 4, no. 1, pp. 49-57, Jan. 1993.

[14] C. S. Lent and P. D. Tougaw, "Lines of interacting quantum-dot-cells: a binary wire,"


Journal of Applied Physics, vol. 74, no. 10, pp. 6227-6233, 1993.

[15] P. D. Tougaw and C. S. Lent, "Logical devices implemented using quantum cellular
automata," Journal of Applied Physics, vol. 75, no. 3, pp. 1818-1825, 1994.

[16] W. Wang, K. Walus, and G. A. Jullien, "Quantum-dot cellular automata adders," in Proc.
IEEE International Conference on Nanotechnology IEEE-NANO, vol. 2, Los Angeles,
California, U.S.A., 2003, pp. 461-464.

[17] K. Kim, K. Wu, and R. Karri, "The robust QCA adder designs using composable QCA
building blocks," IEEE Transactions on Computer-aided Design of Integrated Circuits and
Systems, vol. 26, no. 1, pp. 176-183, 2007.

[18] H. Cho and E. E. Swartzlander, "Adder Designs and Analyses for Quantum-Dot Cellular
Automata," IEEE Transactions on Nanotechnology, vol. 6, no. 3, pp. 374-383, May 2007.

[19] H. Cho and E. E. Swartzlander, "Adder and Multiplier Design in Quantum-Dot Cellular
Automata," IEEE Transactions on Computers, vol. 58, no. 6, pp. 721-727, June 2009.

[20] V. Vankamamidi, M. Ottavi, and F. Lombardi, "Two-Dimensional Schemes for


Clocking/Timing of QCA Circuits," IEEE Transactions on Computer-Aided Design of
Integrated Circuits and Systems, vol. 27, no. 1, pp. 34-44, Jan. 2008.

[21] V. A. Mardiris and I. G. Karafyllidis, "Design and simulation of modular 2n to 1


quantum-dot cellular automata (QCA) multiplexers," International Journal of Circuit
Theory and Applications, vol. 38, no. 8, pp. 771-785, Oct. 2010.

[22] V. A. Mardiris and I. G. Karafyllidis, "Design and Simulation of Modular Quantum-Dot


Cellular Automata Multiplexers for Memory Accessing," Journal of Circuits, Systems,
and Computers, vol. 19, no. 10, pp. 349-365, 2010.

[23] J. Huang, M. Momenzadeh, and F. Lombardi, "Analysis of missing and additional cell
defects in sequential quantum-dot cellular automata," Integration, the VLSI Journal,
vol. 40, pp. 503-515, 2007.

[24] M. Momenzadeh, J. Huang, and F. Lombardi, "Defect characterization and tolerance of


QCA sequential devices and circuits," in Proc. IEEE International Symposium on Defect
and Fault Tolerance in VLSI Systems, Monterey, California, U.S.A., 2005, pp. 199-207.

[25] A. S. Shamsabadi, B. S. Ghahfarokhi, K. Zamanifar, and N. Movahedinia, "Applying


inherent capabilities of quantum-dot cellular automata to design: D flip-flop case
study," Journal of Systems Architecture, vol. 55, no. 3, pp. 180-187, Mar. 2009.

206
[26] M. T. Nemier, M. J. Kontz, and P. M. Kogge, "A design of and design tools for a novel
quantum dot based microprocessor," in Proc. 37th Design Automation Conference, Los
Angeles, California, U.S.A., 2000, pp. 227232.

[27] V. C. Teja, S. Polisetti, and S. Kasavajjala, "QCA based multiplexing of 16 arithmetic &
logical subsystems-A paradigm for nano computing," in Proc.3rd IEEE International
Conference on Nano/Micro Engineered and Molecular Systems, NEMS 2008, 2008, pp.
758-763.

[28] V. Vankamamidi, M. Ottavi, and F. Lombardi, "A serial memory by quantum-dot cellular
automata (QCA)," IEEE Transactions on Computers, vol. 57, no. 8, pp. 606618, 2008.

[29] V. Vankamamidi, M. Ottavi, and F. Lombardi, "A line-based parallel memory for QCA
implementation," IEEE Transactions on Nanotechnology, vol. 4, no. 6, pp. 690-698,
2005.

[30] B. Taskin and Bo Hong, "Improving Line-Based QCA Memory Cell Design Through Dual
Phase Clocking," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.
16, no. 12, pp. 1648-1656, Dec. 2008.

[31] M. Lundstrom, "Is Nanoelectronics the Future of Microelectronics," in International


Symposium on Low Power Electronics and Design, 2002, pp. 172-177.

[32] M. Butts, A. DeHon, and S. C. Goldstein, "Molecular electronics: Devices, Systems and
Tools for Gigagate, Gigabit Chips," in International Conference on Computer-Aided
Design, 2002, pp. 440-443.

[33] N. A. Melosh et al., "Ultrahigh-Density Nanowire Lattices and Circuits," Science, vol.
300, no. 5616, pp. 112-115, Apr. 2003.

[34] D. Whang, S. Jin, Y. Wu, and C. M. Lieber, "Large-Scale Hierarchical Organization of


Nanowire Arrays for Integrated Nanosystems," Nano Letters, vol. 3, no. 9, pp. 1255
1259, Aug. 2003.

[35] M. Mishra and S. Goldstein, "Scalable defevt tolerance for molecular electronics," in
Proc. of the 1st Workshop on Non-Silicon Computing, 2002, pp. 78-85.

[36] J. Han and P. Jonker, "A defect- and fault-tolerant architecture for nanocomputers,"
Nanotechnology, vol. 14, no. 2, pp. 224-230, 2003.

[37] A. Dehon and H. Naeimi, "Seven Strategies for Tolerating Highly Defective Fabrication,"
IEEE Design & Test of Computers, vol. 22, no. 4, pp. 306-315, 2005.

[38] C. M. Jeffery and R. J. O. Figueiredo, "Hierarchical Fault Tolerance for Nanoscale


Memories," IEEE Transactions on Nanotechnology, vol. 5, no. 4, pp. 407-414, 2006.

207
[39] A. KleinOsowski et al., "Exploring Fine-Grained Fault Tolerance for Nanotechnology
Devices With the Recursive NanoBox Processor Grid," Nanotechnology, IEEE
Transactions on , vol. 5, no. 5, pp. 575 - 586 , Sep. 2006.

[40] A. DeHon and M. Wilson, "Nanowire-Based Sublithographic Programmable Logic


Arrays," in Proc. International Symposium on Field-Programmable Gate Arrays, 2004,
pp. 123-132.

[41] S. C. Goldstein and M. Budiu, "NanoFabrics: Spatial Computing using Molecular


Electronics," in Proceedings of International Symposium on Computer Architecture,
2001, pp. 178-191.

[42] S. Iijima, "Helical microtubules of graphitic carbon," Nature, vol. 354, pp. 56-58, Nov.
1991.

[43] . . Lyshevski, "Carbon Nanotubes Analysis, Classification and Characterization," in


Proc. IEEE Conference on Nanotechnology, 2004, pp. 527-529.

[44] M. S. Dresselhaus, G. Dresselhaus, and P. C. Eklund, Science of Fullerenes and Carbon


Nanotubes. New York, NY, USA: Academic Press, 1996.

[45] T. Raja, V. D. Agrawal, and M. L. Bushnell, "A Tutorial on the Emerging Nanotechnology
Devices," in International Conf. VLSI Design, 2004, pp. 343-360.

[46] . Dai, . Franklin, and J. Han, "Exploiting the Properties of Carbon Nanotubes for
Nanolithography," Appl. Phys. Lett., vol. 73, no. 11, pp. 1508-1510, 1998.

[47] H. Dai, J. H. Hafner, A. G. Rinzler, D. T. Colbert, and R. E. Smalley, "Nanotubes as


nanoprobes in scanning probe microscopy," Nature, vol. 384, no. 6605, pp. 147-150,
Nov. 1996.

[48] S. S. Wong, E. Joselevich, A. T. Woolley, C. L. Cheung, and C. M. Lieber, "Covalently


functionalized nanotubes as nanometre-sized probes in chemistry and biology,"
Nature, vol. 394, no. 6688, pp. 52-55, Jul. 1998.

[49] M. S. Fuhrer et al., "Crossed Nanotube Junctions," Science, vol. 288, no. 5465, pp. 494-
497, Apr. 2000.

[50] . Bachtold, P. Hadley, T. Nakanishi, and C. Dekker, "Logic Circuits with Carbon
Nanotube Transistors," Science, vol. 294, no. 5545, pp. 1317-1320, Oct. 2001.

[51] T. Rueckes et al., "Carbon Nanotube-Based Nonvolatile Random Access Memory for
Molecular Computing," Science, vol. 289, no. 5476, pp. 94-97, Jul. 2000.

[52] G., Lakshmi, B. B., Fisher, E. R., Martin, C. R Che, "Carbon nanotubule membranes for
electrochemical energy storage and production," Nature, vol. 393, pp. 18-21, May

208
1998.

[53] V. Derycke, R. Martel, J. Appenzeller, and Ph. Avouris, "Carbon Nanotube Inter- and
Intramolecular Logic Gates," Nano Letters, vol. 1, no. 9, pp. 453-456, 2001.

[54] . Javey, J. Guo, Q. Wang, M. Lundstrom, and H. Dai, "Ballistic carbon nanotube field-
effect transistors," Nature, vol. 424, no. 6949, pp. 654-657, Apr. 2003.

[55] Y. Huang et al., "Logic Gates and Computation from Assembled Nanowire Building
Blocks," Science, vol. 294, no. 5545, pp. 1313-1317 , Nov. 2001.

[56] Y. Cui and C. M. Liebier, "Functional Nanoscale Electronic Devices Assembled Using
Silicon Nanowire Building Blocks," Science, vol. 291, no. 5505, pp. 851-853, 2001.

[57] R. A. Beckman et al., "Fabrication of conducting Si nanowire arrays," Journal of Applied


Physics, vol. 96, no. 10, pp. 5921-5923, 2004.

[58] G. Snider, P. Kuekes, and R. S. Williams, "CMOS-like Logic in Defective, Nanoscale


Crossbars," Nanotechnology, vol. 15, no. 8, pp. 881-891, 2004.

[59] A. H. Flood, J. Fraser Stoddart, D. W. Steuerman, and J. R. Heath, "Whence Molecular


Electronics?," Science, vol. 306, no. 5704, pp. 2055-2056 , Dec. 2004.

[60] J. R. Heath and M. A. Ratner, "Molecular Electronics," Physics Today, pp. 43-49, 2003.

[61] . Chen et al., "Nanoscale molecular-switch devices fabricated by imprint lithography,"


Applied Physics Letters, vol. 82, no. 10, p. 1610, 2003.

[62] D. W. Steuerman et al., "Molecular-mechanical switch-based solid-state electrochromic


devices," Angew Chem Int Ed Engl, vol. 43, no. 47, pp. 6486-91, Dec. 2004.

[63] P. Hadley, "Single-Electron Tunneling Devices," in AIP conference proceedings, 1988,


pp. 256-270.

[64] D. V. Averin and K. K. Likharev, "Single electronics: a correlated transfer of single


electrons and Cooper pairs in systems of small tunnel junctions," Mesoscopic
phenomena in solids, vol. 30, pp. 173-271, 1991.

[65] . . Likharev, "Single-Electron Devices and Their Applications," Proceedings of IEEE,


vol. 87, no. 4, pp. 606-632, 1999.

[66] T. A. Fulton, P. L. Gammel, and L. N. Dunkleberger, "Determination of Coulomb-


blockade resistances and observation of the tunneling of single electrons in small-
tunnel-junction circuit," Phys. Rev. Lett., vol. 67, pp. 3148-3151, 1991.

[67] D. V. Averin and K. K. Likharev, "Possible Applications of the Single Charge Tunneling,"

209
in Single Charge Tunneling. New York, NY, Plenum, 1992, pp. 311-322.

[68] P. D. Dresselhaus, L. Ji. Siyuan Han, J. E. Lukens, and K. K. Likharev, "Measurement of


single electron lifetimes in a multijunction trap," Phys. Rev. Lett., vol. 72, no. 20, pp.
32263229, 1994.

[69] L. Ji et al., "Fabrication and characterization of singleelectron transistors and traps,"


Journal of Vacuum Science & Technology B, vol. 12, no. 6, pp. 3619-3622, 1994.

[70] L. J. Geerligs, "The frequency-locked turnstile device for single electrons," Surface
Science, vol. 263, no. 1-3, pp. 396-404, Feb. 1992.

[71] H. Pothier et al., "Single electron pump fabricated with ultrasmall normal tunnel
junctions," Physica B: Physics of Condensed Matter, vol. 169, no. 1-4, pp. 573-574, Feb.
1991.

[72] R. H. Chen, A. N. Korotov, and K. K. Liharev, "Single electron transistor logic," Appl.
Phys. Lett, vol. 68, no. 14, pp. 1954-1956, 1996.

[73] L. Geppert, "Quantum transistors: toward nanoelectronics," IEEE Spectrum, vol. 37, no.
9, pp. 46-51, 2000.

[74] L. L. Chang, L. Esaki, and R. Tsu, "Resonant tunneling in semiconductor double barriers,"
Appl. Phys. Lett., vol. 24, no. 12, p. 593, 1974.

[75] H. C. Liu and T. C. L. G. Sollner, "High-frequency Resonant Tunneling Devices," in High-


Speed Heterostructure Devices, Semiconductors and Semimetals series. New York, NY,
USA: Academic Press, 1994, pp. 359-419.

[76] E. Ozbay, D. M. Bloom, D. H. Chow, and J. N. Schulman, "1.7-ps, microwave, integrated-


circuit-compatible InAs/AlSb resonant tunneling diodes," Electron Device Letters, IEEE,
vol. 14, no. 8, pp. 400 - 402, Aug. 1993.

[77] R. H. Mathews et al., "A new RTD-FET logic family," Proceedings of the IEEE, vol. 87, no.
4, pp. 596 - 605, Apr. 1999.

[78] P. Mazumder, S. Kulkarni, M. Bhattacharya, J. P. Sun, and G. I. Haddad, "Digital Circuit


Applications of Resonant Tunneling Device," Proc. IEEE, vol. 86, no. 4, pp. 664-686,
1998.

[79] S. C. Goldstein and D. Rosewater, "Digital Logic Using Molecular Electronics," in IEEE
International Solid-State Circuits Conference, 2002, pp. 204-205.

[80] A. C. Seabaugh, J. H. Luscombe, and J. N. Randall, "Quantum Functional Devices:


Present Status and Future Prospects," Journal of Future Electron Devices (FED), vol. 3,
no. 1, pp. 9-20, 1993.

210
[81] S. Pramanik, S. Bandyopadhyay, and M. Cahay, "Why is the Spin Field Effect Transistor
Elusive?," in Proc. IEEE Conference on Nanotechnology, 2004, pp. 101-103.

[82] Y. Chen et al., "Nanoscale molecular-switch crossbar circuits ," Nanotechnology, vol. 14,
no. 4, p. 462, Nov. 2003.

[83] M. M. Ziegler and M. R. Stan, "Design and Analysis of Crossbar Circuits for Molecular
Nanoelectronics," in IEEE International Conference on Nanotechnology, 2002, pp. 323-
327.

[84] S. Mahapatra and A. M. Ionescu, "A Novel Single Electron SRAMArchitecture," in IEEE
Conference on Nanotechnology, 2004, pp. 287-289.

[85] M. A. Reed et al., "The design and measurement of molecular electronic switches and
memories," in Solid-State Circuits Conference, 2001. Digest of Technical Papers. ISSCC.
2001 IEEE International , pp. 114 - 115.

[86] . DeHon, P. Lincoln, and J. E. Savage, "Stochastic Assembly of Sublithographic


Nanoscale Interfaces," IEEE Trans. on Nanotechnology, vol. 2, no. 3, pp. 165-174, 2003.

[87] A. Dehon, "Design of Programmable Interconnect for Sublithographic Programmable


Logic Arrays," in Proc. International Symposium on Field-Programmable Gate Arrays,
2005, pp. 127-137.

[88] . Gojman, R. Rubin, C. Pilotto, and A. Dehon, "3D nanowire-based programmable


logic," in Proceedings of International Conference on Nano-Networks, 2006.

[89] R. H. Blick et al., "Single-electron tunneling through a double quantum dot: The
artificial molecule," Physical Review B, vol. 53, no. 12, pp. 7899-7902, 1996.

[90] F. Hofmann, T. Heinzei, D. A. Wharam, and J. P. Kotthaus, "Single electron switching in


a parallel quantum dot," Physical Review B, vol. 51, no. 19, pp. 1387213875, 1995.

[91] F. R. Waugh, M. J. Berry, D. J. Mar, and R. M. Westervelt, "Single-Electron Charging in


Double and Triple Quantum Dots with Tunable Coupling," Physical Review Letters, vol.
75, no. 4, pp. 705708, 1995.

[92] G. H. Bernstein, I. Amlani, A. O. Orlov, C. S. Lent, and G. L. Snider, "Observation of


switching in a quantum-dot cellular automata cell," Nanotechnology, vol. 10, no. 2, pp.
166-173, 1999.

[93] M. Field et al., "Measurements of Coulomb blockade with a noninvasive voltage


probe," Physical Review Letters, vol. 70, no. 9, pp. 13111314, 1993.

[94] M. Lieberman et al., "Quantum-Dot Cellular Automata at a Molecular Scale," Annals of


the New York Academy of Sciences, vol. 960, pp. 225239, 2002.

211
[95] K. Hennessy and C. S. Lent, "Clocking of Molecular Quantum-Dot Cellular Automata,"
vol. 19, no. 5, pp. 1752-1755, 2001.

[96] C. S. Lent and P. D. Tougaw, "A device architecture for computing with quantum dots,"
Proc. of the IEEE, vol. 85, pp. 541-557, 1997.

[97] S. E. Frost, T. J. Dysart, P. M. Kogge, and C. S. Lent, "Carbon nanotubes for quantum-dot
cellular automata clocking," in 4th IEEE Conference on Nanotechnology, 2004, pp. 171 -
173.

[98] D. A. Antonelli et al., "Quantum-Dot Cellular Automata (QCA) Circuit Partitioning:


Problem Modeling and Solutions," in Design Automation Conference, 41st Conference
on (DAC'04), San Diego, California, USA, 2004, pp. 363-368.

[99] K. Walus, G. A. Jullien, and V. S. Dimitrov, "Computer Arithmetic Structures for


Quantum Cellular Automata," in Proc. Asilomar Conference, 2003.

[100] M. S. Dresselhaus, G. Dresselhaus, and Ph. Avouris, Carbon nanotubes: synthesis,


structure, properties, and applications. Berlin, Heidelberg : Springer-Verlag, 2001.

[101] G. Schulhof, K. Walus, and G. A. Jullien, "Simulation of random cell displacements in


QCA," ACM Journal on Emerging Technologies in Computing Systems, vol. 3, no. 1, pp.
1-14, April 2007.

[102] S. Bhanja, M. Ottavi, F. Lombardi, and S. Pontarelli, "QCA Circuits for Robust Coplanar
Crossing," Journal of Electronic Testing: Theory and Applications, vol. 23, no. 2-3, pp.
193-210, June 2007.

[103] S. Bhanja, M. Ottavi, F. Lombardi, and S. Pontarelli, "Novel Designs for Thermally
Robust Coplanar Crossing in QCA," in Design, Automation and Test in Europe, 2006.
DATE '06. Proceedings, vol. 1, 2006.

[104] K. Walus, G. Schulhof, and G. A. Jullien, "High Level Exploration of Quantum-Dot


Cellular Automata (QCA)," in Conference Record of the Thirty-Eighth Asilomar
Conference on In Signals, Systems and Computers, vol. 1, Pacific Grove, CA, USA, 2004,
pp. 30-33.

[105] C. R. Graunke, D. I. Wheeler, D. Tougaw, and J. D. Will, "Implementation of a crossbar


network using quantum-dot cellular automata," Nanotechnology, IEEE Transactions on
, vol. 4, no. 4, pp. 435 - 440 , 2005.

[106] W. J. Chung, B. Smith, and S. K. Lim, "Node duplication and routing algorithms for
quantum-dot cellular automata circuits," in Circuits, Devices and Systems, IEE
Proceedings, 2006, pp. 497 - 505.

[107] A. Chaudhary et al., "Fabricatable Interconnect and Molecular QCA Circuits," IEEE

212
Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 26, no.
11, pp. 1978-1991, 2007.

[108] B. S. Smith and S. K. Lim, "QCA channel routing with wire crossing minimization," in
GLSVLSI '05 Proceedings of the 15th ACM Great Lakes symposium on VLSI , 2005, pp.
217-220.

[109] A. Chaudhary et al., "Eliminating wire crossings for molecular quantum-dot cellular
automata implementation," in Proc. IEEE/ACM International Conferense Computer-
Aided Design, San Jose, CA, USA, 2005, pp. 565-571.

[110] M. Crocker, X. S. Hu, M. Niemier, M. Yan, and G. Bernstein, "PLAs in quantum-dot


cellular automata," IEEE Trans. Nanotechnology, vol. 7, no. 3, pp. 376-386, May 2008.

[111] R. P. Cowburn and M. E. Welland, "Room Tempetrature Magnetic Quantum Cellular


Automata," Science, vol. 287, pp. 1466-1468, 2000.

[112] M. C. B. Parish, Modeling of Physical Constraints on Bistable Magnetic Quantum


Cellular Automata. UK: Ph.D. Thesis, University of London, 2003.

[113] G. Bernstein, "Quantum-dot cellular automata by electric and magnetic field coupling,"
in Proc. IEEE Custom Integrated Circuits Conf, San Jose, CA, USA, 2003, pp. 223-229.

[114] T. Cole and J. C. Lusth, "Quantum-dot cellular automata," Prog. Quantum Electron, vol.
25, no. 4, pp. 165-189, 2001.

[115] J. C. Lusth, C. B. Hana, and J. C. Diaz-Velez, "Eliminating non-logical states from linear
quantum-dot-cellular automata," Microelectron Journal, vol. 32, no. 1, pp. 81-84, Jan.
2001.

[116] D. Kelly and J. Lusth, "Logic devices for partitioned quantum-dot cells," in Proc. IEEE
Conf. Nanotechnology, Maui, HI, USA, 2001, pp. 374-379.

[117] J. Liang and J. Lusth, "3-dimentional configuration to promote timely setting of


quantum-dot cellular automata," in Proc. IEEE Conf. Nanotechnology, vol. 2, San
Francisco, CA, USA, 2003, pp. 770-773.

[118] E. Schuchman and J. Lusth, "Computing with restricted minima systems," in Proc. IEEE
Conf. Nanotechnology, Washington, DC, USA, 2002, pp. 359-362.

[119] K. Walus, R. Budiman, and G. Jullien, "Split current quantum-dot cellular automata -
modeling and simulation," IEEE Trans. Nanotechnology, vol. 3, no. 2, pp. 249-255, 2004.

[120] K. K. Yadavalli, A. O. Orlov, J. P. Timler, C. S. Lent, and G. L. Snider, "Fanout gate in


quantum-dot cellular automata," Nanotechnology, vol. 18, no. 37, Sept. 2007.

213
[121] M. Chen and W. Porod, "Design of gate-confined quantum-dot structures in the few-
electron regime," J. Appl. Phys., vol. 78, no. 2, pp. 1050-1057, July 1995.

[122] P. Tougaw and C. Lent, "Dynamic behavior of quantum-dot cellular automata," J. Appl.
Phys., vol. 80, no. 8, pp. 4722-4736, Oct. 15 1996.

[123] M. Governale, M. Macucci, G. Iannaccone, C. Ungarelli, and J. Martorell, "Modeling and


manufacturability assessment of bistable quantum-dot cells," J. Appl. Phys., vol. 85, no.
5, pp. 2962-2971, March 1999.

[124] C. Ungarelli, S. Francaviglia, M. Macucci, and G. Iannaccone, "Thermal behavior of


quantum cellular automaton wires," J. Appl. Phys., vol. 87, no. 10, pp. 7320-7325, May
2000.

[125] M. Macucci, G. Iannaccone, S. Francaviglia, and B. Pellegrini, "Semi-classical simulation


of quantum cellular automaton circuits," Int. J. Circuit Theory Appl., vol. 29, no. 1, pp.
37-47, Jun.-Feb. 2001.

[126] M. Macucci et al., "A QCA cell in silicon-on-insulator technology: Theory and
experiment," Superlattices Microstruct., vol. 34, no. 3-6, pp. 205-211, Sep. - Dec. 2003.

[127] K. Walus, R. Budiman, and G. Jullien, "Impurity charging in semiconductor quantum-dot


cellular automata," Nanotechnology, vol. 16, no. 11, pp. 2525-2529, Nov. 2005.

[128] Y. Wang and M. Lieberman, "Thermodynamic behavior of molecular-scale quantum-dot


cellular automata," IEEE Trans. Nanotechnology, vol. 3, no. 3, pp. 368-376, Sep. 2004.

[129] E. Blair and C. Lent, "Quantum-dot cellular automata: An architecture for molecular
computing," in Proc. Int. Conf. Simulation of Semiconductor Processes and Devices,
Boston, MA, USA, 2003, pp. 14-18.

[130] C. Lent, "Molecular electronics - bypassing the transistor paradigm," Science, vol. 288,
no. 5471, pp. 1597-1599, Jun. 2000.

[131] C. Lent, B. Isaksen, and M. Lieberman, "Molecular quantum-dot cellular automata," J.


Am. Chem. Soc., vol. 125, no. 4, pp. 1056-1063, Jan. 2003.

[132] E. Blair and C. Lent, "An architecture for molecular computing using quantum-dot
cellular automata," in Proc. IEEE Conf. Nanotechnology, San Francisco, CA, USA, 2003,
pp. 402-405.

[133] J. Jiao, C. Long, F. Grandjean, A. Beatty, and T. Fehlner, "Building blocks for the
molecular expression of quantum cellular automata. Isolation and characterization of a
covalently bonded square array of two ferrocenium and two ferrocene complexes," j.
Am. Chem. Soc., vol. 125, no. 25, pp. 7522-7523, Jun. 2003.

214
[134] Z. Li, A. Beatty, and T. Fehlner, "Molecular QCA cells. 1. Structure and functionalization
of an unsymmetrical dinuclear mixed-valence complex for surface binding," Inorg.
Chem., vol. 42, no. 18, pp. 5707-5714, Sep. 2003.

[135] Z. Li and T. Fehlner, "Molecular QCA cells. 2. Characterization of an unsymmetrical


dinuclear mixed-valence complex bound to a Au surface by an organic linker," Inorg.
Chem., vol. 42, no. 18, pp. 5715-5721, Sep. 2003.

[136] H. Qi et al., "Molecular quantum cellular automata cells. Electric field driven swithing of
a silicon surface bound array of vertically oriented two-dot molecular quantum cellular
automata," J. Am. Chem. Soc., vol. 127, no. 43, pp. 15 218-15 227, Dec. 2003.

[137] H. Qi et al., "Dependence of field switched ordered arrays of dinuclear mixed-valence


complexes on the distance between the redox centers and the size of the counterions,"
J. Am. Chem. Soc., vol. 127, no. 43, pp. 15 218-15 227, Nov. 2005.

[138] W. Hu, K. Sarveswaran, M. Lieberman, and G. Bernstein, "High-resolution electron


beam lithography and DNA nano-patterning for molecular QCA," IEEE Trans.
Nanotechnology, vol. 4, no. 3, pp. 312-316, May 2005.

[139] Y. Lu and C. Lent, "Theoretical study of molecular quantum-dot cellular automata," J.


Comput. Electron, vol. 4, no. 1-2, pp. 115-118, Apr. 2005.

[140] Y. Lu, M. Liu, and C. Lent, "Molecular electronics-from structure to circuit dynamics," in
Proc. IEEE Conf. Nanotechnology, vol. 1, Cincinati, OH, USA, 2006, pp. 62-65.

[141] Y. Lu, M. Liu, and C. Lent, "Molecular quantum-dot cellular automata: From molecular
structure to circuit dynamics," j. Appl. Phys., vol. 102, no. 3, pp. 34311-7, Aug. 2007.

[142] Z. Jin, Fabrication and measurement of molecular quantum cellular automata (QCA)
device. IN, USA: Master's thesis, Univ. Notre Dame, 2006.

[143] M. Manimaran et al., "Scanning tunneling microscopy and spectroscopy investigations


of QCA molecules," Ultramicrosc., vol. 97, no. 1-4, pp. 55-63, Oct-Nov 2003.

[144] I. Lee, V. Sarveswaran, M. Lieberman, and E. Greenbaum, "Characterization of a single


molecular QCA cell by Q-control enhanced amplitude modulation atomic force
microscopy," Ultramicrosc., vol. 106, no. 8-9, pp. 735-741, Jun.-Jul. 2006.

[145] M. Nemier, M. Crocker, X. Hu, and M. Lieberman, "Using CAD to shape experiments in
molecular QCA," in Proc. IEEE/ACM Int. Conf. Computer-Aided Design, San Jose, CA,
USA, 2006, pp. 907-914.

[146] G. Csaba and W. Porod, "Simulation of field coupled computing architectures based on
magnetic dot arrays," J. Comput. Electron., vol. 1, no. 1-2, pp. 87-91, Jul. 2002.

215
[147] G. Csaba, A. Imre, G. Bernstein, W. Porod, and V. Metlushko, "Nanocomputing by field-
coupled nanomagnets," IEEE Trans. Nanotechnology, vol. 1, no. 4, pp. 209-213, Dec.
2002.

[148] M. Parish and M. Forshaw, "Physical constraints on magnetic quantum cellular


automata," Appl. Phys. Lett., vol. 83, no. 10, pp. 2046-2048, Sep. 2003.

[149] M. Parish and M. Forshaw, "Magnetic cellular automata (MCA) systems," IEE Proc.
Circuits Devices Syst., vol. 151, no. 5, pp. 480-485, Oct. 2004.

[150] G. Bernstein et al., "Magnetic QCA systems," Microelectron. J., vol. 36, no. 7, pp. 619-
624, Jul. 2005.

[151] A. Imre et al., "Majority logic gate for magnetic quantum-dot cellular automata,"
Science, vol. 311, no. 5758, pp. 205-208, Jan. 2006.

[152] K Nikolic and M. Forshaw, "Molecular magnetic quantum cellular automata," Univ.
College London, Physics & Astronomy Dept., Tech. Rep., Jun. 2004.

[153] M. Nemier et al., "Clocking structures and power analysis for nanomagnet-based logic
devices," in Proc. Int. Symp. Low Power Electronics And Design, Portland, OR, USA,
2007, pp. 26-31.

[154] G. Toth, Correlation and coherence in quantum-dot cellular automata, Ph.D.


dissertation ed. IN, USA: Univ. Notre Dame, 2000.

[155] E. P. Blair, Tools for the design and simulation of clocked molecular quantum-dot
cellular automata circuits. US: Master's thesis, University of Notre Dame, Department
of Electrical Engineering, 2003.

[156] K. Walus and G. Jullien, "Design tools for emerging SoC technology: Quantum-dot
cellular automata," Proc. IEEE, vol. 94, no. 6, pp. 1225-1244, Jun. 2006.

[157] K. Walus, T. Dysart, G. Jullien, and R. Budiman, "QCADesiner: A rapid design and
simulation tool for quantum-dot cellular automata," IEEE Trans. Nanotechnology, vol.
3, no. 1, pp. 26-31, Mar. 2004.

[158] K. Walus, G. Schulhof, and G. A. Jullien, "Implementation of a simulation engine for


clocked molecular QCA," in Proc. Canadian Conf. Electrical and Computer Engineering,
Ottawa, ON, Canada, 2006, pp. 2128-2131.

[159] F. Karim, A. Navabi, K. Walus, and A. Ivanov, "Quantum mechanical simulation of QCA
with a reduced Hamiltonian model," in Proc. IEEE Conf. Nanotechnology, Arlington, TX,
USA, 2008, pp. 327-330.

[160] (2007) QCADesigner website. Univ. Calgary ATIPS Laboratory. [Online].

216
http://www.qcadesigner.ca

[161] S. Srivastava and S. Bhanja, "Hierarchical probabilistic macromodeling for QCA circuits,"
IEEE Trans. Comput., vol. 56, no. 2, pp. 174-190, Feb. 2007.

[162] S. Bhanja and S. Sarkar, "Probabilistic modeling of QCA circuits using Bayesian
networks," IEEE Trans. Nanotechnology, vol. 5, no. 6, pp. 657-670, Feb. 2007.

[163] S. Srivastava and S. Bhanja, "Bayesian macromodeling for circuit level QCA design," in
Proc. IEEE Conf. Nanotechnology, vol. 1, Cincinnati, OH, USA, 2006, pp. 31-34.

[164] S. Bhanja and S. Sarkar, "Graphical probabilistic interface for ground state and near-
ground state computing in QCA circuits," in Proc. IEEE Conf. Nanotechnology, vol. 1,
Nagoya, Japan, 2005, pp. 290-293.

[165] S. Bhanja and S. Sarkar, "Switching Error Modes of QCA Circuits," in Nanotechnology,
IEEE-NANO 2006. Sixth IEEE Conference on, vol. 1, Cincinnati, OH, USA, 2006, pp. 383 -
386.

[166] O. Neto, M. Pacheco, and C. Hall Barbosa, "Neural network simulation and evolutionary
synthesis of QCA circuits," IEEE Trans. Comput., vol. 56, no. 2, pp. 191-201, Feb. 2007.

[167] R. Tang, F. Zhang, and Y. B. Kim, "Design metal-dot based QCA circuits using SPICE
model," Microelectron. J., vol. 37, no. 8, pp. 821-827, Aug. 2006.

[168] R. Tang, F. Zhang, and Y.-B. Kim, "QCA-based nano circuits design [adder design
example]," in Circuits and Systems. ISCAS 2005. IEEE International Symposium on, vol.
3, Kobe, Japan, 2005, pp. 2527 - 2530.

[169] R. Tang, F. Zhang, and Y.-B. Kim, "Quantum-dot cellular automata SPICE macro model,"
in GLSVLSI '05 Proceedings of the 15th ACM Great Lakes symposium on VLSI , Chicago,
IL, USA, 2005, pp. 108-111.

[170] J. C. Lusth and B. Dixon, "A characterization of important algorithms for quantum-dot
cellular automata," Information Sciences, vol. 113, no. 3-4, pp. 193-204, Feb. 1999.

[171] A. Vetteth, K. Walus, V. S. Dimitrov, and G. A. Jullien, "Quantum-dot celullar automata


carry-look-ahead-adder and barrel shifter," in IEEE Emerging Telecommunications
Technologies Conf., Richardson, TX, 2002.

[172] M. T. Nemier and P. M. Kogge, "Logic-in-wire: Using quantum dots to implement a


microprocessor," in International Conference on Electronics, Circuits, and Systems ICECS
'99, vol. 3, 1999, pp. 1211-1215.

[173] S. Henderson, E. Johnson, J. Janulis, and P. Tougaw, "Incorporating standard CMOS


design prosses methodologies into the QCA logic design process," IEEE Trans.

217
Nanotechnology, vol. 3, no. 1, pp. 2-9, Mar. 2004.

[174] M. Ottavi, L. Schiano, F. Lombardi, and D. Tougaw, "HDLQ: A HDL environment for QCA
design," ACM J. Emerg. Technol. Comput. Syst., vol. 2, no. 4, pp. 243-261, Apr.-May
2006.

[175] J. Huang, M. Momenzadeh, L. Schiano, M. Ottavi, and F. Lombardi, "Tile-based QCA


design using majority-like logic primitives," ACM J. Emerg. Technol. Comput. Syst., vol.
1, no. 3, pp. 163-185, Oct. 2005.

[176] J. Huang, M. Momenzadeh, L. Schiano, and F. Lombardi, "Simulation-based design of


molecular QCA circuits," in Proc. IEEE Conf. Nanotechnology, vol. 2, Nagoya, Japan,
2005, pp. 533-536.

[177] J. Huang, M. Momenzadeh, and F. Lombardi, "Defect tolerance of QCA tiles," in Proc.
Design Automation & Test in Europe Conf., vol. 1, Munich, Germany, 2006, pp. 1-6.

[178] J. Huang, M. Momenzadeh, and F. Lombardi, "On the Tolerance to Manufacturing


Defects in Molecular QCA Tiles for Processing-by-wire," Journal of Electronic Testing:
Theory and Applications, vol. 23, no. 2-3, pp. 163-174, Jun. 2007.

[179] V. Vankamamidi, M. Ottavi, and F. Lombardi, "Tile-based design of a serial memory in


QCA," in GLSVLSI '05 Proceedings of the 15th ACM Great Lakes symposium on VLSI,
Chicago, IL, USA, 2005, pp. 201-206.

[180] J. Huang, M. Momenzadeh, and F. Lombardi, "Design of sequential circuits by quantum-


dot cellular automata," Microelectr. J., vol. 38, no. 4-5, pp. 525-537, Apr.-May 2007.

[181] M. Choi et al., "Designing layout-timing independent quantum-dot cellular automata


(QCA) circuits by global asynchrony," Journal of Systems Architecture: the EUROMICRO
Journal, vol. 53, no. 9, pp. 551-567, Sep. 2007.

[182] M. Choi, M. Choi, Z. Patitz, and N. Park, "Efficient and Robust Delay-Insensitive QCA
(Quantum-Dot Cellular Automata) Design," in DFT '06 Proceedings of the 21st IEEE
International Symposium on on Defect and Fault-Tolerance in VLSI Systems , Arlington,
VA, USA, 2006, pp. 80-88.

[183] C. Minsu and P. Nohpill, "Locally synchronous, globally asynchronous design for
quantum-dot cellular automata (LSGA QCA)," in Nanotechnology, 2005. 5th IEEE
Conference on, vol. 1, Nagoya, Japan, 2005, pp. 121 - 124.

[184] R. Zhang, K. Walus, W. Wang, and G. Jullien, "A method of majority logic reduction for
quantum cellular automata," IEEE Trans. Nanotechnol., vol. 3, no. 4, pp. 443-450, Dec.
2004.

[185] K. Walus, G. Schulhof, G. Jullien, R. Zhang, and W. Wang, "Circuit design based on

218
majority gates for applications with quantum-dot cellular automata," in Rec. Asilomar
Conf. Signals, Systems and Computers, vol. 2, Pacific Grove, CA, USA, 2004, pp. 1354-
1357.

[186] M. Bonyadi, S. Azghadi, N. Rad, K. Navi, and E. Afjei, "Logic optimization for majority
gate-based nanoelectronic circuits based on genetic algorithm," in Int. Conf. Electr.
Eng., Lahore, Pakistan, 2007, pp. 1-5.

[187] H. Rahaman, B. Sikdar, and D. Das, "Synthesis of symmetric functions using quantum
cellular automata," in Int. Conf. Design and Test of Integrated Systems in Nanoscale
Technology, La Marsa, Tunisia, 2006, pp. 119-124.

[188] F. Ciontu, C. Cucu, and B. Courtois, "Application-specific architecture for quantum


cellular automata," in Proc. IEEE Conf. Nanotechnology, Washington, DC, USA, 2002,
pp. 351-354.

[189] O. Neto, L. Masiero, M. Pacheco, and C. Barbosa, "Evolvable hardware applied to


nanotechnology," in NASA/ESA Conf. Adaptive Hardware and Systems, Istanbul, Turkey,
2006, pp. 88-96.

[190] N. Gergel, S. Craft, and J. Lach, "Modeling QCA for area minimization in logic synthesis,"
in Proc. ACM Great Lakes Symp. VLSI, Washington, DC, USA, 2003, pp. 60-63.

[191] R. Zhang, P. Gupta, L. Zhong, and N. Jha, "Threshold network synthesis and
optimization and its application to nanotechnologies," IEEE Trans. Comput. Aided
Design Integr. Circuits Syst, vol. 24, no. 1, pp. 107-118, Jan. 2005.

[192] R. Zhang, P. Gupta, and N. Jha, "Majority and minority network synthesis with
application to QCA-, SET-, and TPL-based nanotechnologies," IEEE Trans. Comput. Aided
Des. Integr. Circuits Syst., vol. 26, no. 7, pp. 1233-1245, Jul. 2007.

[193] R. Zhang and N. K. Jha, "Threshold/majority logic synthesis and concurrent error
detection targeting nanoelectronic implementations," in Proc. ACM Great Lakes Symp.
VLSI, Philadelphia, PA, USA, 2006, pp. 8-13.

[194] R. Zhang, P. Gupta, and N. Jha, "Synthesis of majority and minority networks and its
applications to QCA, TPL and SET based nanotechnologies," in Int. Conf. VLSI Design,
Kolkata, India, 2005, pp. 229-234.

[195] S. K. Lim, R. Ravichandran, and M. Niemier, "Partitioning and placement for buildable
QCA circuits," ACM J. Emerg. Technol. Comput. Syst., vol. 1, no. 1, pp. 50-72, Mar. 2005.

[196] R. Ravichandran, M. Niemier, and S. K. Lim, "Partitioning and placement for buildable
QCA circuits," in Proc. Asia and South Pacific Design Automation Conf., Shanghai, China,
2005, pp. 424-427.

219
[197] R. Ravichandran, N. Ladiwala, J. Nguyen, M. Niemier, and S. K. Lim, "Automatic cell
placementfor quantum-dot cellular automata," in ACM Great Lakes Symp. VLSI, Boston,
MA, USA, 2004, pp. 332-337.

[198] M. Tahoori, J. Huang, M Momenzadeh, and F. Lombardi, "Testing of quantum cellular


automata," IEEE Trans. Nanotechnol., vol. 3, no. 4, pp. 432-442, Dec. 2004.

[199] M. Tahoori, M. Momenzadeh, J. Huang, and F. Lombardi, "Defects and faults in


quantum cellular automata at nano scale," in Proc. IEEE VLSI Test Symp., Napa, CA, USA,
2004, pp. 291-296.

[200] M. Momenzadeh, M. Tahoori, J. Huang, and F. Lombardi, "Quantum cellular automata:


New defects and faults for new devices," in Proc. IEEE Int. Symp. Parallel & Distributed
Processing, Sante Fe, NM, USA, 2004, pp. 207-214.

[201] M. Momenzadeh, M. Ottavi, and F. Lombardi, "Modeling QCA defects at molecular-


level in combinational circuits," in Proc. IEEE Int. Symp. Defect and Fault Tolerance in
VLSI Systems, Monterey, CA, USA, 2005, pp. 208-216.

[202] M. Momenzadeh, J. Huang, M. Tahoori, and F. Lombardi, "On the evaluation of scaling
of QCA devices in the presence of defects at manufacturing," IEEE Trans. Nanotechnol.,
vol. 4, no. 6, pp. 740-743, Nov. 2005.

[203] M. Khatun, T. Barclay, I. Sturzu, and P. Tougaw, "Fault tolerance properties in quantum-
dot cellular automata devices," J. Phys. D: Appl. Phys., vol. 39, no. 8, pp. 1489-1494,
Apr. 2006.

[204] K. Kim, K. Wu, and R. Karri, "Towards designing robust QCA architectures in the
presence of sneak noise paths," in Proc. Design Automation & Test in Europe Conf.,
Munich, Germany, 2005, pp. 1214-1219.

[205] F. Karim et al., "Modeling and evaluating errors due to random clock shifts in quantum-
dot cellular automata circuits," J. Electron. Test., vol. 25, no. 1, pp. 55-66, Feb. 2009.

[206] M. Ottavi et al., "On the error effects of random clock shifts in quantum-dot cellular
automata," in Proc. IEEE Int. Symp. Defect and Fault Tolerance in VLSI Systems,
Cambridge, MA, USA, 2007, pp. 26-28.

[207] F. Karim and K. Walus, "Characterization of the displacement tolerance of QCA


interconnects," in IEEE Int. Workshop Design and Test of Nano Devices, Circuits and
Systems, Cambridge, MA, USA, 2008, pp. 49-52.

[208] D. Milosavljevic and S. Cotofana, "A method to analyze the fault tolerance of molecular
quantum-dot cellular automata systems," in Proc. Int. Semiconductor Conference,
Sinaia, Romania, 2006, pp. 27-29.

220
[209] W. Rao, A. Orailoglu, and R. Karri, "Logic level fault tolerance approaches targeting
nanoelectronics PLAs," in Proc. Design Automation & Test in Europe Conf., Nice, France,
2007, pp. 1-5.

[210] B. K. Sikdar, "Study of N-detectability in QCA designs," in IEEE Asian Test Symp.,
Fukuoka, Japan, 2006, pp. 20-23.

[211] P. Gupta, N. Jha, and L. Lingappan, "A test generation framework for quantum cellular
automata circuits," IEEE Trans. VLSI Syst., vol. 15, no. 1, pp. 24-36, Jan. 2007.

[212] P. Gupta, N. K. Jha, and L. Lingappan, "Test generation for combinational quantum
cellular automata (QCA) circuits," in DATE '06: Proceedings of the conference on Design,
automation and test in Europe, Munich, Germany, 2006, pp. 1-6.

[213] F. Karim, K. Walus, and A. Ivanov, "Testing of combinational majority and minority logic
networks," in IEEE Int. Mixed-Signals, Sensors, and Systems Test Workshop, Vancouver,
BC, Canada, 2008, pp. 1-6.

[214] A. Fijany and B. Toomarian, "New design for quantum dots cellular automata to obtain
fault tolerant logic gates," J. Nanopart. Res., vol. 3, no. 1, pp. 27-37, Feb. 2001.

[215] A. Fijani and B. Toomarian, "Quantum dots cellular automata: Fault tolerant universal
logic gates," J. Nanotechnol., Avaliable online: http://hdl.handle.net/2014/16544 2001.

[216] D. J. Griffiths, Introduction to Quantum Mechanics. Englewood Cliffs, NJ: Prentice Hall,
1994.

[217] . . Niemier and P. M. Kogge, "Problems in Designing with QCAs: Layout=Timing,"


International Journal of Circuit Theory and Applications, vol. 29, no. 1, pp. 49-62, 2001.

[218] . . Kung and C. E. Leiserson, "Systolic arrays (for VLSI)," in Sparse Matrix Proceedings,
I.S. Duff and G.W. Stewart (eds), 1978, pp. 256-282.

[219] S. Y. Kung, K. S. Arun, R. J. Gal-Ezer, and D. V. Bhaskar Rao, "Wavefront Array Processor:
Language, Architecture, and Applications," Special Issue of the IEEE Trans. on
Computers and Parallel and Distributed Processing, vol. 31, no. 11, pp. 1054-1066,
1982.

[220] V. Vankamamidi, M. Ottavi, and F. Lombardi, "Timing and Clocking of QCA Systems,"
Northeastern University, ECE Department, Boston, Massachusetts, USA, 2004.

[221] . Bubna, S. Roy, N. Shenoy, and S. Mazumdar, "A Layout-aware Physical Design
Method for Constructing Feasible QCA Circuits.," in GLSVLSI08, Orlando, Florida, USA,
2008, pp. 243-248.

[222] K. Kim, K. Wu, and R. Karri, "Quantum-dot cellular automata design guideline," in IEICE

221
Transaction Fundamentals, 2006, pp. 1607-1614.

[223] S. Bhanja and S. Sarkar, "Thermal Switching Error Versus Delay Tradeoffs in Clocked
QCA Circuits," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 16,
no. 5, pp. 528-541, 2008.

[224] O. Paranaiba, V. Neto, M. Aurlio, C. Pacheco, and CR. Hall Barbosa, "Neural Network
Simulation and Evolutionary Synthesis of QCA Circuits.," IEEE Transactions on
Computers, vol. 56, no. 2, pp. 191-201, 2007.

[225] A. Gin, S. Williams, H. Meng, and P. D. Tougaw, "Hierarchical design of quantum-dot


cellular automata devices," Applied Physics, vol. 85, pp. 3713-20, 1999.

[226] T. Lantz and E. Peskin, "A QCA Implementation of a Configurable Logic Block for an
FPGA," in Reconfigurable Computing and FPGA's IEEE International Conference, 2006,
pp. 1-10.

[227] J. Von Neumann, Theory of Self-Reproducing Automata. Urbana, IL: University of Illinois
Press, 1966.

[228] S. Wolfram, Theory and Applications of Cellular Automata. Singapore: World Scientific,
1986.

[229] R. P. Feynman, "Simulating physics with computers," International Journal of


Theoretical Physics, vol. 21, no. 6/7, pp. 467-488, 1982.

[230] T. Tofolli, "Cellular Automata as an Alternative to (rather than an approximation of)


Differential Equations in Modelling Physics," Physica, vol. 10D, pp. 117-127, 1984.

[231] G. Ch. Sirakoulis, I. Karafyllidis, A. Thanailakis, and V. Mardiris, "A methodology for VLSI
implementation of Cellular Automata algorithms using VHDL," Advances in Engineering
Software, vol. 32, pp. 189-202, 2001.

[232] G. Ch. Sirakoulis, I. Karafyllidis, and A. Thanailakis, "A CAD system for the construction
and VLSI implementation of Cellular Automata algorithms using VHDL,"
Microprocessors ans Microsystems, vol. 27, no. 8, pp. 381-396, 2003.

[233] R. Livi, S. Ruffo, S. Ciliberto, and M. Buiatti, editors, Chaos and Complexity. Singapore:
World Scientific, 1988.

[234] G. Doolen, Discrete Kinetic Theory, Lattice Gas Dynamics and Foundations of
Hydrodynamics.: Singapore: World Scientific, 1988.

[235] A. Pires, D. P. Landau, and H. Herrmann, Computational Physics and Cellular Automata.:
Singapore: World Scientific, 1990.

222
[236] S. Ulam, "Random processes and transformations," Proceedings of the International
Congress on Mathematics, vol. 2, pp. 264-275, 1952.

[237] U. Pesavento, "An implementation of von Neumanns self reproducing machine,"


Artificial Life, vol. 2, no. 5, pp. 337-354, 1995.

[238] R. P. Feynman, "Simulating physics with computers," International Journal of


Theoretical Physics, vol. 21, no. 6/7, pp. 467-488, 1982.

[239] E. F. Codd, Cellular Automata. New York, NY: Academic Press, 1968.

[240] C. G. Langton, "Self-reproduction in cellular automata," Physica D, vol. 10, no. 1-2, pp.
135-144, 1984.

[241] J. Byl, "Self-reproduction in small cellular automata," Physica D, vol. 34, pp. 259-299,
1989.

[242] C. G. Langton, C. Taylor, J. D. Farmer, and S. Rasmussen, Artificial Life II, volume X of
Santa Fe Institute Studies in the Sciences of Complexity. Reading. MA: Addison-Wesley,
1992.

[243] M. Gardner, Wheels, Life and Other Mathematical Amusements. San Francisco, CA:
Freeman, 1983.

[244] . Gardner, Wheels, Life and Other Mathematical Amusements, Freeman, Ed. San
Francisco, CA, 1983.

[245] . Preston and . Duff, Modern Cellular Automata: Theory and Applications. New York,
NY: Plenum Press, 1984.

[246] S. Wolfram, Theory and Applications of Cellular Automata. Singapore: World Scientific,
1986.

[247] J. Hardy, Y. Pomeau, and O. de Pazzis, "Time Evolution of a Two-Dimensional Model


System. I. Invariant States and Time Correlation Functions," Journal of Mathematical
System, vol. 14, no. 12, pp. 1746-1759, 1973.

[248] U. Frisch, B. Hasslacher, and Y. Pomeau, "Lattice-gas automata for the Navier-Stokes
equation," Physical Review Letters, vol. 56, no. 14, p. 1505, 1986.

[249] S. Wolfram, "Cellular Automaton fluid: basic theory," Journal of Statistical Physics, vol.
45, no. 3-4, pp. 471-526, 1986.

[250] S. Wolfram, "Cellular automata as models of complexity," Nature, vol. 311, pp. 419-
424, 1984.

223
[251] G. G. McNamara and G. Zanetti, "Use of the Boltzmann Equation to Simulate Lattice-
Gas Automata," Physical Review Letters, vol. 61, no. 20, pp. 2332-2335, 1988.

[252] F. Higuera, J. Jimenez, and S. Sussi, "Boltzmann approach to lattice gas simulations,"
Europhysics Letters, vol. 9, no. 7, pp. 663-668, 1989.

[253] T. Toffoli, "Cellular Automata as an Alternative to (rather than an Approximation of)


Differential Equations in Modeling Physics," Physica D, vol. 10, no. 1-2, pp. 117-127,
1984.

[254] G. Vichniac, "Simulating Physics with Cellular Automata," Physica D, vol. 10, no. 1-2, pp.
96-116, 1984.

[255] T. Toffoli and N. Margolus, Cellular Automata Machines: A New Environment for
Modeling. Cambridge MA: MIT Press, 1987.

[256] G. Crisp, "A Cellular Automaton Model of Crystal Growth: I) Anthracene," in Tech.
Report, Crystallography Unit, University College of London, 1985.

[257] W. Good, "Cellular Automata Formalism: A Clue to Understand Temperature Gradient


Metamorphism in Snow?," in Tech. report, Swiss Federal Institute Snow and Avalanche
Research, Davos Switzerland, 1985.

[258] V. Maverick, "Crystalline Behaviour in Some Cellular Automata," in Tech. report,


Institute Advanced Study, 1986.

[259] N. Packard, "Deterministic Lattice Models for Solidification and Aggregation," in


Proceedings of the 1st International Symposium for Science on Form, 1985.

[260] M. G. Danikas, I. Karafyllidis, A. Thanailakis, and A. M. Bruning, "A model for electrical
tree growth in solid insulating materials using cellular automata," in Proceedings of the
1996 IEEE International Simposium on Electrical Insulation, Montreal, Quebec, Canada,
1996, pp. 887-890.

[261] J. Greenberg, B. Hassard, and S. Hastings, "Pattern Formation and Periodic Structures in
Systems Modeled by Reaction-Diffusion Equations," Bulletin of the American
Mathematical Society, vol. 84, p. 1296, 1986.

[262] J. Park, K. Steiglitz, and W. Thurston, "Soliton-like Behavior in Automata," Physica D,


vol. 19, no. 3, pp. 423-432, 1986.

[263] I. Karafyllidis and A. Thanailakis, "A model for predicting forest fire spreading using
cellular automata," Ecological Modelling, vol. 99, no. 1, pp. 87-97, 1997.

[264] I. Karafyllidis, "A model for the prediction of oil slick movement and spreading using
cellular automata," Environment International, vol. 23, no. 6, pp. 839-850, 1997.

224
[265] I. Karafyllidis, "A model for the influence of the greenhouse effect on insect and
microorganism geographical distribution and population dynamics," BioSystems, vol.
45, no. 1, pp. 1-10, 1998.

[266] G. Ch. Sirakoulis, I. Karafyllidis, and A. Thanailakis, "A cellular automaton model for the
effect of population movement and vaccination on epidemic propagation," Ecological
Modelling, vol. 133, no. 3, pp. 209-223, 2000.

[267] Ch. Mizas et al., "Reconstruction of DNA sequences using genetic algorithms and
cellular automata: Towards mutation prediction? ," BioSystems, vol. 92, no. 1, pp. 61-
68, 2008.

[268] . Karafyllidis and . Thanailakis, "Simulation of two-dimensional photoresist etching


process in integrated circuit fabrication using cellular automata," Modelling and
Simulation in Materials Science and Engineering, vol. 3, no. 5, pp. 629-642, 1995.

[269] I. Karafyllidis and A. Thanailakis, "Simulation of the image reversal submicron process in
integrated circuit fabrication," Semiconductor Science and Technology, vol. 11, no. 2,
pp. 214-220, 1996.

[270] I. Karafyllidis, "Simulation of the negative chemical amplification deep-ultraviolet


process in integrated circuit fabrication," Microelectronic Engineering, vol. 34, no. 1-4,
pp. 155-170, 1997.

[271] P. Hagouel, I. Karafyllidis, and A. R. Neureuther, "Developer temperature effect on


negative deep ultraviolet resists: characterization, modeling and simulation," Journal of
Vacuum Science & Technology B (Microelectronics and Nanometer Structures), vol. 15,
no. 6, pp. 2616-2620, 1997.

[272] P. Hagouel, I. Karafyllidis, and A. R. Neureuther, "Dependence of develpoped negative-


resisit profiles on exposure energy dose: experiment, modeling and simulation,"
Microelectronic Engineering, vol. 41/42, pp. 351-354, 1998.

[273] I. Karafyllidis, P. Hagouel, and A. R. Neureuther, "Negative resist profiles in 248 nm


photolithography: experiment, modeling and simulation," Semiconductor Science and
Technology, vol. 13, no. 1, pp. 603-610, 1998.

[274] W. Pries, A. Thanailakis, and H. C. Card, "Group Properties of Cellular Automata and
VLSI Applications," IEEE Transactions on Computers, vol. 35, no. 12, pp. 1013-1024,
1986.

[275] N. Pitsianis, Ph. Tsalides, G. L. Bleris, A. Thanailakis, and H. C. Card, "Algebraic Theory of
Bounded One-dimensional Cellular Automata," Complex Systems, vol. 3, no. 2, pp. 209-
227, 1989.

[276] P. Tsalides, A. Thanailakis, N. Pitsanis, and G. L. Bleris, "Two-dimensional Cellular

225
Automata: Properties and Applications of a New VLSI Architecture," The Computer
Journal, vol. 35, no. 4, pp. A377-A386, 1992.

[277] P. Tsalides, P. J. Hicks, and T. A. York, "Three dimensional cellular automata and VLSI
applications," IEE Proceedings-E (Computers and Digital Techniques), vol. 136, no. 6, pp.
490-495, 1989.

[278] P. D. Hortensius, R. D. McLeod, W. Pries, D. M. Miller, and H. C. Card, "Cellular


Automata Based Pseudo-Random Number Generators for Built-In Self-Test," IEEE
Transactions on Computer-Aided Design, vol. 8, no. 8, pp. 842-859, 1989.

[279] P. Tsalides, T. A. York, and A. Thanailakis, "Pseudo-Random Number Generators for VLSI
Systems Based on Linear Cellular Automata," IEE Proceedings-E (Computers and Digital
Techniques), vol. 138, no. 4, pp. 241-249, 1991.

[280] D. R. Chowdhury, I. S. Sengupta, and P. P. Chaudhuri, "A Class of Two-Dimensional


Cellular Automata and Applications in random pattern Testing," Journal of Electronic
Testing: Theory & Applications, vol. 5, no. 1, pp. 67-82, 1994.

[281] F. Brglez and H. Fujiwara, "A Neutral Netlist of 10 Combinational Benchmark Circuits,"
in Proceedings of the IEEE International Symposium on Circuits and Systems, ISCAS85
IEEE Press, Piscataway, N.J., 1985, pp. 663-698.

[282] A. Albicki and M. Khare, "Cellular Automata Used for Test Pattern Generation," in
Proceedings of the International Conference on Computer Design, IEEE Computer
Society Press, Los Alamitos, California, 1987, pp. 56-59.

[283] S. Nandi, B. Vamsi, and P. P. Chaudhuri, "A CAD Tool for Design of On-Chip Store &
Generate Scheme," in Proceedings of the 7th International Conference on VLSI Design
IEEE Computer Society Press, Los Alamitos, California, 1994, pp. 169 -174.

[284] V. A. Mardiris and I. G. Karafyllidis, "Universal cellular automaton cell using quantum
cellular automata ," Electronic Leters (IET), vol. 45, no. 12, pp. 607-609, 2009.

[285] E. F. Moore, "Sequential machines," in Selected Papers. MA, Reading: Addison-Wesley,


1964, pp. 213-214.

[286] E. Goto, "A minimal time solution of the firing squad problem," Dittoed course notes for
Applied Mathematics 298, Harvard University, pp. 52-59, 1962.

[287] A. Waksman, "An optimum solution to the firing squad synchronizaton problem,"
Information and Control, vol. 9, pp. 66-78, 1966.

[288] R. Balzer, "An 8-state minimal time solution to the firing squad synchronization
problem," Information and Control, vol. 10, pp. 22-42, 1967.

226
[289] Hans-D. Gerken, "ber Synchronisations - Probleme bei Zellularautomaten,"
Diplomarbeit, Institut fr Theoretische Informatik, Technische Universitt
Braunschweig, vol. 50.

[290] J. Mazoyer, "A six-state minimal time solution to the firing squad synchronization
problem," Theoretical Computer Science, vol. 50, pp. 183-238, 1987.

[291] H. Umeo, M. Hisaoka, and T. Sogabe, "A Survey on Optimum-Time Firing Squad
Synchronization Algorithms for One-Dimensional Cellular Automata," Int. Journ. of
Unconventional Computing, vol. 1, pp. 403-426, 2005.

227
228



.
:

block_bleft.txt qcacell0.gif

block_bright.txt qcacell1.gif

block_down.txt qcacell2.gif

lock_left-down.txt qcacell3.gif

block_left-up.txt qcacellr0.gif

block_left.txt qcacellr1.gif

block_right-down.txt qcacellr2.gif

block_right-up.txt qcacellr3.gif

block_right.txt qcacellrstuck-1.gif

block_up.txt qcacellrstuck1.gif

control.php qcacellstuck-1.gif

design.qca qcacellstuck1.gif

grid.php sim.vectors

index.php title.php

index.php, control.php, grid.php, title.php


DATICAQ,
QCA ...
design.qca
QCA QCADesigner.
sim.vectors

QCADesigner. gif QCA

229
,
QCA
DATICAQ.
index.php, control.php, grid.php,
title.php :

index.php
<frameset rows="24,*">
<frame src="title.php" scrolling="no" noresize>
<frameset cols="275,*">
<frame src="control.php" name="control" scrolling="no" noresize>
<frame src="grid.php" name="grid">
</frameset>
</frameset>

control.php

<html>
<body bgcolor="#5050ff">

<form action="grid.php" method="post" target="grid">

<table width="250" cellspacing="10">


<tr>
<td align="right" bgcolor="#9999ff" style="color:#000088;font:12pt arial;padding:10px">
<div align="center" style="margin-bottom:10px;font-weight:bold">CA system
definition</div>
Number of cells : <input type="text" name="calen" style="width:50px"><br>
Wolfram rule : <input type="text" name="rule" style="width:50px">
</td>
</tr>
<tr>
<td align="center" bgcolor="#9999ff" style="color:#000088;font:12pt arial;padding:10px">
<div style="margin-bottom:10px;font-weight:bold">Boundary conditions</div>
<input type="radio" name="bound" value="zero" checked>zero
<input type="radio" name="bound" value="periodic">periodic
</td>
</tr>
<tr>
<td align="right" bgcolor="#9999ff" style="color:#000088;font:12pt arial;padding:10px">
<div align="center" style="margin-bottom:10px;font-weight:bold">Initial CA state</div>
Initial state in decimal : <input type="text" name="ivalue" style="width:50px">
</td>
</tr>
<tr>
<td align="right" bgcolor="#9999ff" style="color:#000088;font:12pt arial;padding:10px">
<div align="center" style="margin-bottom:10px;font-weight:bold">Number of CA evolution
steps</div>
No. of time steps : <input type="text" name="maxcount" style="width:50px">
</td>
</tr>
<tr>
<td align="right" bgcolor="#9999ff" style="color:#000088;font:12pt arial;padding:10px">
<div align="center" style="margin-bottom:10px;font-weight:bold">Display CA
evolution</div>
from &nbsp; period : <input type="text" name="displayfrom" style="width:50px"><br>
to &nbsp; period : <input type="text" name="displayto" style="width:50px"><br>
<div align="center" style="margin-top:10px;"><input type="checkbox" name="displayall"
value="yes" checked> all periods</div>
</td>
</tr>
<tr>
<td align="center">
<input type="submit" name="go" value="Test CA" style="font:14pt
arial;width:112px">
<input type="submit" name="go" value="QCA Circuit" style="font:14pt
arial;width:112px">
</td>
</tr>
</table>

</form>

</body>
</html>

grid.php

230
<html>
<head><META HTTP-EQUIV="PRAGMA" CONTENT="NO-CACHE"><META HTTP-EQUIV="EXPIRES" CONTENT="0"></head>
<?php

function block_placement($blockname,$offsetx,$offsety,$no) {
$rhandle = fopen($blockname,"r");
while (!feof($rhandle)) {
list($x,$y,$clk,$func,$polarization,$rotated,$label,$label_x,$label_y) =
split("\t",fgets($rhandle));
if (($x!="")&&(substr($x,0,1)!="#")) {
if (substr($polarization,0,4)=="rule") {
$binrule=sprintf("%08b",$_POST['rule']);
if (substr($binrule,(7-substr($polarization,4,1)),1)=="1") {
$polarization=1;
$label=1;
} else {
$polarization=-1;
$label=-1;
}
}
$cellimg="qcacell";
if ($rotated==1) { $cellimg=$cellimg.'r'; }
if ($func=="QCAD_CELL_FIXED") {
$cellimg=$cellimg.'stuck'.$polarization;
} else {
$cellimg=$cellimg.$clk;
}
echo "<img src=\"".$cellimg.".gif\" width=\"9\" height=\"9\"
style=\"position:absolute;left:".(($x+$offsetx)/2)."px;top:".(($y+$offsety)/2)."px\">";
if ($label!='0') {
echo "<div
style=\"position:absolute;left:".(($label_x+$offsetx)/2)."px;top:".(($label_y+$offsety)/2)."px\" nowrap><font
color=\"white\" face=\"courier new\" style=\"font-size:8px\">".str_replace("##",$no,$label)."</font></div>";
}
}
}
fclose($rhandle);
}

function qcablock_placement($wfilehandle,$blockname,$offsetx,$offsety,$no) {
$rhandle = fopen($blockname,"r");
while (!feof($rhandle)) {
list($x,$y,$clk,$func,$polarization,$rotated,$label,$label_x,$label_y) =
split("\t",fgets($rhandle));
if (($x!="")&&(substr($x,0,1)!="#")) {
if (substr($polarization,0,4)=="rule") {
$binrule=sprintf("%08b",$_POST['rule']);
if (substr($binrule,(7-substr($polarization,4,1)),1)=="1") {
$polarization=1;
$label=1;
} else {
$polarization=-1;
$label=-1;
}
}

QCAD_CELL($wfilehandle,($x+$offsetx),($y+$offsety),$clk,$func,$polarization,$rotated,str_replace("##",$no,
$label),($label_x+$offsetx),($label_y+$offsety));
}
}
fclose($rhandle);
}

function QCAD_CELL($wfilehandle,$x,$y,$clk,$func,$polarization,$rotated,$label,$label_x,$label_y) {
fwrite($wfilehandle,"[TYPE:QCADCell]\n");
fwrite($wfilehandle,"[TYPE:QCADDesignObject]\n");
fwrite($wfilehandle,"x=".$x."\n");
fwrite($wfilehandle,"y=".$y."\n");
fwrite($wfilehandle,"bSelected=FALSE\n");
if ($func=="QCAD_CELL_FIXED") {
fwrite($wfilehandle,"clr.red=65535\n");
fwrite($wfilehandle,"clr.green=32768\n");
fwrite($wfilehandle,"clr.blue=0\n");
} elseif ($func=="QCAD_CELL_INPUT") {
fwrite($wfilehandle,"clr.red=0\n");
fwrite($wfilehandle,"clr.green=0\n");
fwrite($wfilehandle,"clr.blue=65535\n");
} elseif ($func=="QCAD_CELL_OUTPUT") {
fwrite($wfilehandle,"clr.red=65535\n");
fwrite($wfilehandle,"clr.green=65535\n");
fwrite($wfilehandle,"clr.blue=0\n");
} elseif ($clk==0) {
fwrite($wfilehandle,"clr.red=0\n");
fwrite($wfilehandle,"clr.green=65535\n");
fwrite($wfilehandle,"clr.blue=0\n");
} elseif ($clk==1) {
fwrite($wfilehandle,"clr.red=65535\n");
fwrite($wfilehandle,"clr.green=0\n");
fwrite($wfilehandle,"clr.blue=65535\n");
} elseif ($clk==2) {
fwrite($wfilehandle,"clr.red=0\n");
fwrite($wfilehandle,"clr.green=65535\n");
fwrite($wfilehandle,"clr.blue=65535\n");
} elseif ($clk==3) {
fwrite($wfilehandle,"clr.red=65535\n");
fwrite($wfilehandle,"clr.green=65535\n");
fwrite($wfilehandle,"clr.blue=65535\n");
}
fwrite($wfilehandle,"bounding_box.xWorld=".($x-9)."\n");
fwrite($wfilehandle,"bounding_box.yWorld=".($y-9)."\n");
fwrite($wfilehandle,"bounding_box.cxWorld=18.000000\n");
fwrite($wfilehandle,"bounding_box.cyWorld=18.000000\n");

231
fwrite($wfilehandle,"[#TYPE:QCADDesignObject]\n");
fwrite($wfilehandle,"cell_options.cxCell=18.000000\n");
fwrite($wfilehandle,"cell_options.cyCell=18.000000\n");
fwrite($wfilehandle,"cell_options.dot_diameter=5.000000\n");
fwrite($wfilehandle,"cell_options.clock=".$clk."\n");
if
(($func=="QCAD_CELL_NORMAL")||($func=="QCAD_CELL_FIXED")||($func=="QCAD_CELL_INPUT")||($func=="QCAD_CELL_OUTPUT")) {
fwrite($wfilehandle,"cell_options.mode=QCAD_CELL_MODE_NORMAL\n");
}
fwrite($wfilehandle,"cell_function=".$func."\n");
fwrite($wfilehandle,"number_of_dots=4\n");
fwrite($wfilehandle,"[TYPE:CELL_DOT]\n");
if ($rotated==0) {
fwrite($wfilehandle,"x=".($x+4.5)."\n");
fwrite($wfilehandle,"y=".($y-4.5)."\n");
} else {
fwrite($wfilehandle,"x=".($x+6.363961)."\n");
fwrite($wfilehandle,"y=".$y."\n");
}
fwrite($wfilehandle,"diameter=5.000000\n");
fwrite($wfilehandle,"charge=".(1.6021764e-019*(1+$polarization)/2.)."\n");
fwrite($wfilehandle,"spin=0.000000\n");
fwrite($wfilehandle,"potential=0.000000\n");
fwrite($wfilehandle,"[#TYPE:CELL_DOT]\n");
fwrite($wfilehandle,"[TYPE:CELL_DOT]\n");
if ($rotated==0) {
fwrite($wfilehandle,"x=".($x+4.5)."\n");
fwrite($wfilehandle,"y=".($y+4.5)."\n");
} else {
fwrite($wfilehandle,"x=".$x."\n");
fwrite($wfilehandle,"y=".($y+6.363961)."\n");
}
fwrite($wfilehandle,"diameter=5.000000\n");
fwrite($wfilehandle,"charge=".(1.6021764e-019*(1-$polarization)/2.)."\n");
fwrite($wfilehandle,"spin=0.000000\n");
fwrite($wfilehandle,"potential=0.000000\n");
fwrite($wfilehandle,"[#TYPE:CELL_DOT]\n");
fwrite($wfilehandle,"[TYPE:CELL_DOT]\n");
if ($rotated==0) {
fwrite($wfilehandle,"x=".($x-4.5)."\n");
fwrite($wfilehandle,"y=".($y+4.5)."\n");
} else {
fwrite($wfilehandle,"x=".($x-6.363961)."\n");
fwrite($wfilehandle,"y=".$y."\n");
}
fwrite($wfilehandle,"diameter=5.000000\n");
fwrite($wfilehandle,"charge=".(1.6021764e-019*(1+$polarization)/2.)."\n");
fwrite($wfilehandle,"spin=0.000000\n");
fwrite($wfilehandle,"potential=0.000000\n");
fwrite($wfilehandle,"[#TYPE:CELL_DOT]\n");
fwrite($wfilehandle,"[TYPE:CELL_DOT]\n");
if ($rotated==0) {
fwrite($wfilehandle,"x=".($x-4.5)."\n");
fwrite($wfilehandle,"y=".($y-4.5)."\n");
} else {
fwrite($wfilehandle,"x=".$x."\n");
fwrite($wfilehandle,"y=".($y-6.363961)."\n");
}
fwrite($wfilehandle,"diameter=5.000000\n");
fwrite($wfilehandle,"charge=".(1.6021764e-019*(1-$polarization)/2.)."\n");
fwrite($wfilehandle,"spin=0.000000\n");
fwrite($wfilehandle,"potential=0.000000\n");
fwrite($wfilehandle,"[#TYPE:CELL_DOT]\n");
if ($func=="QCAD_CELL_FIXED") {
fwrite($wfilehandle,"[TYPE:QCADLabel]\n");
fwrite($wfilehandle,"[TYPE:QCADStretchyObject]\n");
fwrite($wfilehandle,"[TYPE:QCADDesignObject]\n");
fwrite($wfilehandle,"x=".$label_x."\n");
fwrite($wfilehandle,"y=".$label_y."\n");
fwrite($wfilehandle,"bSelected=FALSE\n");
fwrite($wfilehandle,"clr.red=65535\n");
fwrite($wfilehandle,"clr.green=32768\n");
fwrite($wfilehandle,"clr.blue=0\n");
fwrite($wfilehandle,"bounding_box.xWorld=".($label_x-10)."\n");
fwrite($wfilehandle,"bounding_box.yWorld=".($label_y-10)."\n");
fwrite($wfilehandle,"bounding_box.cxWorld=20.000000\n");
fwrite($wfilehandle,"bounding_box.cyWorld=20.000000\n");
fwrite($wfilehandle,"[#TYPE:QCADDesignObject]\n");
fwrite($wfilehandle,"[#TYPE:QCADStretchyObject]\n");
fwrite($wfilehandle,"psz=".$label."\n");
fwrite($wfilehandle,"[#TYPE:QCADLabel]\n");
}
if ($func=="QCAD_CELL_INPUT") {
fwrite($wfilehandle,"[TYPE:QCADLabel]\n");
fwrite($wfilehandle,"[TYPE:QCADStretchyObject]\n");
fwrite($wfilehandle,"[TYPE:QCADDesignObject]\n");
fwrite($wfilehandle,"x=".$label_x."\n");
fwrite($wfilehandle,"y=".$label_y."\n");
fwrite($wfilehandle,"bSelected=FALSE\n");
fwrite($wfilehandle,"clr.red=0\n");
fwrite($wfilehandle,"clr.green=0\n");
fwrite($wfilehandle,"clr.blue=65535\n");
fwrite($wfilehandle,"bounding_box.xWorld=".($label_x-10)."\n");
fwrite($wfilehandle,"bounding_box.yWorld=".($label_y-10)."\n");
fwrite($wfilehandle,"bounding_box.cxWorld=20.000000\n");
fwrite($wfilehandle,"bounding_box.cyWorld=20.000000\n");
fwrite($wfilehandle,"[#TYPE:QCADDesignObject]\n");
fwrite($wfilehandle,"[#TYPE:QCADStretchyObject]\n");
fwrite($wfilehandle,"psz=".$label."\n");
fwrite($wfilehandle,"[#TYPE:QCADLabel]\n");
}
if ($func=="QCAD_CELL_OUTPUT") {

232
fwrite($wfilehandle,"[TYPE:QCADLabel]\n");
fwrite($wfilehandle,"[TYPE:QCADStretchyObject]\n");
fwrite($wfilehandle,"[TYPE:QCADDesignObject]\n");
fwrite($wfilehandle,"x=".$label_x."\n");
fwrite($wfilehandle,"y=".$label_y."\n");
fwrite($wfilehandle,"bSelected=FALSE\n");
fwrite($wfilehandle,"clr.red=65535\n");
fwrite($wfilehandle,"clr.green=65535\n");
fwrite($wfilehandle,"clr.blue=0\n");
fwrite($wfilehandle,"bounding_box.xWorld=".($label_x-10)."\n");
fwrite($wfilehandle,"bounding_box.yWorld=".($label_y-10)."\n");
fwrite($wfilehandle,"bounding_box.cxWorld=20.000000\n");
fwrite($wfilehandle,"bounding_box.cyWorld=20.000000\n");
fwrite($wfilehandle,"[#TYPE:QCADDesignObject]\n");
fwrite($wfilehandle,"[#TYPE:QCADStretchyObject]\n");
fwrite($wfilehandle,"psz=".$label."\n");
fwrite($wfilehandle,"[#TYPE:QCADLabel]\n");
}
fwrite($wfilehandle,"[#TYPE:QCADCell]\n");
}

sscanf($_POST['calen'],"%d",$tmp1);
sscanf($_POST['rule'],"%d",$tmp2);
sscanf($_POST['ivalue'],"%d",$tmp3);
sscanf($_POST['maxcount'],"%d",$tmp4);
sscanf($_POST['displayfrom'],"%d",$tmp5);
sscanf($_POST['displayto'],"%d",$tmp6);
if (!is_int($tmp1)||($tmp1<=0)) {
echo "<body bgcolor=\"#6080ff\"><p style=\"font:14pt Arial\">Please enter a valid number of cells for the
CA system.</p></body>";
} elseif (!is_int($tmp2)||($tmp2<0)||($tmp2>255)) {
echo "<body bgcolor=\"#6080ff\"><p style=\"font:14pt Arial\">Please enter a valid Wolfram rule for the CA
system.</p></body>";
} elseif (!is_int($tmp3)||($tmp3<0)||($tmp3>=pow(2,$_POST['calen']))) {
echo "<body bgcolor=\"#6080ff\"><p style=\"font:14pt Arial\">Please enter a number between 0 and
".(pow(2,$_POST['calen'])-1)." for <i>initial state definition</i>.</p></body>";
} elseif (!is_int($tmp4)||($tmp4<0)) {
echo "<body bgcolor=\"#6080ff\"><p style=\"font:14pt Arial\">Please enter a valid number for maximum
evolution periods.</p></body>";
} elseif (($_POST['bound']=="zero")&&($tmp1<2)) {
echo "<body bgcolor=\"#6080ff\"><p style=\"font:14pt Arial\">This tool requires the <i>number of cells</i>
to be equal or grater than 2 for zero boundary conditions.</p></body>";
} elseif (($_POST['bound']=="periodic")&&($tmp1<6)) {
echo "<body bgcolor=\"#6080ff\"><p style=\"font:14pt Arial\">This tool requires the <i>number of cells</i>
to be equal or grater than 6 for periodic boundary conditions.</p></body>";
} elseif (($_POST['bound']=="periodic")&&(($tmp1%2)==1)) {
echo "<body bgcolor=\"#6080ff\"><p style=\"font:14pt Arial\">This tool requires the <i>number of cells</i>
to be an even number for periodic boundary conditions.</p></body>";
} elseif
((!is_int($tmp5)||!is_int($tmp6)||($tmp5<0)||($tmp5>$tmp4)||($tmp6<0)||($tmp6>$tmp4))&&($_POST['displayall']!="yes")
) {
echo "<body bgcolor=\"#6080ff\"><p style=\"font:14pt Arial\">Please enter numbers between 0 and
".$tmp4."<br>for the <i>from/to period</i> fields in <i>Display CA Evolution</i> section.</p></body>";
} elseif ($_POST['go']=="QCA Circuit") {

//creating qca file


//------------------
$whandle = fopen("./design.qca","w");
fwrite($whandle,"[VERSION]\n");
fwrite($whandle,"qcadesigner_version=2.000000\n");
fwrite($whandle,"[#VERSION]\n");
fwrite($whandle,"[TYPE:DESIGN]\n");
fwrite($whandle,"[TYPE:QCADLayer]\n");
fwrite($whandle,"type=3\n");
fwrite($whandle,"status=1\n");
fwrite($whandle,"pszDescription=Drawing Layer\n");
fwrite($whandle,"[#TYPE:QCADLayer]\n");
fwrite($whandle,"[TYPE:QCADLayer]\n");
fwrite($whandle,"type=0\n");
fwrite($whandle,"status=1\n");
fwrite($whandle,"pszDescription=Substrate\n");
fwrite($whandle,"[TYPE:QCADSubstrate]\n");
fwrite($whandle,"[TYPE:QCADStretchyObject]\n");
fwrite($whandle,"[TYPE:QCADDesignObject]\n");
fwrite($whandle,"x=3000.000000\n");
fwrite($whandle,"y=1500.000000\n");
fwrite($whandle,"bSelected=FALSE\n");
fwrite($whandle,"clr.red=65535\n");
fwrite($whandle,"clr.green=65535\n");
fwrite($whandle,"clr.blue=65535\n");
fwrite($whandle,"bounding_box.xWorld=0.000000\n");
fwrite($whandle,"bounding_box.yWorld=0.000000\n");
fwrite($whandle,"bounding_box.cxWorld=6000.000000\n");
fwrite($whandle,"bounding_box.cyWorld=3000.000000\n");
fwrite($whandle,"[#TYPE:QCADDesignObject]\n");
fwrite($whandle,"[#TYPE:QCADStretchyObject]\n");
fwrite($whandle,"grid_spacing=20.000000\n");
fwrite($whandle,"[#TYPE:QCADSubstrate]\n");
fwrite($whandle,"[#TYPE:QCADLayer]\n");
fwrite($whandle,"[TYPE:QCADLayer]\n");
fwrite($whandle,"type=1\n");
fwrite($whandle,"status=0\n");
fwrite($whandle,"pszDescription=Main Cell Layer\n");

$calen=$_POST['calen'];
if ($_POST['bound']=="zero") {
qcablock_placement($whandle,"block_bleft.txt",100,10,($calen-1));//block_bleft width 560
$calen--;
for ($i=1;$i<$calen;$i++) {
qcablock_placement($whandle,"block_down.txt",(100+560+560*($i-1)),10,($calen-
$i));//block_down width 560
}

233
qcablock_placement($whandle,"block_bright.txt",(100+560+560*($i-2)+560),10,0);//block_bright
width 560
} elseif ($_POST['bound']=="periodic") {
$cacount=$calen-1;$offx=20;$offy=20;
qcablock_placement($whandle,"block_right.txt",($offx+1520+560*($calen-
6)/2+500),($offy+1040),$cacount);//block_right 0..1300-0..500
$cacount--;
qcablock_placement($whandle,"block_right-up.txt",($offx+1520+560*($calen-
6)/2),$offy,$cacount);//block_right-up 0..1300-0..500
for ($i=1;$i<=($calen-6)/2;$i++) {
$cacount--;
qcablock_placement($whandle,"block_up.txt",($offx+1520+560*(($calen-6)/2-
$i)),$offy,$cacount);//block_left-up 0..1300-0..500 width 520
}
$cacount--;
qcablock_placement($whandle,"block_left-up.txt",($offx+1000),$offy,$cacount);//block_left-up
0..1300-0..500 width 520
$cacount--;
qcablock_placement($whandle,"block_left.txt",$offx,($offy+1260),$cacount);//block_left 0..1300-
0..500
$cacount--;
qcablock_placement($whandle,"block_left-
down.txt",($offx+1260),($offy+1500),$cacount);//block_left-down 0..1300-0..500
for ($i=1;$i<=($calen-6)/2;$i++) {
$cacount--;

qcablock_placement($whandle,"block_down.txt",($offx+1260+560*$i),($offy+1500),$cacount);//block_right-down
0..1300-0..500
}
$cacount--;
qcablock_placement($whandle,"block_right-down.txt",($offx+1260+560*($calen-
6)/2+560),($offy+1500),$cacount);//block_right-down 0..1300-0..500
}

fwrite($whandle,"[#TYPE:QCADLayer]\n");
fwrite($whandle,"[#TYPE:DESIGN]\n");
fclose($whandle);

//creating sim.vectors file


//------------------
$whandle = fopen("./sim.vectors","w");
fwrite($whandle,"%%VECTOR TABLE%%\n");
fwrite($whandle,"# This is a vector table file created by the CA-QCA-Tool.\n");
fwrite($whandle,"# The inputs this vector table was constructed for are listed below\n");
fwrite($whandle,"# from Most Significant Bit to Least Significant Bit:\n");
for ($i=($_POST['calen']-1);$i>=0;$i--) {
fwrite($whandle,"# load_init_");
fwrite($whandle,$i);
fwrite($whandle,"\n");
fwrite($whandle,"# init_");
fwrite($whandle,$i);
fwrite($whandle,"\n");
}
fwrite($whandle,"# Active input mask.\n");
for ($i=1;$i<=(2*$_POST['calen']);$i++) {
fwrite($whandle,"1");
}
fwrite($whandle,"\n");
fwrite($whandle,"# The vectors.\n");
$binivalue=sprintf("%0".$_POST['calen']."b",$_POST['ivalue']);
for ($ii=1;$ii<=12;$ii++) {
for ($i=1;$i<=$_POST['calen'];$i++) {
fwrite($whandle,"0");
fwrite($whandle,substr($binivalue,($i-1),1));
}
fwrite($whandle,"\n");
}
for ($ii=1;$ii<=(6*$_POST['maxcount']);$ii++) {
for ($i=1;$i<=$_POST['calen'];$i++) {
fwrite($whandle,"1");
fwrite($whandle,substr($binivalue,($i-1),1));
}
fwrite($whandle,"\n");
}
fclose($whandle);

//display the design


//------------------
echo "<body bgcolor=\"#000000\" style=\"zoom:100%\">";
echo "<div id=\"qcacircuit\">";
$calen=$_POST['calen'];
if ($_POST['bound']=="zero") {
block_placement("block_bleft.txt",100,80,($calen-1));//block_bleft width 560
$calen--;
for ($i=1;$i<$calen;$i++) {
block_placement("block_down.txt",(100+560+560*($i-1)),80,($calen-$i));//block_down
width 560
}
block_placement("block_bright.txt",(100+560+560*($i-2)+560),80,0);//block_bright width 560
} elseif ($_POST['bound']=="periodic") {
$cacount=$calen-1;$offx=20;$offy=20;
block_placement("block_right.txt",($offx+1520+560*($calen-
6)/2+500),($offy+1040),$cacount);//block_right 0..1300-0..500
$cacount--;
block_placement("block_right-up.txt",($offx+1520+560*($calen-
6)/2),$offy,$cacount);//block_right-up 0..1300-0..500
for ($i=1;$i<=($calen-6)/2;$i++) {
$cacount--;
block_placement("block_up.txt",($offx+1520+560*(($calen-6)/2-
$i)),$offy,$cacount);//block_left-up 0..1300-0..500 width 520
}
$cacount--;

234
block_placement("block_left-up.txt",($offx+1000),$offy,$cacount);//block_left-up 0..1300-0..500
width 520
$cacount--;
block_placement("block_left.txt",$offx,($offy+1260),$cacount);//block_left 0..1300-0..500
$cacount--;
block_placement("block_left-down.txt",($offx+1260),($offy+1500),$cacount);//block_left-down
0..1300-0..500
for ($i=1;$i<=($calen-6)/2;$i++) {
$cacount--;

block_placement("block_down.txt",($offx+1260+560*$i),($offy+1500),$cacount);//block_right-down 0..1300-
0..500
}
$cacount--;
block_placement("block_right-down.txt",($offx+1260+560*($calen-
6)/2+560),($offy+1500),$cacount);//block_right-down 0..1300-0..500
}
echo "</div>";
if ($_POST['bound']=="zero") {
$sep="&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;";
} else {
$sep="<br>";
}
echo "<div style=\"position:absolute;left:5px;top:5px;background:#9999ff;border-style:outset\"><a
style=\"text-decoration:none;color:#000080;font-size:14pt\" href=\"design.qca\"
target=\"_blank\">&nbsp;Open&nbsp;the&nbsp;design&nbsp;in&nbsp;QCADesigner&nbsp;</a></div><div
style=\"position:absolute;left:274px;top:5px;background:#9999ff;border-style:outset\"><a style=\"text-
decoration:none;color:#000080;font-size:14pt;\" href=\"sim.vectors\"
target=\"_blank\">&nbsp;Simulation&nbsp;input&nbsp;vectors&nbsp;file&nbsp;</a></div><div
style=\"cursor:pointer;position:absolute;left:501px;top:5px;background:#9999ff;border-style:outset\"><a
style=\"text-decoration:none;color:#000080;font-size:14pt;\"
onclick=\"document.getElementById('help').style.visibility='visible'\">&nbsp;Simulation&nbsp;Help&nbsp;</a></div>";

} else {

?>
<body bgcolor="#6080ff">
<table align="center" border="1" style="border-width:0px">
<?php
$ca=array();
$canew=array();
$clk=0;
$binivalue=sprintf("%0".$_POST['calen']."b",$_POST['ivalue']);
$binrule=sprintf("%08b",$_POST['rule']);
echo "<tr><td style=\"border-width:0px\">Periods</td><td style=\"border-width:0px\" align=\"center\"
colspan=\"".$_POST['calen']."\">CA cells</td></tr>";
echo "<tr><td style=\"border-width:0px\">&nbsp;</td>";
for ($cell=0;$cell<$_POST['calen'];$cell++) {
echo "<td width=\"20\" style=\"border-width:0px\"
align=\"center\">".sprintf("%0".strlen($_POST['calen'])."d",($_POST['calen']-$cell-1))."</td>";
}
echo "</tr><tr>";
echo "<td align=\"center\" style=\"border-width:0px\">#".$clk.".</td>";
for ($cell=0;$cell<$_POST['calen'];$cell++) {
$ca[$cell]=substr($binivalue,$cell,1);
if ($ca[$cell]==0) {
echo "<td bgcolor=\"#bbbbbb\" style=\"border-
color:black;width:20px;height:20px;font:1pt arial;margin:0px;padding:0px\">&nbsp;</td>";
} else {
echo "<td bgcolor=\"#bb0000\" style=\"border-
color:black;width:20px;height:20px;font:1pt arial;margin:0px;padding:0px\">&nbsp;</td>";
}
}
echo "</tr>";
if ($_POST['bound']=="zero") {
$ca[-1]=0;
$ca[$_POST['calen']]=0;
} else {
$ca[-1]=$ca[$_POST['calen']-1];
$ca[$_POST['calen']]=$ca[0];
}
if ($_POST['displayall']=="yes") {
$dfrom=1;
$dto=$_POST['maxcount'];
} else {
$dfrom=$_POST['displayfrom'];
$dto=$_POST['displayto'];
}
for ($clk=1;$clk<=$_POST['maxcount'];$clk++) {
if (($clk>=$dfrom)&&($clk<=$dto)) {
echo "<tr>";
echo "<td align=\"center\" style=\"border-width:0px\">#".$clk.".</td>";
}
for ($cell=0;$cell<$_POST['calen'];$cell++) {
$canew[$cell]=substr($binrule,-1-bindec($ca[$cell-1].$ca[$cell].$ca[$cell+1]),1);
if (($clk>=$dfrom)&&($clk<=$dto)) {
if ($canew[$cell]==0) {
echo "<td bgcolor=\"white\" style=\"border-
color:black;width:20px;height:20px;font:1pt arial;margin:0px;padding:0px\">&nbsp;</td>";
} else {
echo "<td bgcolor=\"red\" style=\"border-
color:black;width:20px;height:20px;font:1pt arial;margin:0px;padding:0px\">&nbsp;</td>";
}
}
}
if (($clk>=$dfrom)&&($clk<=$dto)) {
echo "</tr>";
}
for ($cell=0;$cell<$_POST['calen'];$cell++) {
$ca[$cell]=$canew[$cell];
}
if ($_POST['bound']=="zero") {

235
$ca[-1]=0;
$ca[$_POST['calen']]=0;
} else {
$ca[-1]=$canew[$_POST['calen']-1];
$ca[$_POST['calen']]=$canew[0];
}
}
echo "</table>";
}
?>
<div id="help"
style="visibility:hidden;cursor:default;position:absolute;left:5px;top:50px;background:#9999ff;border-
style:solid;padding:10px;border-color:#5555bb">
<h3>Simulation Instructions</h3><P>The CA circuit produced automatically by the <i>CA Design Tool using QCAs</i> can
be simulated by the <i>QCASimulator</i> tool using the following steps:<br><br>
1. Download the <i>Simulation Input Vectors File</i>, which has been automatically created by the <i>CA Design Tool
using QCAs</i>, pressing on the relative button which is located at the top of the left frame in this page. The
simulation input vectors are produced taking into account the CA parameters entered by the user.<br><br>
2. Open the CA design by pressing on the button labeled <i>Open the Design in QCADesigner</i>. This step requires to
have the <i>QCADesigner</i> tool installed at your computer. Alternatively you can use the <i>Open the Design in
QCADesigner</i> button to download the design file to your computer and then open it useing the <i>QCADesigner</i>
tool.<br><br>
3. When you are in <i>QCADesigner</i> select <i>Simulation -> Simulation Type Setup</i> menu and then click on the
<i>Vector Table</i> button. Then click on <i>Open</i> button and select the simulation input vectors file which you
have download previously at step 1 and click <i>Ok</i> to load it.<br><br>
4. Select <i>Simulation -> Simulation Engine Setup</i> at <i>QCADesigner</i> menu, select <i>Coherence Vector</i>
and click <i>Ok</i>, in order to make a more accurate simulation.<br><br>
5. Select <i>Simulation -> Start Simulation</i> menu to start the simulation procedure.<br><br>
6. When the simulation finished, compare the results with the theoretical ones provided by pressing the <i>Test
CA</i> button at <i>CA Design Tool using QCAs</i></p><p align="right"><u style="cursor:pointer"
onclick="document.getElementById('help').style.visibility='hidden'">Close window</u></p>
</div>
</body>
</html>

title.php

<html>
<body style="padding-top:0px;margin-top:0px" bgcolor="#00307f">
<div align="center" height="100%" style="color:white;font:14pt Arial;padding-top:0px;margin-top:0px">Design
Automation Tool of 1-D Cellular Automata using Quantum Cellular Automata (DATICAQ)</div>
</body>
</html>

txt, QCA
block QCA
.. block_bleft.txt

.

block_bleft.txt
#block_left-down 0..540-0..1300
#x y clk func polarization rotated label label_x label_y
160 10 0 QCAD_CELL_INPUT 0 0 load_init_## 117 -10
120 20 0 QCAD_CELL_NORMAL 0 1 0 0 0
200 20 0 QCAD_CELL_NORMAL 0 1 0 0 0
140 30 0 QCAD_CELL_NORMAL 0 0 0 0 0
180 30 0 QCAD_CELL_NORMAL 0 0 0 0 0
160 30 0 QCAD_CELL_NORMAL 0 0 0 0 0
120 40 0 QCAD_CELL_NORMAL 0 1 0 0 0
200 40 0 QCAD_CELL_NORMAL 0 1 0 0 0
120 60 1 QCAD_CELL_NORMAL 0 1 0 0 0
200 60 1 QCAD_CELL_NORMAL 0 1 0 0 0
60 80 0 QCAD_CELL_INPUT 0 1 init_## -10 80
80 80 0 QCAD_CELL_NORMAL 0 1 0 0 0
100 80 1 QCAD_CELL_NORMAL 0 1 0 0 0
120 80 1 QCAD_CELL_NORMAL 0 1 0 0 0
140 80 1 QCAD_CELL_NORMAL 0 1 0 0 0
160 80 0 QCAD_CELL_FIXED -1 1 -1 160 60
180 80 1 QCAD_CELL_NORMAL 0 1 0 0 0
200 80 1 QCAD_CELL_NORMAL 0 1 0 0 0
220 80 1 QCAD_CELL_NORMAL 0 1 0 0 0
120 100 1 QCAD_CELL_NORMAL 0 1 0 0 0
200 100 1 QCAD_CELL_NORMAL 0 1 0 0 0
120 120 1 QCAD_CELL_NORMAL 0 1 0 0 0
200 120 2 QCAD_CELL_NORMAL 0 1 0 0 0
120 140 1 QCAD_CELL_NORMAL 0 1 0 0 0

236
140 140 1 QCAD_CELL_NORMAL 0 1 0 0 0
160 140 1 QCAD_CELL_NORMAL 0 1 0 0 0
180 140 2 QCAD_CELL_NORMAL 0 1 0 0 0
200 140 2 QCAD_CELL_NORMAL 0 1 0 0 0
220 140 2 QCAD_CELL_NORMAL 0 1 0 0 0
240 140 1 QCAD_CELL_FIXED -1 1 -1 260 140
200 160 2 QCAD_CELL_NORMAL 0 1 0 0 0
#cellout line
60 200 3 QCAD_CELL_OUTPUT 0 1 cell_## -10 200
80 200 3 QCAD_CELL_NORMAL 0 1 0 0 0
100 200 3 QCAD_CELL_NORMAL 0 1 0 0 0
120 200 3 QCAD_CELL_NORMAL 0 1 0 0 0
140 200 3 QCAD_CELL_NORMAL 0 1 0 0 0
160 200 3 QCAD_CELL_NORMAL 0 1 0 0 0
200 180 2 QCAD_CELL_NORMAL 0 1 0 0 0
180 200 3 QCAD_CELL_NORMAL 0 1 0 0 0
200 200 2 QCAD_CELL_NORMAL 0 1 0 0 0
220 200 2 QCAD_CELL_NORMAL 0 1 0 0 0
240 200 2 QCAD_CELL_NORMAL 0 1 0 0 0
260 200 2 QCAD_CELL_NORMAL 0 1 0 0 0
280 200 2 QCAD_CELL_NORMAL 0 1 0 0 0
300 200 2 QCAD_CELL_NORMAL 0 1 0 0 0
320 200 2 QCAD_CELL_NORMAL 0 1 0 0 0
340 200 2 QCAD_CELL_NORMAL 0 1 0 0 0
360 200 2 QCAD_CELL_NORMAL 0 1 0 0 0
380 200 3 QCAD_CELL_NORMAL 0 1 0 0 0
400 200 3 QCAD_CELL_NORMAL 0 1 0 0 0
420 200 3 QCAD_CELL_NORMAL 0 1 0 0 0
420 220 3 QCAD_CELL_NORMAL 0 1 0 0 0
420 240 3 QCAD_CELL_NORMAL 0 1 0 0 0
420 260 3 QCAD_CELL_NORMAL 0 1 0 0 0
440 260 3 QCAD_CELL_NORMAL 0 1 0 0 0
460 260 3 QCAD_CELL_NORMAL 0 1 0 0 0
480 260 3 QCAD_CELL_NORMAL 0 1 0 0 0
500 260 3 QCAD_CELL_NORMAL 0 1 0 0 0
520 260 3 QCAD_CELL_NORMAL 0 1 0 0 0
540 260 3 QCAD_CELL_NORMAL 0 1 0 0 0
#mux8to1 grami1,2
160 220 0 QCAD_CELL_NORMAL 0 1 0 0 0
340 220 1 QCAD_CELL_NORMAL 0 0 0 0 0
160 240 0 QCAD_CELL_NORMAL 0 1 0 0 0
340 240 2 QCAD_CELL_NORMAL 0 0 0 0 0
0 260 0 QCAD_CELL_FIXED -1 1 -1 -30 260
20 260 0 QCAD_CELL_NORMAL 0 1 0 0 0
40 260 0 QCAD_CELL_NORMAL 0 1 0 0 0
80 260 0 QCAD_CELL_NORMAL 0 0 0 0 0
100 260 0 QCAD_CELL_NORMAL 0 0 0 0 0
160 260 1 QCAD_CELL_NORMAL 0 1 0 0 0
200 260 1 QCAD_CELL_NORMAL 0 0 0 0 0
220 260 1 QCAD_CELL_NORMAL 0 0 0 0 0
280 260 2 QCAD_CELL_NORMAL 0 1 0 0 0
320 260 2 QCAD_CELL_NORMAL 0 0 0 0 0
340 260 2 QCAD_CELL_NORMAL 0 0 0 0 0
60 270 0 QCAD_CELL_NORMAL 0 0 0 0 0
180 270 1 QCAD_CELL_NORMAL 0 0 0 0 0
300 270 2 QCAD_CELL_NORMAL 0 0 0 0 0
40 280 0 QCAD_CELL_NORMAL 0 1 0 0 0
100 280 0 QCAD_CELL_NORMAL 0 0 0 0 0
160 280 1 QCAD_CELL_NORMAL 0 1 0 0 0
220 280 1 QCAD_CELL_NORMAL 0 0 0 0 0
280 280 2 QCAD_CELL_NORMAL 0 1 0 0 0
340 280 2 QCAD_CELL_NORMAL 0 0 0 0 0
40 300 0 QCAD_CELL_NORMAL 0 1 0 0 0
100 300 1 QCAD_CELL_NORMAL 0 0 0 0 0
160 300 1 QCAD_CELL_NORMAL 0 1 0 0 0
220 300 2 QCAD_CELL_NORMAL 0 0 0 0 0
280 300 2 QCAD_CELL_NORMAL 0 1 0 0 0
340 300 3 QCAD_CELL_NORMAL 0 0 0 0 0
0 320 0 QCAD_CELL_FIXED rule0 0 rule0 -30 320
20 320 0 QCAD_CELL_NORMAL 0 0 0 0 0
40 320 0 QCAD_CELL_NORMAL 0 1 0 0 0
60 320 0 QCAD_CELL_NORMAL 0 0 0 0 0
80 320 1 QCAD_CELL_NORMAL 0 0 0 0 0
100 320 1 QCAD_CELL_NORMAL 0 0 0 0 0
120 320 1 QCAD_CELL_NORMAL 0 0 0 0 0
140 320 1 QCAD_CELL_NORMAL 0 0 0 0 0
160 320 1 QCAD_CELL_NORMAL 0 1 0 0 0
180 320 1 QCAD_CELL_NORMAL 0 0 0 0 0
200 320 2 QCAD_CELL_NORMAL 0 0 0 0 0
220 320 2 QCAD_CELL_NORMAL 0 0 0 0 0
240 320 2 QCAD_CELL_NORMAL 0 0 0 0 0
260 320 2 QCAD_CELL_NORMAL 0 0 0 0 0
280 320 2 QCAD_CELL_NORMAL 0 1 0 0 0
300 320 2 QCAD_CELL_NORMAL 0 0 0 0 0
320 320 3 QCAD_CELL_NORMAL 0 0 0 0 0
340 320 3 QCAD_CELL_NORMAL 0 0 0 0 0
360 320 3 QCAD_CELL_NORMAL 0 0 0 0 0
380 320 0 QCAD_CELL_NORMAL 0 0 0 0 0
400 320 0 QCAD_CELL_NORMAL 0 0 0 0 0
40 340 0 QCAD_CELL_NORMAL 0 1 0 0 0
100 340 1 QCAD_CELL_NORMAL 0 0 0 0 0
160 340 1 QCAD_CELL_NORMAL 0 1 0 0 0
220 340 2 QCAD_CELL_NORMAL 0 0 0 0 0
280 340 2 QCAD_CELL_NORMAL 0 1 0 0 0
340 340 3 QCAD_CELL_NORMAL 0 0 0 0 0
400 340 0 QCAD_CELL_NORMAL 0 0 0 0 0
40 360 1 QCAD_CELL_NORMAL 0 1 0 0 0
100 360 0 QCAD_CELL_FIXED -1 0 -1 120 360
160 360 2 QCAD_CELL_NORMAL 0 1 0 0 0
220 360 0 QCAD_CELL_FIXED -1 0 -1 240 360
280 360 3 QCAD_CELL_NORMAL 0 1 0 0 0
340 360 0 QCAD_CELL_FIXED -1 0 -1 360 360

237
400 360 0 QCAD_CELL_NORMAL 0 0 0 0 0
40 380 1 QCAD_CELL_NORMAL 0 1 0 0 0
100 380 2 QCAD_CELL_NORMAL 0 0 0 0 0
160 380 2 QCAD_CELL_NORMAL 0 1 0 0 0
220 380 3 QCAD_CELL_NORMAL 0 0 0 0 0
280 380 3 QCAD_CELL_NORMAL 0 1 0 0 0
340 380 0 QCAD_CELL_NORMAL 0 0 0 0 0
400 380 1 QCAD_CELL_NORMAL 0 0 0 0 0
0 400 0 QCAD_CELL_FIXED rule1 0 rule1 -30 400
20 400 1 QCAD_CELL_NORMAL 0 0 0 0 0
40 400 1 QCAD_CELL_NORMAL 0 1 0 0 0
60 400 1 QCAD_CELL_NORMAL 0 0 0 0 0
80 400 2 QCAD_CELL_NORMAL 0 0 0 0 0
100 400 2 QCAD_CELL_NORMAL 0 0 0 0 0
120 400 2 QCAD_CELL_NORMAL 0 0 0 0 0
140 400 2 QCAD_CELL_NORMAL 0 0 0 0 0
160 400 2 QCAD_CELL_NORMAL 0 1 0 0 0
180 400 2 QCAD_CELL_NORMAL 0 0 0 0 0
200 400 3 QCAD_CELL_NORMAL 0 0 0 0 0
220 400 3 QCAD_CELL_NORMAL 0 0 0 0 0
240 400 3 QCAD_CELL_NORMAL 0 0 0 0 0
260 400 3 QCAD_CELL_NORMAL 0 0 0 0 0
280 400 3 QCAD_CELL_NORMAL 0 1 0 0 0
300 400 3 QCAD_CELL_NORMAL 0 0 0 0 0
320 400 0 QCAD_CELL_NORMAL 0 0 0 0 0
340 400 0 QCAD_CELL_NORMAL 0 0 0 0 0
360 400 0 QCAD_CELL_NORMAL 0 0 0 0 0
380 400 1 QCAD_CELL_NORMAL 0 0 0 0 0
400 400 1 QCAD_CELL_NORMAL 0 0 0 0 0
420 400 1 QCAD_CELL_NORMAL 0 0 0 0 0
440 400 0 QCAD_CELL_FIXED 1 0 1 465 400
40 420 1 QCAD_CELL_NORMAL 0 1 0 0 0
100 420 2 QCAD_CELL_NORMAL 0 0 0 0 0
160 420 2 QCAD_CELL_NORMAL 0 1 0 0 0
220 420 3 QCAD_CELL_NORMAL 0 0 0 0 0
280 420 3 QCAD_CELL_NORMAL 0 1 0 0 0
340 420 0 QCAD_CELL_NORMAL 0 0 0 0 0
400 420 1 QCAD_CELL_NORMAL 0 0 0 0 0
40 440 1 QCAD_CELL_NORMAL 0 1 0 0 0
100 440 1 QCAD_CELL_NORMAL 0 0 0 0 0
160 440 2 QCAD_CELL_NORMAL 0 1 0 0 0
220 440 2 QCAD_CELL_NORMAL 0 0 0 0 0
280 440 3 QCAD_CELL_NORMAL 0 1 0 0 0
340 440 3 QCAD_CELL_NORMAL 0 0 0 0 0
400 440 1 QCAD_CELL_NORMAL 0 0 0 0 0
300 450 3 QCAD_CELL_NORMAL 0 0 0 0 0
40 460 1 QCAD_CELL_NORMAL 0 1 0 0 0
80 460 1 QCAD_CELL_NORMAL 0 0 0 0 0
100 460 1 QCAD_CELL_NORMAL 0 0 0 0 0
160 460 2 QCAD_CELL_NORMAL 0 1 0 0 0
200 460 2 QCAD_CELL_NORMAL 0 0 0 0 0
220 460 2 QCAD_CELL_NORMAL 0 0 0 0 0
280 460 3 QCAD_CELL_NORMAL 0 1 0 0 0
320 460 3 QCAD_CELL_NORMAL 0 0 0 0 0
340 460 3 QCAD_CELL_NORMAL 0 0 0 0 0
400 460 1 QCAD_CELL_NORMAL 0 0 0 0 0
60 470 1 QCAD_CELL_NORMAL 0 0 0 0 0
180 470 2 QCAD_CELL_NORMAL 0 0 0 0 0
40 480 1 QCAD_CELL_NORMAL 0 1 0 0 0
160 480 2 QCAD_CELL_NORMAL 0 1 0 0 0
280 480 3 QCAD_CELL_NORMAL 0 1 0 0 0
400 480 1 QCAD_CELL_NORMAL 0 0 0 0 0
#mux8to1 grami3,4
40 500 2 QCAD_CELL_NORMAL 0 1 0 0 0
160 500 3 QCAD_CELL_NORMAL 0 1 0 0 0
280 500 0 QCAD_CELL_NORMAL 0 1 0 0 0
400 500 1 QCAD_CELL_NORMAL 0 0 0 0 0
40 520 2 QCAD_CELL_NORMAL 0 1 0 0 0
160 520 3 QCAD_CELL_NORMAL 0 1 0 0 0
280 520 0 QCAD_CELL_NORMAL 0 1 0 0 0
400 520 1 QCAD_CELL_NORMAL 0 0 0 0 0
180 530 3 QCAD_CELL_NORMAL 0 0 0 0 0
40 540 2 QCAD_CELL_NORMAL 0 1 0 0 0
80 540 2 QCAD_CELL_NORMAL 0 0 0 0 0
100 540 2 QCAD_CELL_NORMAL 0 0 0 0 0
160 540 3 QCAD_CELL_NORMAL 0 1 0 0 0
200 540 3 QCAD_CELL_NORMAL 0 0 0 0 0
220 540 3 QCAD_CELL_NORMAL 0 0 0 0 0
280 540 0 QCAD_CELL_NORMAL 0 1 0 0 0
320 540 0 QCAD_CELL_NORMAL 0 0 0 0 0
340 540 0 QCAD_CELL_NORMAL 0 0 0 0 0
400 540 1 QCAD_CELL_NORMAL 0 0 0 0 0
60 550 2 QCAD_CELL_NORMAL 0 0 0 0 0
300 550 0 QCAD_CELL_NORMAL 0 0 0 0 0
40 560 2 QCAD_CELL_NORMAL 0 1 0 0 0
100 560 2 QCAD_CELL_NORMAL 0 0 0 0 0
160 560 3 QCAD_CELL_NORMAL 0 1 0 0 0
220 560 3 QCAD_CELL_NORMAL 0 0 0 0 0
280 560 0 QCAD_CELL_NORMAL 0 1 0 0 0
340 560 0 QCAD_CELL_NORMAL 0 0 0 0 0
400 560 1 QCAD_CELL_NORMAL 0 0 0 0 0
40 580 2 QCAD_CELL_NORMAL 0 1 0 0 0
100 580 3 QCAD_CELL_NORMAL 0 0 0 0 0
160 580 3 QCAD_CELL_NORMAL 0 1 0 0 0
220 580 0 QCAD_CELL_NORMAL 0 0 0 0 0
280 580 0 QCAD_CELL_NORMAL 0 1 0 0 0
340 580 1 QCAD_CELL_NORMAL 0 0 0 0 0
400 580 2 QCAD_CELL_NORMAL 0 0 0 0 0
0 600 0 QCAD_CELL_FIXED rule2 0 rule2 -30 600
20 600 2 QCAD_CELL_NORMAL 0 0 0 0 0
40 600 2 QCAD_CELL_NORMAL 0 1 0 0 0
60 600 2 QCAD_CELL_NORMAL 0 0 0 0 0

238
80 600 3 QCAD_CELL_NORMAL 0 0 0 0 0
100 600 3 QCAD_CELL_NORMAL 0 0 0 0 0
120 600 3 QCAD_CELL_NORMAL 0 0 0 0 0
140 600 3 QCAD_CELL_NORMAL 0 0 0 0 0
160 600 3 QCAD_CELL_NORMAL 0 1 0 0 0
180 600 3 QCAD_CELL_NORMAL 0 0 0 0 0
200 600 0 QCAD_CELL_NORMAL 0 0 0 0 0
220 600 0 QCAD_CELL_NORMAL 0 0 0 0 0
240 600 0 QCAD_CELL_NORMAL 0 0 0 0 0
260 600 0 QCAD_CELL_NORMAL 0 0 0 0 0
280 600 0 QCAD_CELL_NORMAL 0 1 0 0 0
300 600 0 QCAD_CELL_NORMAL 0 0 0 0 0
320 600 1 QCAD_CELL_NORMAL 0 0 0 0 0
340 600 1 QCAD_CELL_NORMAL 0 0 0 0 0
360 600 1 QCAD_CELL_NORMAL 0 0 0 0 0
380 600 2 QCAD_CELL_NORMAL 0 0 0 0 0
400 600 2 QCAD_CELL_NORMAL 0 0 0 0 0
420 600 2 QCAD_CELL_NORMAL 0 0 0 0 0
440 600 0 QCAD_CELL_FIXED 1 0 1 465 600
40 620 2 QCAD_CELL_NORMAL 0 1 0 0 0
100 620 3 QCAD_CELL_NORMAL 0 0 0 0 0
160 620 3 QCAD_CELL_NORMAL 0 1 0 0 0
220 620 0 QCAD_CELL_NORMAL 0 0 0 0 0
280 620 0 QCAD_CELL_NORMAL 0 1 0 0 0
340 620 1 QCAD_CELL_NORMAL 0 0 0 0 0
400 620 2 QCAD_CELL_NORMAL 0 0 0 0 0
40 640 3 QCAD_CELL_NORMAL 0 1 0 0 0
100 640 0 QCAD_CELL_FIXED -1 0 -1 120 640
160 640 0 QCAD_CELL_NORMAL 0 1 0 0 0
220 640 0 QCAD_CELL_FIXED -1 0 -1 240 640
280 640 1 QCAD_CELL_NORMAL 0 1 0 0 0
340 640 0 QCAD_CELL_FIXED -1 0 -1 360 640
400 640 2 QCAD_CELL_NORMAL 0 0 0 0 0
40 660 3 QCAD_CELL_NORMAL 0 1 0 0 0
100 660 0 QCAD_CELL_NORMAL 0 0 0 0 0
160 660 0 QCAD_CELL_NORMAL 0 1 0 0 0
220 660 1 QCAD_CELL_NORMAL 0 0 0 0 0
280 660 1 QCAD_CELL_NORMAL 0 1 0 0 0
340 660 2 QCAD_CELL_NORMAL 0 0 0 0 0
400 660 3 QCAD_CELL_NORMAL 0 0 0 0 0
0 680 0 QCAD_CELL_FIXED rule3 0 rule3 -30 680
20 680 3 QCAD_CELL_NORMAL 0 0 0 0 0
40 680 3 QCAD_CELL_NORMAL 0 1 0 0 0
60 680 3 QCAD_CELL_NORMAL 0 0 0 0 0
80 680 0 QCAD_CELL_NORMAL 0 0 0 0 0
100 680 0 QCAD_CELL_NORMAL 0 0 0 0 0
120 680 0 QCAD_CELL_NORMAL 0 0 0 0 0
140 680 0 QCAD_CELL_NORMAL 0 0 0 0 0
160 680 0 QCAD_CELL_NORMAL 0 1 0 0 0
180 680 0 QCAD_CELL_NORMAL 0 0 0 0 0
200 680 1 QCAD_CELL_NORMAL 0 0 0 0 0
220 680 1 QCAD_CELL_NORMAL 0 0 0 0 0
240 680 1 QCAD_CELL_NORMAL 0 0 0 0 0
260 680 1 QCAD_CELL_NORMAL 0 0 0 0 0
280 680 1 QCAD_CELL_NORMAL 0 1 0 0 0
300 680 1 QCAD_CELL_NORMAL 0 0 0 0 0
320 680 2 QCAD_CELL_NORMAL 0 0 0 0 0
340 680 2 QCAD_CELL_NORMAL 0 0 0 0 0
360 680 2 QCAD_CELL_NORMAL 0 0 0 0 0
380 680 3 QCAD_CELL_NORMAL 0 0 0 0 0
400 680 3 QCAD_CELL_NORMAL 0 0 0 0 0
420 680 3 QCAD_CELL_NORMAL 0 0 0 0 0
440 680 0 QCAD_CELL_FIXED 1 0 1 465 680
40 700 3 QCAD_CELL_NORMAL 0 1 0 0 0
100 700 0 QCAD_CELL_NORMAL 0 0 0 0 0
160 700 0 QCAD_CELL_NORMAL 0 1 0 0 0
220 700 1 QCAD_CELL_NORMAL 0 0 0 0 0
280 700 1 QCAD_CELL_NORMAL 0 1 0 0 0
340 700 2 QCAD_CELL_NORMAL 0 0 0 0 0
400 700 3 QCAD_CELL_NORMAL 0 0 0 0 0
40 720 3 QCAD_CELL_NORMAL 0 1 0 0 0
100 720 3 QCAD_CELL_NORMAL 0 0 0 0 0
160 720 0 QCAD_CELL_NORMAL 0 1 0 0 0
220 720 0 QCAD_CELL_NORMAL 0 0 0 0 0
280 720 1 QCAD_CELL_NORMAL 0 1 0 0 0
340 720 1 QCAD_CELL_NORMAL 0 0 0 0 0
400 720 3 QCAD_CELL_NORMAL 0 0 0 0 0
180 730 0 QCAD_CELL_NORMAL 0 0 0 0 0
300 730 1 QCAD_CELL_NORMAL 0 0 0 0 0
40 740 3 QCAD_CELL_NORMAL 0 1 0 0 0
80 740 3 QCAD_CELL_NORMAL 0 0 0 0 0
100 740 3 QCAD_CELL_NORMAL 0 0 0 0 0
160 740 0 QCAD_CELL_NORMAL 0 1 0 0 0
200 740 0 QCAD_CELL_NORMAL 0 0 0 0 0
220 740 0 QCAD_CELL_NORMAL 0 0 0 0 0
280 740 1 QCAD_CELL_NORMAL 0 1 0 0 0
320 740 1 QCAD_CELL_NORMAL 0 0 0 0 0
340 740 1 QCAD_CELL_NORMAL 0 0 0 0 0
400 740 3 QCAD_CELL_NORMAL 0 0 0 0 0
60 750 3 QCAD_CELL_NORMAL 0 0 0 0 0
40 760 3 QCAD_CELL_NORMAL 0 1 0 0 0
160 760 0 QCAD_CELL_NORMAL 0 1 0 0 0
280 760 1 QCAD_CELL_NORMAL 0 1 0 0 0
400 760 3 QCAD_CELL_NORMAL 0 0 0 0 0
#mux8to1 grami5,6
40 780 0 QCAD_CELL_NORMAL 0 1 0 0 0
160 780 1 QCAD_CELL_NORMAL 0 1 0 0 0
280 780 2 QCAD_CELL_NORMAL 0 1 0 0 0
400 780 3 QCAD_CELL_NORMAL 0 0 0 0 0
40 800 0 QCAD_CELL_NORMAL 0 1 0 0 0
160 800 1 QCAD_CELL_NORMAL 0 1 0 0 0
280 800 2 QCAD_CELL_NORMAL 0 1 0 0 0

239
400 800 3 QCAD_CELL_NORMAL 0 0 0 0 0
60 810 0 QCAD_CELL_NORMAL 0 0 0 0 0
40 820 0 QCAD_CELL_NORMAL 0 1 0 0 0
80 820 0 QCAD_CELL_NORMAL 0 0 0 0 0
100 820 0 QCAD_CELL_NORMAL 0 0 0 0 0
160 820 1 QCAD_CELL_NORMAL 0 1 0 0 0
200 820 1 QCAD_CELL_NORMAL 0 0 0 0 0
220 820 1 QCAD_CELL_NORMAL 0 0 0 0 0
280 820 2 QCAD_CELL_NORMAL 0 1 0 0 0
320 820 2 QCAD_CELL_NORMAL 0 0 0 0 0
340 820 2 QCAD_CELL_NORMAL 0 0 0 0 0
400 820 3 QCAD_CELL_NORMAL 0 0 0 0 0
180 830 1 QCAD_CELL_NORMAL 0 0 0 0 0
300 830 2 QCAD_CELL_NORMAL 0 0 0 0 0
40 840 0 QCAD_CELL_NORMAL 0 1 0 0 0
100 840 0 QCAD_CELL_NORMAL 0 0 0 0 0
160 840 1 QCAD_CELL_NORMAL 0 1 0 0 0
220 840 1 QCAD_CELL_NORMAL 0 0 0 0 0
280 840 2 QCAD_CELL_NORMAL 0 1 0 0 0
340 840 2 QCAD_CELL_NORMAL 0 0 0 0 0
400 840 3 QCAD_CELL_NORMAL 0 0 0 0 0
40 860 0 QCAD_CELL_NORMAL 0 1 0 0 0
100 860 1 QCAD_CELL_NORMAL 0 0 0 0 0
160 860 1 QCAD_CELL_NORMAL 0 1 0 0 0
220 860 2 QCAD_CELL_NORMAL 0 0 0 0 0
280 860 2 QCAD_CELL_NORMAL 0 1 0 0 0
340 860 3 QCAD_CELL_NORMAL 0 0 0 0 0
400 860 0 QCAD_CELL_NORMAL 0 0 0 0 0
0 880 0 QCAD_CELL_FIXED rule4 0 rule4 -30 880
20 880 0 QCAD_CELL_NORMAL 0 0 0 0 0
40 880 0 QCAD_CELL_NORMAL 0 1 0 0 0
60 880 0 QCAD_CELL_NORMAL 0 0 0 0 0
80 880 1 QCAD_CELL_NORMAL 0 0 0 0 0
100 880 1 QCAD_CELL_NORMAL 0 0 0 0 0
120 880 1 QCAD_CELL_NORMAL 0 0 0 0 0
140 880 1 QCAD_CELL_NORMAL 0 0 0 0 0
160 880 1 QCAD_CELL_NORMAL 0 1 0 0 0
180 880 1 QCAD_CELL_NORMAL 0 0 0 0 0
200 880 2 QCAD_CELL_NORMAL 0 0 0 0 0
220 880 2 QCAD_CELL_NORMAL 0 0 0 0 0
240 880 2 QCAD_CELL_NORMAL 0 0 0 0 0
260 880 2 QCAD_CELL_NORMAL 0 0 0 0 0
280 880 2 QCAD_CELL_NORMAL 0 1 0 0 0
300 880 2 QCAD_CELL_NORMAL 0 0 0 0 0
320 880 3 QCAD_CELL_NORMAL 0 0 0 0 0
340 880 3 QCAD_CELL_NORMAL 0 0 0 0 0
360 880 3 QCAD_CELL_NORMAL 0 0 0 0 0
380 880 0 QCAD_CELL_NORMAL 0 0 0 0 0
400 880 0 QCAD_CELL_NORMAL 0 0 0 0 0
420 880 0 QCAD_CELL_NORMAL 0 0 0 0 0
440 880 0 QCAD_CELL_FIXED 1 0 1 465 880
40 900 0 QCAD_CELL_NORMAL 0 1 0 0 0
100 900 1 QCAD_CELL_NORMAL 0 0 0 0 0
160 900 1 QCAD_CELL_NORMAL 0 1 0 0 0
220 900 2 QCAD_CELL_NORMAL 0 0 0 0 0
280 900 2 QCAD_CELL_NORMAL 0 1 0 0 0
340 900 3 QCAD_CELL_NORMAL 0 0 0 0 0
400 900 0 QCAD_CELL_NORMAL 0 0 0 0 0
40 920 1 QCAD_CELL_NORMAL 0 1 0 0 0
100 920 0 QCAD_CELL_FIXED -1 0 -1 120 920
160 920 2 QCAD_CELL_NORMAL 0 0 0 0 0
220 920 0 QCAD_CELL_FIXED -1 0 -1 240 920
280 920 3 QCAD_CELL_NORMAL 0 1 0 0 0
340 920 0 QCAD_CELL_FIXED -1 0 -1 360 920
400 920 0 QCAD_CELL_NORMAL 0 0 0 0 0
40 940 1 QCAD_CELL_NORMAL 0 1 0 0 0
100 940 2 QCAD_CELL_NORMAL 0 0 0 0 0
160 940 2 QCAD_CELL_NORMAL 0 1 0 0 0
220 940 3 QCAD_CELL_NORMAL 0 0 0 0 0
280 940 3 QCAD_CELL_NORMAL 0 1 0 0 0
340 940 0 QCAD_CELL_NORMAL 0 0 0 0 0
400 940 1 QCAD_CELL_NORMAL 0 0 0 0 0
0 960 0 QCAD_CELL_FIXED rule5 0 rule5 -30 960
20 960 1 QCAD_CELL_NORMAL 0 0 0 0 0
40 960 1 QCAD_CELL_NORMAL 0 1 0 0 0
60 960 1 QCAD_CELL_NORMAL 0 0 0 0 0
80 960 2 QCAD_CELL_NORMAL 0 0 0 0 0
100 960 2 QCAD_CELL_NORMAL 0 0 0 0 0
120 960 2 QCAD_CELL_NORMAL 0 0 0 0 0
140 960 2 QCAD_CELL_NORMAL 0 0 0 0 0
160 960 2 QCAD_CELL_NORMAL 0 1 0 0 0
180 960 2 QCAD_CELL_NORMAL 0 0 0 0 0
200 960 3 QCAD_CELL_NORMAL 0 0 0 0 0
220 960 3 QCAD_CELL_NORMAL 0 0 0 0 0
240 960 3 QCAD_CELL_NORMAL 0 0 0 0 0
260 960 3 QCAD_CELL_NORMAL 0 0 0 0 0
280 960 3 QCAD_CELL_NORMAL 0 1 0 0 0
300 960 3 QCAD_CELL_NORMAL 0 0 0 0 0
320 960 0 QCAD_CELL_NORMAL 0 0 0 0 0
340 960 0 QCAD_CELL_NORMAL 0 0 0 0 0
360 960 0 QCAD_CELL_NORMAL 0 0 0 0 0
380 960 1 QCAD_CELL_NORMAL 0 0 0 0 0
400 960 1 QCAD_CELL_NORMAL 0 0 0 0 0
420 960 1 QCAD_CELL_NORMAL 0 0 0 0 0
440 960 0 QCAD_CELL_FIXED 1 0 1 465 960
40 980 1 QCAD_CELL_NORMAL 0 1 0 0 0
100 980 2 QCAD_CELL_NORMAL 0 0 0 0 0
160 980 2 QCAD_CELL_NORMAL 0 1 0 0 0
220 980 3 QCAD_CELL_NORMAL 0 0 0 0 0
280 980 3 QCAD_CELL_NORMAL 0 1 0 0 0
340 980 0 QCAD_CELL_NORMAL 0 0 0 0 0
400 980 1 QCAD_CELL_NORMAL 0 0 0 0 0

240
40 1000 1 QCAD_CELL_NORMAL 0 1 0 0 0
100 1000 1 QCAD_CELL_NORMAL 0 0 0 0 0
160 1000 2 QCAD_CELL_NORMAL 0 1 0 0 0
220 1000 2 QCAD_CELL_NORMAL 0 0 0 0 0
280 1000 3 QCAD_CELL_NORMAL 0 1 0 0 0
340 1000 3 QCAD_CELL_NORMAL 0 0 0 0 0
400 1000 1 QCAD_CELL_NORMAL 0 0 0 0 0
60 1010 1 QCAD_CELL_NORMAL 0 0 0 0 0
300 1010 3 QCAD_CELL_NORMAL 0 0 0 0 0
40 1020 1 QCAD_CELL_NORMAL 0 1 0 0 0
80 1020 1 QCAD_CELL_NORMAL 0 0 0 0 0
100 1020 1 QCAD_CELL_NORMAL 0 0 0 0 0
160 1020 2 QCAD_CELL_NORMAL 0 1 0 0 0
200 1020 2 QCAD_CELL_NORMAL 0 0 0 0 0
220 1020 2 QCAD_CELL_NORMAL 0 0 0 0 0
280 1020 3 QCAD_CELL_NORMAL 0 1 0 0 0
320 1020 3 QCAD_CELL_NORMAL 0 0 0 0 0
340 1020 3 QCAD_CELL_NORMAL 0 0 0 0 0
400 1020 1 QCAD_CELL_NORMAL 0 0 0 0 0
180 1030 2 QCAD_CELL_NORMAL 0 0 0 0 0
40 1040 1 QCAD_CELL_NORMAL 0 1 0 0 0
160 1040 2 QCAD_CELL_NORMAL 0 1 0 0 0
280 1040 3 QCAD_CELL_NORMAL 0 1 0 0 0
400 1040 1 QCAD_CELL_NORMAL 0 0 0 0 0
#mux8to1 grami7,8
40 1060 2 QCAD_CELL_NORMAL 0 1 0 0 0
160 1060 3 QCAD_CELL_NORMAL 0 1 0 0 0
280 1060 0 QCAD_CELL_NORMAL 0 1 0 0 0
400 1060 1 QCAD_CELL_NORMAL 0 0 0 0 0
40 1080 2 QCAD_CELL_NORMAL 0 1 0 0 0
160 1080 3 QCAD_CELL_NORMAL 0 1 0 0 0
280 1080 0 QCAD_CELL_NORMAL 0 1 0 0 0
400 1080 1 QCAD_CELL_NORMAL 0 0 0 0 0
60 1090 2 QCAD_CELL_NORMAL 0 0 0 0 0
180 1090 3 QCAD_CELL_NORMAL 0 0 0 0 0
40 1100 2 QCAD_CELL_NORMAL 0 1 0 0 0
80 1100 2 QCAD_CELL_NORMAL 0 0 0 0 0
100 1100 2 QCAD_CELL_NORMAL 0 0 0 0 0
160 1100 3 QCAD_CELL_NORMAL 0 1 0 0 0
200 1100 3 QCAD_CELL_NORMAL 0 0 0 0 0
220 1100 3 QCAD_CELL_NORMAL 0 0 0 0 0
280 1100 0 QCAD_CELL_NORMAL 0 1 0 0 0
320 1100 0 QCAD_CELL_NORMAL 0 0 0 0 0
340 1100 0 QCAD_CELL_NORMAL 0 0 0 0 0
400 1100 1 QCAD_CELL_NORMAL 0 0 0 0 0
300 1110 0 QCAD_CELL_NORMAL 0 0 0 0 0
40 1120 2 QCAD_CELL_NORMAL 0 1 0 0 0
100 1120 2 QCAD_CELL_NORMAL 0 0 0 0 0
160 1120 3 QCAD_CELL_NORMAL 0 1 0 0 0
220 1120 3 QCAD_CELL_NORMAL 0 0 0 0 0
280 1120 0 QCAD_CELL_NORMAL 0 1 0 0 0
340 1120 0 QCAD_CELL_NORMAL 0 0 0 0 0
400 1120 1 QCAD_CELL_NORMAL 0 0 0 0 0
40 1140 2 QCAD_CELL_NORMAL 0 1 0 0 0
100 1140 3 QCAD_CELL_NORMAL 0 0 0 0 0
160 1140 3 QCAD_CELL_NORMAL 0 1 0 0 0
220 1140 0 QCAD_CELL_NORMAL 0 0 0 0 0
280 1140 0 QCAD_CELL_NORMAL 0 1 0 0 0
340 1140 1 QCAD_CELL_NORMAL 0 0 0 0 0
400 1140 2 QCAD_CELL_NORMAL 0 0 0 0 0
0 1160 0 QCAD_CELL_FIXED rule6 0 rule6 -30 1160
20 1160 2 QCAD_CELL_NORMAL 0 0 0 0 0
40 1160 2 QCAD_CELL_NORMAL 0 1 0 0 0
60 1160 2 QCAD_CELL_NORMAL 0 0 0 0 0
80 1160 3 QCAD_CELL_NORMAL 0 0 0 0 0
100 1160 3 QCAD_CELL_NORMAL 0 0 0 0 0
120 1160 3 QCAD_CELL_NORMAL 0 0 0 0 0
140 1160 3 QCAD_CELL_NORMAL 0 0 0 0 0
160 1160 3 QCAD_CELL_NORMAL 0 1 0 0 0
180 1160 3 QCAD_CELL_NORMAL 0 0 0 0 0
200 1160 0 QCAD_CELL_NORMAL 0 0 0 0 0
220 1160 0 QCAD_CELL_NORMAL 0 0 0 0 0
240 1160 0 QCAD_CELL_NORMAL 0 0 0 0 0
260 1160 0 QCAD_CELL_NORMAL 0 0 0 0 0
280 1160 0 QCAD_CELL_NORMAL 0 1 0 0 0
300 1160 0 QCAD_CELL_NORMAL 0 0 0 0 0
320 1160 1 QCAD_CELL_NORMAL 0 0 0 0 0
340 1160 1 QCAD_CELL_NORMAL 0 0 0 0 0
360 1160 1 QCAD_CELL_NORMAL 0 0 0 0 0
380 1160 2 QCAD_CELL_NORMAL 0 0 0 0 0
400 1160 2 QCAD_CELL_NORMAL 0 0 0 0 0
420 1160 2 QCAD_CELL_NORMAL 0 0 0 0 0
440 1160 0 QCAD_CELL_FIXED 1 0 1 465 1160
40 1180 2 QCAD_CELL_NORMAL 0 1 0 0 0
100 1180 3 QCAD_CELL_NORMAL 0 0 0 0 0
160 1180 3 QCAD_CELL_NORMAL 0 1 0 0 0
220 1180 0 QCAD_CELL_NORMAL 0 0 0 0 0
280 1180 0 QCAD_CELL_NORMAL 0 1 0 0 0
340 1180 1 QCAD_CELL_NORMAL 0 0 0 0 0
400 1180 2 QCAD_CELL_NORMAL 0 0 0 0 0
40 1200 3 QCAD_CELL_NORMAL 0 1 0 0 0
100 1200 0 QCAD_CELL_FIXED -1 0 -1 120 1200
160 1200 0 QCAD_CELL_NORMAL 0 1 0 0 0
220 1200 0 QCAD_CELL_FIXED -1 0 -1 240 1200
280 1200 1 QCAD_CELL_NORMAL 0 1 0 0 0
340 1200 0 QCAD_CELL_FIXED -1 0 -1 360 1200
400 1200 2 QCAD_CELL_NORMAL 0 0 0 0 0
40 1220 3 QCAD_CELL_NORMAL 0 1 0 0 0
100 1220 0 QCAD_CELL_NORMAL 0 0 0 0 0
160 1220 0 QCAD_CELL_NORMAL 0 1 0 0 0
220 1220 1 QCAD_CELL_NORMAL 0 0 0 0 0
280 1220 1 QCAD_CELL_NORMAL 0 1 0 0 0

241
340 1220 2 QCAD_CELL_NORMAL 0 0 0 0 0
400 1220 3 QCAD_CELL_NORMAL 0 0 0 0 0
0 1240 0 QCAD_CELL_FIXED rule7 0 rule7 -30 1240
20 1240 3 QCAD_CELL_NORMAL 0 0 0 0 0
40 1240 3 QCAD_CELL_NORMAL 0 1 0 0 0
60 1240 3 QCAD_CELL_NORMAL 0 0 0 0 0
80 1240 0 QCAD_CELL_NORMAL 0 0 0 0 0
100 1240 0 QCAD_CELL_NORMAL 0 0 0 0 0
120 1240 0 QCAD_CELL_NORMAL 0 0 0 0 0
140 1240 0 QCAD_CELL_NORMAL 0 0 0 0 0
160 1240 0 QCAD_CELL_NORMAL 0 1 0 0 0
180 1240 0 QCAD_CELL_NORMAL 0 0 0 0 0
200 1240 1 QCAD_CELL_NORMAL 0 0 0 0 0
220 1240 1 QCAD_CELL_NORMAL 0 0 0 0 0
240 1240 1 QCAD_CELL_NORMAL 0 0 0 0 0
260 1240 1 QCAD_CELL_NORMAL 0 0 0 0 0
280 1240 1 QCAD_CELL_NORMAL 0 1 0 0 0
300 1240 1 QCAD_CELL_NORMAL 0 0 0 0 0
320 1240 2 QCAD_CELL_NORMAL 0 0 0 0 0
340 1240 2 QCAD_CELL_NORMAL 0 0 0 0 0
360 1240 2 QCAD_CELL_NORMAL 0 0 0 0 0
380 1240 3 QCAD_CELL_NORMAL 0 0 0 0 0
400 1240 3 QCAD_CELL_NORMAL 0 0 0 0 0
420 1240 3 QCAD_CELL_NORMAL 0 0 0 0 0
440 1240 3 QCAD_CELL_NORMAL 0 0 0 0 0
40 1260 3 QCAD_CELL_NORMAL 0 1 0 0 0
100 1260 0 QCAD_CELL_NORMAL 0 0 0 0 0
160 1260 0 QCAD_CELL_NORMAL 0 1 0 0 0
220 1260 1 QCAD_CELL_NORMAL 0 0 0 0 0
280 1260 1 QCAD_CELL_NORMAL 0 1 0 0 0
340 1260 2 QCAD_CELL_NORMAL 0 0 0 0 0
400 1260 3 QCAD_CELL_NORMAL 0 0 0 0 0
40 1280 3 QCAD_CELL_NORMAL 0 1 0 0 0
100 1280 3 QCAD_CELL_NORMAL 0 0 0 0 0
160 1280 0 QCAD_CELL_NORMAL 0 1 0 0 0
220 1280 0 QCAD_CELL_NORMAL 0 0 0 0 0
280 1280 1 QCAD_CELL_NORMAL 0 1 0 0 0
340 1280 1 QCAD_CELL_NORMAL 0 0 0 0 0
400 1280 0 QCAD_CELL_FIXED 1 0 1 405 1300
60 1290 3 QCAD_CELL_NORMAL 0 0 0 0 0
180 1290 0 QCAD_CELL_NORMAL 0 0 0 0 0
300 1290 1 QCAD_CELL_NORMAL 0 0 0 0 0
40 1300 3 QCAD_CELL_NORMAL 0 1 0 0 0
80 1300 3 QCAD_CELL_NORMAL 0 0 0 0 0
100 1300 3 QCAD_CELL_NORMAL 0 0 0 0 0
160 1300 0 QCAD_CELL_NORMAL 0 1 0 0 0
200 1300 0 QCAD_CELL_NORMAL 0 0 0 0 0
220 1300 0 QCAD_CELL_NORMAL 0 0 0 0 0
280 1300 1 QCAD_CELL_NORMAL 0 1 0 0 0
320 1300 1 QCAD_CELL_NORMAL 0 0 0 0 0
340 1300 1 QCAD_CELL_NORMAL 0 0 0 0 0
#grammi anadrasis
460 1240 3 QCAD_CELL_NORMAL 0 0 0 0 0
480 1240 3 QCAD_CELL_NORMAL 0 0 0 0 0
500 1240 3 QCAD_CELL_NORMAL 0 0 0 0 0
500 1220 3 QCAD_CELL_NORMAL 0 0 0 0 0
500 1200 3 QCAD_CELL_NORMAL 0 0 0 0 0
500 1180 3 QCAD_CELL_NORMAL 0 0 0 0 0
500 1160 0 QCAD_CELL_NORMAL 0 0 0 0 0
500 1140 0 QCAD_CELL_NORMAL 0 0 0 0 0
500 1120 0 QCAD_CELL_NORMAL 0 0 0 0 0
500 1100 0 QCAD_CELL_NORMAL 0 0 0 0 0
500 1080 0 QCAD_CELL_NORMAL 0 0 0 0 0
500 1060 0 QCAD_CELL_NORMAL 0 0 0 0 0
500 1040 0 QCAD_CELL_NORMAL 0 0 0 0 0
500 1020 0 QCAD_CELL_NORMAL 0 0 0 0 0
500 1000 0 QCAD_CELL_NORMAL 0 0 0 0 0
500 980 0 QCAD_CELL_NORMAL 0 0 0 0 0
500 960 1 QCAD_CELL_NORMAL 0 0 0 0 0
500 940 1 QCAD_CELL_NORMAL 0 0 0 0 0
500 920 1 QCAD_CELL_NORMAL 0 0 0 0 0
500 900 1 QCAD_CELL_NORMAL 0 0 0 0 0
500 880 1 QCAD_CELL_NORMAL 0 0 0 0 0
500 860 1 QCAD_CELL_NORMAL 0 0 0 0 0
500 840 1 QCAD_CELL_NORMAL 0 0 0 0 0
500 820 1 QCAD_CELL_NORMAL 0 0 0 0 0
500 800 1 QCAD_CELL_NORMAL 0 0 0 0 0
500 780 1 QCAD_CELL_NORMAL 0 0 0 0 0
500 760 2 QCAD_CELL_NORMAL 0 0 0 0 0
500 740 2 QCAD_CELL_NORMAL 0 0 0 0 0
500 720 2 QCAD_CELL_NORMAL 0 0 0 0 0
500 700 2 QCAD_CELL_NORMAL 0 0 0 0 0
500 680 2 QCAD_CELL_NORMAL 0 0 0 0 0
500 660 2 QCAD_CELL_NORMAL 0 0 0 0 0
500 640 2 QCAD_CELL_NORMAL 0 0 0 0 0
500 620 2 QCAD_CELL_NORMAL 0 0 0 0 0
500 600 2 QCAD_CELL_NORMAL 0 0 0 0 0
500 580 2 QCAD_CELL_NORMAL 0 0 0 0 0
500 560 3 QCAD_CELL_NORMAL 0 0 0 0 0
500 540 3 QCAD_CELL_NORMAL 0 0 0 0 0
500 520 3 QCAD_CELL_NORMAL 0 0 0 0 0
500 500 3 QCAD_CELL_NORMAL 0 0 0 0 0
500 480 3 QCAD_CELL_NORMAL 0 0 0 0 0
500 460 3 QCAD_CELL_NORMAL 0 0 0 0 0
500 440 3 QCAD_CELL_NORMAL 0 0 0 0 0
500 420 3 QCAD_CELL_NORMAL 0 0 0 0 0
500 400 3 QCAD_CELL_NORMAL 0 0 0 0 0
500 380 3 QCAD_CELL_NORMAL 0 0 0 0 0
500 360 0 QCAD_CELL_NORMAL 0 0 0 0 0
500 340 0 QCAD_CELL_NORMAL 0 0 0 0 0
500 320 0 QCAD_CELL_NORMAL 0 0 0 0 0
500 300 0 QCAD_CELL_NORMAL 0 0 0 0 0

242
500 280 0 QCAD_CELL_NORMAL 0 0 0 0 0
500 240 0 QCAD_CELL_NORMAL 0 0 0 0 0
500 220 1 QCAD_CELL_NORMAL 0 0 0 0 0
500 200 1 QCAD_CELL_NORMAL 0 0 0 0 0
500 180 1 QCAD_CELL_NORMAL 0 0 0 0 0
500 160 1 QCAD_CELL_NORMAL 0 0 0 0 0
500 120 1 QCAD_CELL_NORMAL 0 0 0 0 0
500 100 2 QCAD_CELL_NORMAL 0 0 0 0 0
500 80 2 QCAD_CELL_NORMAL 0 0 0 0 0
500 60 2 QCAD_CELL_NORMAL 0 0 0 0 0
480 60 2 QCAD_CELL_NORMAL 0 0 0 0 0
460 60 2 QCAD_CELL_NORMAL 0 0 0 0 0
440 60 2 QCAD_CELL_NORMAL 0 0 0 0 0
420 60 3 QCAD_CELL_NORMAL 0 0 0 0 0
400 60 3 QCAD_CELL_NORMAL 0 0 0 0 0
380 50 3 QCAD_CELL_NORMAL 0 0 0 0 0
360 60 3 QCAD_CELL_NORMAL 0 1 0 0 0
360 40 3 QCAD_CELL_NORMAL 0 1 0 0 0
360 80 3 QCAD_CELL_NORMAL 0 1 0 0 0
340 80 3 QCAD_CELL_NORMAL 0 1 0 0 0
320 80 3 QCAD_CELL_NORMAL 0 1 0 0 0
300 80 0 QCAD_CELL_NORMAL 0 1 0 0 0
280 80 0 QCAD_CELL_NORMAL 0 1 0 0 0
260 80 0 QCAD_CELL_NORMAL 0 1 0 0 0
240 80 0 QCAD_CELL_NORMAL 0 1 0 0 0
#input from east
540 140 0 QCAD_CELL_NORMAL 0 1 0 0 0
520 140 0 QCAD_CELL_NORMAL 0 1 0 0 0
500 140 0 QCAD_CELL_NORMAL 0 1 0 0 0
480 140 0 QCAD_CELL_NORMAL 0 1 0 0 0
460 140 0 QCAD_CELL_NORMAL 0 1 0 0 0
440 140 0 QCAD_CELL_NORMAL 0 1 0 0 0
440 120 0 QCAD_CELL_NORMAL 0 1 0 0 0
420 130 0 QCAD_CELL_NORMAL 0 0 0 0 0
400 140 0 QCAD_CELL_NORMAL 0 0 0 0 0
380 140 0 QCAD_CELL_NORMAL 0 0 0 0 0
360 140 1 QCAD_CELL_NORMAL 0 0 0 0 0
340 140 1 QCAD_CELL_NORMAL 0 0 0 0 0
340 160 1 QCAD_CELL_NORMAL 0 0 0 0 0
340 180 1 QCAD_CELL_NORMAL 0 0 0 0 0

243

You might also like