You are on page 1of 112

Bi ging K thut xung s GV: Phm Th Lnh

Chng 1: C S K THUT S
GII THIU
Cc i lng vt l c theo di, o lng, ghi li, tnh ton cn c biu
din bng gi tr thc ca chng mt cch chnh xc thun li cho vic x l kt
qu. C hai cch biu din i lng ny:
- Biu din dng tng t: khi hm biu din v i lng bin thin theo thi
gian cng mt cch thc ta c tn hiu tng t hay tn hiu analog m t biu din i
lng cn x l, v d nh hiu in th u ra ca mt micro c th bin thin lin
tc trong khong gi tr t 0 ti khong 100mV, biu din ting ni ca ngi ang s
dng micro, hoc kim ng h o tc bin thin lin tc khi mt chic t ang
chy biu din tc ca t trong khong t 0 n 100km/h
- Biu din i lng dng s khi hm biu din s bin thin khng lin tc
theo thi gian v ngi ta dng cc k t bng s m t biu din n, ta nhn c tn
hiu s hay tn hiu Digital vi c trng l s bin thin theo tng bc ri rc.
Tng ng vi iu trn, mt mch in t, mt thit b hay h thng in t
lm nhim v x l cc tn hiu thuc loi no s mang tn tng ng ca loi : l
h thng tng t v h thng s. Nhn chung th gii hin thc xung quanh l th
gii tng t, tc l cc i lng xung quanh ta c bn cht l tng t tc ng n
u vo v yu cu xut hin u ra mt h thng gia cng x l tin tc. K thut x
l s tn hiu dng cc h thng s nh vy c vai tr trung gian trong ba bc:
Bin i i lng u vo t nhin dng tng t thnh tn hiu
s.
X l thng tin tn hiu s va nhn c.
Bin i cng ra tn hiu dng s v dng tng t.
Nguyn nhn ca vic lm 3 bc trung gian x l tn hiu s xut pht t:
- Thi quen t bn cht ca con ngi s ha cc i lng cn quan tm
x l, v d nh khi ta ni nhit phng l 25 0C thc ra ch l con s gn ng
c lm trn ca gi tr thc ang c.
- K thut x l s th hin nhiu u im vt tri so vi cc phng php
x l truyn thng trc y: d dng hn trong thit k, thun li trong lu gi thng
tin theo thi gian, tnh chnh xc v tin cy t c cao, c th lp trnh x l t
ng, t chu nh hng ca tc ng l (nhiu)
Qu trnh bin i mt tn hiu dng tng t sang dng tn hiu s cn 3 bc
c bn sau y:
- Thc hin vic ri rc ha tn hiu tng t bng cch ly mu cc gi tr ca
n nhng thi im xc nh. Bc ny cn ch lm gim ti mc ti thiu vic
mt mt thng tin, mun vy th chu k (nhp) ly mu phi mau hn hai ln chu k
mau nht ca tn hiu (fmu 2fmax).
- Thc hin vic lm trn (lng t ha) cc gi tr mu ly. Mun vy cn
chn ra mt n v ri rc nh nht v ln c gi l 1 bc (mt gi tr) lng t

Trang 1
Bi ging K thut xung s GV: Phm Th Lnh

cng n v o vi cc gi tr ri rc trn v nh gi chng bng bao nhiu ln


phn nguyn gi tr lng t.
- Thc hin vic biu din cc gi tr va lm trn thnh cc k s trong h
thng s m c la chn, v d trong h thp phn hay trong h m nh phn cng
vic ny gi l m ha cc gi tr lm trn chn.
thc hin m ha phi s dng mt h thng s m no . Tnh cht quan
trng nht ca mt h thng s m l s dng mt dy cc k t th hin mt con
s trong h. Gi tr con s th hin qua gi tr v v tr ca ca mi k s, v tr ny c
trng lng (trng s) tng dn khi dch v tr t phi qua tri. Trong k thut s c 4
h thng s m c s dng: H thp phn, h nh phn, h tm, h mi su. Trong
ni dung chng trnh y ch gii thiu h m nh phn v h thp phn hc.

Bi 1: H THNG NH PHN
1.1.Khi nim:
a.nh ngha: H m nh phn cn gi l h m c s 2 l h m m trong
ngi ta ch s dng hai ch s 0 v 1 biu din tt c cc s.
Hai s 0,1 c gi l bit hoc digit c trng cho hai trng thi n nh ca
Flip-Flop v cc mch in t.
Mt s nh phn n cp (gi l n bit nh phn) h 10 c dng:
A(10)= an-1.2n-1 + an-2.2n-2 + + a1.21 + a0.20 (1.1)
Trong : an-1 gi l bit c ngha ln nht tc l c trng s ln nht.
a0 gi l bit c ngha nh nht tc l c trng s nh nht.
Cc k t ak ch nhn mt trong hai gi tr 0 hoc 1.
V d: cho s nh phn 10111001 (2) c n = 8 ( 8 bit hay gi l 1 byte) h m
10 n biu din s:
1.27 + 0.26 + 1.25 + 1.24 + 1.23 + 0.22 + 0.21 + 1.20
= 128 + 0 + 32 + 16 + 8 + 0 + 0 + 1 = 185(10)
Xt mt s nh phn 4 bit: a3a2a1a0. Biu din di dng a thc theo c s ca n
l:
a3a2a1a0 = a3.23 + a2.22 + a1.21 + a0.20 = 8a3 + 4a2 + 2a1 + 1a0.
Trong :
23, 22, 21, 20 (8,4,2,1) l cc trng s ca s nh phn.
a3 bit c trng s ln nht (MSB: most significant bit)
a0 bit c trng s nh nht (LSB: least significant bit)
- Mt nhm 4 bit : nibble
- Mt nhm 8 bit : byte
- Mt nhm nhiu byte : word (t)
Nh vy nu s dng nhm 4 bit ta biu din c 24 = 16 s.

Trang 2
Bi ging K thut xung s GV: Phm Th Lnh

Bng cc s nh phn 4bit:

S nh phn
STT S thp phn
a3a2a1a0
1 0000 0
2 0001 1
3 0010 2
4 0011 3
5 0100 4
6 0101 5
7 0110 6
8 0111 7
9 1000 8
10 1001 9
11 1010 10
12 1011 11
13 1100 12
14 1101 13
15 1110 14
16 1111 15
Mt s nh phn c th gm 2 phn: bn tri du phy l phn nguyn s dng
h thc (1.1) xc nh biu din trong h mi. Nu cc k s 0,1 nm bn phi,
sau du phy chng s biu din phn l, c biu din trong h mi tng ng
nh sau:
V d:
0,1010(2) = 1.2-1 + 0.2-2 + 1.2-3 + 0.2-4
= 12 + 0 + 1/8
= 0,625(2)
Nh vy s nh phn:10111001,1010(2) = 185,625(10)
b. biu din mt s dng hay m trong h nh phn c th s dng cch
b sung vo s mt k s (c gi l bit th hin du) u pha trc s cho
theo qui nh:
K s 1 biu din s nh phn sau n l s m.
K s 0 biu din s nh phn sau n l s dng.
Ta gi y l cch biu din du v tr s tht phn bit vi cch biu hin du
khc.
V d: -242(10) = 1 11110010(2) ; +150(10)= 0 10010110.

Trang 3
Bi ging K thut xung s GV: Phm Th Lnh

Biu din theo s b 1: tng t nh trn diu bin mt s nh phn n bit


theo nguyn tc:
- MSB l bit du vi: k s 1 biu din s m v k s 0 biu bin s m.
- Cc bit cn li biu din gi tr thc ca s dng hoc biu th gi tr ca
b ca mt s m.
S b 1 c dy gi tr -(2n-1-1)( 2n-1-1)
V d: s 13(10) = 0 1101(2)
S -13(10) = 1 0010(2)
s 31(10) = 0 11111(2)
S -31(10) = 1 00000(2)
Biu din theo s b 2:
Dng s b 2 biu din s m ta s bt u bng vic thit lp s b 1 (o)
ca s cho sau cng thm 1 vo s va to thnh s nhn c s nh phn b 2
ca s nh phn ban u.
V d: 4510 = 1011012 010010 s b 1 sau cng 1 vo s b 1:
010010 + 1 = 010011 y l dng s b 2 ca s 101101 cho. Khi
s c du c qui nh nh sau:
0 1011012 = + 4510
1 0100112 = - 4510
c. Cc php ton trong h nh phn: Khi tin hnh thc hin php ton trn h
nh phn ta thc hin theo ct trnh nhm ln v d thc hin hn.
Php cng nh phn:
Qui tc cng:
0+0 =0
0+1 =1+0=1
1+1 = 1 0 = 0 + nh 1 vo ct tip bn tri.
1 + 1 + 1 = 1 1 = 1 + nh 1vo ct tip bn tri.
V d: cc php cng nh phn:
0 1 1 (3) 1 0 1 1 0 1 (45) 1 1, 0 1 1 (3,375)
+ 1 1 0 (6) + 1 1 1 1 (15) + 1 0, 1 1 0 (2,750)
1 0 1 1 (9) 1 1 1 1 0 0 (60) 1 1 0, 0 0 1 (6,125)
Php tr nh phn:
Qui tc tr:
0-0 =0
1-0 =1
1-1 =0
10 - 1 = 1 vay cp cao hn.

Trang 4
Bi ging K thut xung s GV: Phm Th Lnh

Ch : khi ct th k xy ra vic (0-1) ta p dng qui tc vay 1 cp cao hn


(k+1) thc hin theo qui tc hng cui ca qui tc tr vi s ch c duy nht bit MSB
bng 1 (1000) sau khi tr i s nh phn ch c duy nht 1 bit LSB kt qu s l b 1
ca s b tr:
10000 -1 =0 1111
V d:
1 1 0 0 0 1 1 1 (199)
- 1 1 0 1 1 0 1 (109)
0 1 0 1 1 0 1 0 (90)
Cn ch rng c th thc hin php tr ri nh i du s tr sau dng cc
qui tc ca php cng thc hin gia s b tr (s hng u)v s tr sau khi i
du.
Php nhn nh phn: thc hin ging php nhn trong h 10 theo qui
tc sau:
0.0 =0
0.0 =1.0=0
1.1 =1
Khi thc hin nhn lin tip tng ct ca mt tha s vi tt c cc ct ca tha
s kia, hai hng kt qu ca hai ct lin tip nhau phi t dch tri 1 nhp sau cng
cng cc tch (cc hng tch s c tch ton phn).
V d:
0 1 0 1 Sbnhn
1 0 1 Snhn

1010
+ 0000
1010

1 1 0 0 1 0 tch stoan ph
n
Khi s nh phn c m ch s l (sau du phy) v s nhn c n ch s l, ta b
du phy ca c 2 tha s v thc hin qui tc nhn nh vi hai ch s nh phn ch c
phn nguyn. Kt qu tch s ton phn, du phy c t v tr trc ct th
m+n tnh t phi qua tri nh php nhn c s thp phn h 10.
Php chia nh phn: qui tc chia:
0:0 =0
1:1 =1
V d: thc hin php chia 101 101 : 101

Trang 5
Bi ging K thut xung s GV: Phm Th Lnh

101 101 101


- 101 1001 Thng s
0001 01
- 000
0010 1
- 000
0101
- 101
000 Ph
n d
1.2.Bin i h thp phn sang h nh phn:
c thc hin ring bit cho phn nguyn v phn l v sau gp hai kt qu
li.
1.2.1 Chuyn i phn nguyn c hai cch thc hin:
- S dng biu thc 1.1 dng ngc vi qu trnh chuyn i h hai mi:
trin khai s thp phn (phn nguyn) thnh tng cc ly tha ca 2 sau xc nh
gi tr cc k t (bit) ak tng ng.
V d: A(10) = 58(10) = 32 + 16 + 8 + 2
= 1.25 + 1.24 + 1.23 + 0.22 + 1.21 + 0.20 = = 111010.
S dng nguyn tc chia s A(10) lin tip cho 2 sau ly phn d.
+ Phn d u tin ca php chia (A(10)/2) l bit LSB.
+ Phn d cui cng ca php chia (A(10)/2) l bit MSB.
V d 1: A(10) = 55 ta tin hnh nh sau:
55 2
1 27 2
13 2
1
1 6 2 A10 = 55 A2 = 110111
3 2
0
1 2
1 0
1

1.2.2. Chuyn i phn l thp phn c thc hin theo qui tc: nhn 2 tr
1:
- t phn l s A10 tn cng bn tri, nhn n vi 2.
- Nu tch kt qu 2A10 1 th tr cho 1 (2A 10 - 1) ng thi t k s 1 u
tin ca phn l sau du phy.
- Nu tch 2A10 < 1 th t 0 v tr ny.
- Nhn phn d (2A10 - 1) hay 2A10 mt trong hai bc trn vi 2 tm
tip k s th 2 sau du phy
- Qu trnh trn s chm dt khi t ti k s (bit) l nm sau du phy theo
yu cu hay n khi php tr khng cn s d.
V d 2: A10 = 0,8625 hy tm A2 ly ti 4 bit l (4 s l sau du phy)

Trang 6
Bi ging K thut xung s GV: Phm Th Lnh

A10 2A10 = 1,665 2.0,665 = 1,33 0,33.2 = 0,66 0,66.2 = 1,32


=0,8325 2A10 1= 0,665 1,33 1 = 0,33 0,66 < 1 1,32 1 = 0,32
A2 1 1 0 1
Vy A2 = 0,1101
V d 3: A10 = 0,3125 hy tm A2 ly ti 4 bit l (4 s l sau du phy)
A10 2A10 = 0,625 2.0,625 = 1,25 0,25.2 = 0,5 0,5.2 = 1
=0,3125 0,665 < 1 1,25 1 = 0,25 0,5 < 1 11=0
A2 0 1 0 1
Vy A2 = 0,0101
Nu A10 gm c phn nguyn v phn l: kt qu chung l s kt hp hai kt
qu chuyn i ring bit nh trn. Nu s dng cc vi d c vi A 10 = 58,3125
th biu din nh phn ca n c dng A2 = 111010,0101.
1.3.Bin i h nh phn sang h thp phn: thc hin theo h thc bit:
A10= an-1.2n-1 + an-2.2n-2 + + a1.21 + a0.20 (1.5)
Ch rng v tr ca bit ak c trng s tng ng 2k.
V d: A2 = 101101 khi chuyn sang A10 c biu din tng ng theo 1.5 l:
A10 = 1.25 + 0.24 + 1.23 + 1.22 + 0.21 + 1.20
= 32 + 0 + 8 + 4 + 0 + 1 = 4510
Hoc vi 1 s nhiu bit hn:
A2 = 10111001
A10 = 1.27 + 0.26 + 1.25 + 1.24 + 1.23 + 0.22 + 0.21 + 1.20
= 128 + 0 + 32 + 16 + 8 + 0 + 0 + 1 = 185(10)
Nu A2 l mt s nh phn c phn nguyn v phn l, php chuyn i thc
hin theo h thc (1.1) m rng:
V d: A2 = 101101,1010
A10 = 1.25 + 0.24 + 1.23 + 1.22 + 0.21 + 1.20 + 1.2-1 + 0.2-2 + 1.2-3 + 0.2-4
= 45,625

Trang 7
Bi ging K thut xung s GV: Phm Th Lnh

Bi 2: I S BOOL (I S LOGIC)
2.1.Khi nim BOOL:
Trong mch s, cc tn hiu thng cho hai mc in p, v d: 0V v 5V.
Nhng linh kin in t dng trong mch s lm vic mt trong hai trng thi: v d
transistor lng cc lm vic ch tt hoc l thng, led trng thi tt hoc sng.
Do vy, m t cc mch s ngi ta thng dng h nh phn (Binary), hai
trng thi ca cc linh kin trong mch c m ha tng ng l 0 hoc1.
Mt b mn i s pht trin t cui th k 19 mang tn chnh ngi sng lp
ra n: i s Bool v cn c gi l i s logic, thch hp cho vic miu t mch s.
i s Bool l cng c ton hc quan trng nht thit k v phn tch mch
s. Cc k s, cc nh chuyn mn trong lnh vc in t, tin hc thng tin, iu
khinu cn phi nm vng cng c ny v dng n lm cha kha i su vo
mi lnh vc c lin quan n k thut s.
2.2.Bin logic:
i s logic c hiu l mt tp hp gm cc i tng c hai trng thi: c
hoc khng c, mnh ng hoc sai, cc i tng ny c biu din bng bin
logic. Khi trng thi ca i tng l tn ti (c) ta gn cho bin logic biu din n gi
tr qui c l 1 v k hiu l A, cn khi trng thi ca i tng l khng tn ti
(khng c) ta gn cho bin logic th hin gi tr qui c ca n gi tr qui c l 0 v
k hiu lA.
Trong k thut bin logic thng c m ha nh sau:
in th:
Xi = 0 tng ng vi U = 0 V
Xi = 1 tng ng vi U = 5 V
Trong cch m ha ny, mc logic 1 c in th cao hn mc logic 0 ngi
ta gi l logic dng, ngc li ta c mc logic m, tc l:
Xi = 0 tng ng vi U = 5 V
Xi = 1 tng ng vi U = 0 V
2.3. Hm logic:
a, nh ngha:
Hm Bool l mt nh x Bool t i s Bool vo chnh n. Tc l A,B B
c gi l bin Bool th hm Bool, k hiu l f, c hnh thnh trn c s lin kt
cc bin Bool bng cc php ton cng logic (php hoc), nhn logic (php v), hoc
nghch o logic (php o).
K hiu: F(A) = A
F(A) = A
F(A) = (: l hng s)

Trang 8
Bi ging K thut xung s GV: Phm Th Lnh

Hm Bool n gin nht l hm Bool theo 1 bin Bool, trong trng hp tng
qut, ta c hm Bool theo n bin Bool c k hiu nh sau: F(A,B,C)
Vy mt hm Bool f cng c hnh thnh trn c s lin kt cc hm Bool
bng cc php ton ton cng (cng logic +),nhn (nhn logic x ), hoc nghch o
logic (php o - ).
b, Nhm hm 1 bin G(A) gm 4 hm c s:
G1(A) = 0 G3(A) = A (hm b ca A - NOT)
G2(A) = 1 G4(A) = A (hm lp ca A - YES)
c, Nhm cc hm hai bin F(A,B) quan trng:
Bng nhm cc hm 2 bin quan trng:

Bin A 0 0 1 1 Biu
Tn gi Tn gi
B thc K hiu qui c
0 1 0 1 ting vit quc t
Hm i s
2
Nhn 1
F1 0 0 0 1 F1 AND 3
logic F1

Cng 1

F2 0 1 1 1 F2 OR 2
3

logic F2

F3 1 1 1 0 F3 V-khng NAND 2
3

F3

Hoc 1
A
F4 1 0 0 0 F4 NOR 2 Y
3

khng B F4

2
1
F5 0 0 1 0 F5 Cm B INHIBITION 3
F5
1
A
3
F6 0 1 0 0 F6 Cm A INHIBITION 2
B
Y

F6

EXCLUSIVE 1
3
F7 0 1 1 0 F7 Khc du 2
XOR F7

1
EXCLUSIVE 3
F8 1 0 0 1 F8 ng du 2
NOR (XNOR) F8

Ko theo 1

F9 1 0 1 1 F9 IMPLICATION 2
3

A F9

Ko theo 1

F10 1 1 0 1 F10 IMPLICATION 2


3

B F10

Trang 9
Bi ging K thut xung s GV: Phm Th Lnh

H hm logic y : t mt t hp cc hm logic no m ta c th xy
dng c mt hm logic bt k - mt nhm hm s cp m t c th xy dng
c cc hm logic khc c gi l mt h hm y .

Bi 3: CC PHP TON C BN CA BIN LOGIC


Khi thc hin 3 php ton c bn ln cc bin logic, ta nhn c mt kt qu
c gi l hm logic. Do tnh cht cc bin l bin trng thi nn hm logic cng l
hm trng thi. Khi hm logic nhn oc l do t nhiu cch tc ng ca cc php
ton khc nhau gi l chng tng ng nhau v k hiu bng du = gia cc kt
qu ny.
3.1. Cc php ton: i vi i s Bool ta c cc php ton sau:
Tnh hon v ca php cng v php nhn:
A+ B= B+A (1) hay A.B = B.A (2)
Tnh kt hp ca php cng v php nhn:
(A + B) + C = A + (B + C) (3) hay (A.B).C = A.(B.C) (4)
Tnh phn phi gia php cng v php nhn:
(A + B). C = A.B + A.C (5)
A + BC = (A+B).(A+C) (6)
Bn qui tc ca php cng:
A+A=A (7) A + A = 1 (8)
A+ 0 =A (9) A + 1 = 1 (10)
Bn qui tc ca php nhn:
A.A = A (11) A.A = 0 (12)
A.1 = A (13) A.0 = 0 (13)
3.2.Cc nh l:
nh l De Morgan:
A.B A B

A .B A.B

Lut hon nguyn:


A A

Trang 10
Bi ging K thut xung s GV: Phm Th Lnh

Bi 4: CC CNG LOGIC C BN
Cng logic l mt trong cc thnh phn c bn to xy dng mch s. N
c thit k trn c s cc phn t linh kin bn n nh Diode, BJT, FET hot
ng theo mt bng trng thi cho trc.
4.1.Cng o (NOT):
nh ngha: Cng NOT c biu din t phng trnh f A . L cng logic
c 1 ng vo v 1 ng ra, Hnh 1.1: K hiu v bng trng thi m t hot ng ca
mch.

A f
A f
0 1
1 0
Hnh 1.1: K hiu v bng trng thi hot ng cng o.
Cng O gi chc nng nh mt cng m, nhng ngi ta gi l m o v
tn hiu ng ra ngc pha vi tn hiu ng vo.
Khi ghp hai cng o vi nhau ta c cng khng o.

A A A =A

Hnh 1.2: S dng 2 cng O ta to ra mt cng m.


4.2.CngV (AND):
Cng AND l cng logic thc hin chc nng ca php ton nhn logic vi 2
ng vo v 1 ng ra k kiu nh hnh v:
Phng trnh m t trng thi hot ng ca cng AND: f A.B .

Bng trng thi hot ng ca cng AND hai ng vo:

A B f
0 0 0 A
f
0 1 0 B
1 0 0
1 1 1
Hnh 1.3 Cng AND
T bng trng thi ny ta nhn xt: ng ra f ch bng 1 (mc logic 1) khi c hai ng
vo u bng 1, ng ra f bng 0 (mc logic 0) khi c mt ng vo bt k A hoc B mc
0.

Vy: c im ca cng AND l: A


f
ng ra f ch bng 1 khi tt c cc ng vo
An
u bng 1, ng ra f bng 0 khi t nht

Trang 11
Bi ging K thut xung s GV: Phm Th Lnh

mt ng vo bng 1. Hnh 1.4: Cng AND vi n ng vo


S dng cng AND ng m tn hiu : Xt cng AND c hai ng vo A, B. Ta
chn:
- A ng vai tr ng vo iu khin (control)
- B ng vai tr ng vo d liu (data).
Xt cc trng hp c th sau:
- A = 0: f = 0 bt chp trng thi ca B, ta ni cng AND kha li
khng cho d liu a qua ng vo B qua cng AND n ng ra.

B 0 y 0
- A 1 y B
B 1 y 1
Ta ni cng AND m cho d liu a vo ng vo B qua cng AND n ng ra.
4.3.Cng HOC (OR):
Cng hoc l cng thc hin chc nng ca php ton cng logic, cng OR c 2
ng vo v 1 ng ra c k hiu nh hnh v:
Phng trnh m t trng thi hot ng ca cng OR: f A B.
Bng trng thi hot ng ca cng OR hai ng vo:

A B f
0 0 0 A
f
0 1 1 B

1 0 1
Hnh 1.5 Cng OR
1 1 1
c im ca cng OR l : Tn hiu ng ra ch bng 0 khi v ch khi tt c cc
ng vo u bng 0, ngc li tn hiu ng ra bng 1 khi ch cn t nht mt ng vo
bng 1.
S dng cng OR ng m tn hiu : Xt cng OR c hai ng vo A, B. Ta chn:
- A ng vai tr ng vo iu khin (control)
- B ng vai tr ng vo d liu (data).
Xt cc trng hp c th sau:
- A = 1: f = 1 bt chp trng thi ca B, ta ni cng OR kha li
khng cho d liu a qua ng vo B qua cng OR n ng ra.

B 0 y 0
- A0 yB
B 1 y 1
Trang 12
Bi ging K thut xung s GV: Phm Th Lnh

Ta ni cng OR m cho d liu a vo ng vo B qua cng OR n ng ra.


4.4.Cng NAND:
Cng NAND l cng logic thc hin chc nng ca php ton nhn o logic.
Cng NAND gm 1 cng AND mc ni tng vi 1 cng NOT, k hiu v bng trng
thi cng NAND nh hnh v:
Phng trnh m t trng thi hot ng ca cng NAND: f A.B .

Bng trng thi hot ng ca cng NAND hai ng vo:

A B f
0 0 1 A
f
0 1 1 B
1 0 1
1 1 0
Hnh 1.6 Cng NAND

Vy: c im ca cng NAND l: A


ng ra f ch bng 0 khi tt c cc ng vo f
An
u bng 1, ng ra f bng 1 khi t nht
mt ng vo bng 0.
Hnh 1.7: Cng NAND vi n ng vo
S dng cng NAND ng m tn hiu : Xt cng NAND c hai ng vo A, B. Ta
chn:
- A ng vai tr ng vo iu khin (control)
- B ng vai tr ng vo d liu (data).
Xt cc trng hp c th sau:
- A = 0: f = 1 bt chp trng thi ca B, ta ni cng NAND kha li
khng cho d liu a qua ng vo B qua cng NAND n ng ra.

B 0 y 1
- A 1 y B
B 1 y 0
Ta ni cng NAND m cho d liu a vo ng vo B qua cng NAND n ng
ra.
4.5.Cng Hoc khng (NOR):
Cng hoc l cng thc hin chc nng ca php ton cng o logic, cng NOR
c 2 ng vo v 1 ng ra c k hiu nh hnh v:
Phng trnh m t trng thi hot ng ca cng NOR: f A B.

Trang 13
Bi ging K thut xung s GV: Phm Th Lnh

Bng trng thi hot ng ca cng NOR hai ng vo:


A B f
0 0 1 A
f
0 1 0 B
1 0 0
1 1 0
Hnh 1.8 Cng NOR
c im ca cng NOR l : Tn hiu ng ra ch bng 1 khi v ch khi tt c cc
ng vo u bng 0, ngc li tn hiu ng ra bng 1 khi ch cn t nht mt ng vo
bng 0.
S dng cng NOR ng m tn hiu : Xt cng NOR c hai ng vo A, B. Ta
chn:
- A ng vai tr ng vo iu khin (control)
- B ng vai tr ng vo d liu (data).
Xt cc trng hp c th sau:
- A = 1: f = 0 bt chp trng thi ca B, ta ni cng NOR kha li
khng cho d liu a qua ng vo B qua cng OR n ng ra.

B 0 y 1
- A0 y B
B 1 y 0
Ta ni cng NOR m cho d liu a vo ng vo B qua cng NOR n ng ra.
4.6.Cng EX OR (XOR):
y l cng thc hin chc nng ca php ton cng module 2 (cng khng
nh), cng XOR c 2 ng vo v 1 ng ra c k hiu nh hnh v:
Cng XOR dng so snh hai tn hiu vo:
- Nu hai tn hiu vo l bng nhau th tn hiu ng ra bng 0.
- Nu hai tn hiu vo l khc nhau th tn hiu ng ra bng 1.
Phng trnh m t trng thi hot ng ca cng NOR:
f XOR A.B A.B A B .

Bng trng thi hot ng ca cng NOR hai ng vo:


A B f
0 0 0 A
f
0 1 1 B

1 0 1

Trang 14
Bi ging K thut xung s GV: Phm Th Lnh

1 1 0 Hnh 1.9 Cng xOR

Bi 5: BIU DIN CC HM I S LOGIC


5.1.Biu bin bng biu thc i s:
Phng php biu din hm logic bng biu thc i s c hai dng c bn:
- Dng tng ca cc tch: mi s hng ca tng c gi l 1 mitec ( bin) k
hiu l mi.
- Dng tch ca tng cc bin, mi tha s c gi l mt mactec ( bin)
k hiu l Mi (i l ch s tnh trong h mi).
Bng1.5 cc mi v Mi ca hm b, Cc mi v Mi ca hm 3 bin (k = 3)
2 bin F(A,B), hm 3 bin
F(A,B,C) v hm 4 bin Bin
F(A,B,C,D): Mintec mi Mactec Mi
A B C
a, Cc mi v Mi ca hm 2 bin (k =
2) 0 0 0 B C= B +C=
m0 M7
Bin
Mintec mi Mactec Mi 0 0 1 A B C = A +B + C =
A B m1 M6
0 0 B = A +B = 0 1 0 A BC = A + B +C =
m0 M3 m2 M5
0 1 A B= m1 A + B = 0 1 1 A B C = A + B + C =
M2 m3 M4
1 0 = m2 = 1 0 0 B C= m4 B +C= M3
M1
1 0 1 B C = B + C =
1 1 A B = m3 A +B = m5 M2
M0
1 1 0 BC = B +C = M1
m6

1 1 1 A B C = m7 A + B + C = M0

c, Cc mi v Mi ca hm 4 bin (k = 4)

Bin
Mintec mi Mactec Mi
A B C D

Trang 15
Bi ging K thut xung s GV: Phm Th Lnh

0 0 0 0 BCD = m0 B +C +D =M15

0 0 0 1 A BC D = m1 A +B +C + D = M14

0 0 1 0 B CD = m2 B + C +D = M13

0 0 1 1 A B C D = m3 A +B + C + D = M12

0 1 0 0 CD = m4 C +D = M11

0 1 0 1 A BC D = m5 A + B +C + D = M10

0 1 1 0 B CD = m6 B + C +D = M9

0 1 1 1 A B C D = m7 A + B + C + D = M8

1 0 0 0 BCD = m8 B +C +D =M7

1 0 0 1 BC D = m9 B +C + D = M6

1 0 1 0 CD = m10 C +D = M5

1 0 1 1 B C D = m11 B + C + D = M4

1 1 0 0 CD = m12 C +D = M3

1 1 0 1 A BC D = m13 A + B +C + D = M2

1 1 1 0 B CD = m14 B + C +D = M1

1 1 1 1 B C D = m15 B + C + D = M0

Ta cn ch trong bng 1.5 khi bin c gi tr b (tr 0) ta k hiu l A, cn


khi bin gi tr trc tip (nhn gi tr bin1) ta k hiu tng ng l A.
T bng 1.5 ta c th biu din i s mt hm logic n bin bt k bng cch
vit cc h thc nh sau:
Dng chnh tc 1:
2 n 1
F ( x1 , x 2 ,...x n ) f (
e
1 , 2 ,... n )x11 .x 2 2 ...x n n

Dng chnh tc 2:
2 n 1
F ( x1 , x2 ,...xn ) f ( 1 , 2 ,... n ) x11 x2 2 ... xn n
e

e: l s thp phn tng ng vi t hp nh phn n


xi i x i khi i 1
xi i x i khi i 0

Trang 16
Bi ging K thut xung s GV: Phm Th Lnh

5.2.Biu bin bng bng trng thi:

Hm logic c th biu din di dng mt bng lit


Bin Hm
k cc gi tr c th c ca bin v gi tr tng ng ca Fa =
ca hm gi l bng chn l (hay bng trng thi) nh vy A B Fa A
vi hm hai bin ta c bng gm 3 ct v 4 dng, vi hm . 0 0 1
B
3 bin ta c bng chn l gm 4 ct v 2 3 = 8 dng (tng +
ng vi trng thi t hp bin c th c) v vi hm 4 bin 0 1 0
ta c 5 ct v 24 = 16 dng. 1 0 0
Bin Hm Fa 1 1 1
A B C A. B

0 0 0 F a
Bin Hm
=
0 0 1 A B Fa A
0 1 0 .
B 0 0 1 +
0 1 1
0 1 0
1 0 0
1 0 0
1 0 1
1 1 1
1 1 0
A. B
1 1 1 1

Fa =A.B.C + A.B.C + AB.C + A.B.C

5.3.Biu bin bng bng Karnaugh:

y l phng php biu din li ca


phng php bng di dng bng gm cc
vung nh hnh bn:
Trn bng ny ngi ta b tr cc bin vo theo hng hoc theo ct ca bng.
Trong trng hp s lng bin vo l chn, ngi ta b tr s lng bin vo theo
hng ngang v bng s lng bin vo theo ct dc ca bng. Trong trng hp s
lng bin vo l l,ngi ta b tr s lng bin vo theo hng ngang nhiu hn s
lng bin vo theo ct dc mt bin hoc ngc li.
Nh vy khi lp bng Karnaugh cho mt hm logic ta cn thc hin cc bc:
- Cc t hp gi tr ca bin vo theo hng ngang hoc ct dc ca bng c
b tr sao cho khi ta i t mt sang ln cn vi n ch lm thay i mt gi tr ca

Trang 17
Bi ging K thut xung s GV: Phm Th Lnh

bin, nh vy th t b tr hay sp xp cc t hp gi tr ca bin vo theo hng ngang


hoc ct dc ca bng Karnaugh hon ton tun th theo m Gray.
- Gi tr tng ghi trong mi vung ny chnh l gi tr ca hm ra tng ng vi
bin vo.
- nhng m gi tr ca hm khng xc nh, c ngha l gi tr ty (hay
ty nh), ngi ta k hiu bng ch X. Nu c n bin s c 2n vung.
BI 6: TI THIU HM I S LOGIC
Trong thit b my tnh ngi ta thit k gm nhiu khu, mi khu c c
trng bng mt phng trnh logic. Trong mc phc tp ca s ph thuc
vo phng trnh logic biu din chng. Vic t c n nh cao hay khng l
ty thuc vo phng trnh biu din chng dng ti thiu ha hay cha. thc
hin c iu khi thit k mch s ngi ta thng t ra vn ti thiu ha hm
logic. iu c ngha l phng trnh logic biu din sao cho thc s gn nht (s
lng php tnh v s lng cc s c biu din l t nht).
Tuy nhin trong thc t khng phi luc no cng t c li gii cho bi ton ti u
ha.
Cc bc tin hnh ti thiu ha:
- Dng cc php ti thiu ha ti thiu ha cc hm s logic
- Rt ra nhng tha s chung nhm mc ch ti thiu ha thm mt bc
na cc phng trnh logic.
6.1. Phng php ti thiu ha bng biu thc i s:
y l phng php ti thiu ha hm logic da trn cc tin cc nh l
bit ca i s Bool, phng php ny c thc hin khi cc bin s logic khng
nhiu v ta thc hin bin i trc tip biu thc gii tch ca hm.
V d 1: Rt gn hm sau:
F(A,B) = A.B + A.B + A.B
= (A + A)B + AB
= B + A. B
= A + B.
V d 2: Rt gn hm sau:
F(A,B,C) = A.B.C + A.B.C + AB.C + A.BC +A.B.C
= A.B.C + A.B.C + AB.C + A.B(C + C)
= A.B.C + A.B(C + C) + A.B
= A.B.C + A(B + B)
= A.B.C + A
6.2. Ti thiu ho bng bng Karnaugh:
6.2.1. Phng php ti thiu ho hm i s logic dng bng Karnaugh:
a. Qui tc k cn:

Trang 18
Bi ging K thut xung s GV: Phm Th Lnh

Qui tc chung ca phng php ti thiu ho bng bng Karnaugh l gom cc


k cn li vi nhau.
Khi ti thiu ho hm i s logic bng phng php bng Karnaugh ta phi
tun th quy tc k cn: "Hai c gi l k cn nhau l khi ta i t ny sang
kia ch lm thay i gi tr ca mt bin".
V d: cho hm logic F(A,B,C) nh hnh v: AB
C 00 01 11 10
Th cc k cn:
- Theo dng chnh tc 1: m3 v m7; m6 v 0 0 0 1 0
m7;m5 v m6. m0 m2 m6 m4
- Theo dng chnh tc 2: m0 v m1; m0 v 1 0 1 1 1
m2;m0 v m4. m1 m3 m7 m5

Nh vy: Khi gom 2 k cn s loi c 1 bin (2 = 21 loi 1 bin)


Khi gom 4 k cn s loi c 1 bin (4 = 22 loi 2 bin)
Khi gom 8 k cn s loi c 1 bin (8 = 23 loi 3 bin)
Tng qut: khi gom 2n k cn s loi c n bin. Nhng bin b loi l
nhng bin m khi ta i vng qua cc k cn m gi tr ca chng khng thay i.
b. Nhng iu cn lu :
- Cc gom li phi bng 2n (n nguyn, n = 0,1,2,3).
- Mt vng gom c gi l hp l khi n c t nht mt cha thuc vng
gom no.
- Cc trong vng gom phi k cn vng trn vi nhau.
- Mt hoc vi c th tham gia nhiu ln vo cc vng gom khc nhau.
- Khng thc hin cc vn gom m sau khi gom ln li cha tt c cc
gom trc .
- ty nh c gom s cng vi cc c gi tr bng 0 hoc 1 sao cho n
to thnh mt vng gom vi s lng 2n ln nht.
- Ty theo dng biu din ca hm logic (dng chnh tc 1 hoc dng chnh
tc 2) m chng ta c qui tc kt hp khc nhau.
Dng chnh tc 1: kt hp nhng c gi tr bng 1 v ty nh.
Dng chnh tc 2: kt hp nhng c gi tr bng 0 v ty nh.
6.2.2. V d minh ha:

a. V d 1: Hy rt gn hm AB
C 00 01 11 10
F A, B, C ABC ABC A BC ABC

Hm F biu din trn bng 0 0 0 1 0


m6
Karnaugh gm 4 c gi tr 1 ng vi cc
1 0 1 1 1
mintec m3,m5,m6 v m7. m3 m7 m5

Trang 19
Bi ging K thut xung s GV: Phm Th Lnh

Thc hin gom m3 vi m7,m6 vi m7 v m5 vi m7 ta c 3 mi c k hiu


tng ng l X1 , X2 v X3, cc ny c gi tr:
X1 = m3 + m7 = BC (loi c bin A, do bin A thay i gi tr t 01; bin
B,C c gi li)
X2 = m6 + m7 = AB (loi c bin C, do bin C thay i gi tr t 01; bin
A,B c gi li)
X3 = m5 + m7 = AC (loi c bin B, do bin B thay i gi tr t 10; bin
A,C c gi li)
Kt qu ta c hm F rt gn:
F = X1 + X2 + X3 = BC + AB +AC.
b.V d 2: Hy rt gn hm G(A,B,C,D) bng bng Karnaugh theo hai dng
chnh tc 1 v chnh tc 2.
Biu thc y ca hm G dng chnh tc 1 c dng:
G = m (0,1,2,4,6,7,8,9,10,11,12,14)
Gm 12 s hng c mt cc bin ABCD. Ta thc hin gom nh sau:

X1 = m0 + m4 + m12 + m8 + m2 + m6 + m14 + m10 AB


CD 00 01 11 10
Kt qu ta c: X1 =D
X2 = m8 + m9 + m10 + m11 =BC 00 1 1 1 1
m0 m4 m12 m8
X3 = m0 + m1 + m8 + m9 = AB
01 1 0 0 1
X4 = m6 + m7 = ABC m1 m5 m13 m9
Hm G sau khi rt gn theo dng chnh tc 1 11 0 0
1 1
c dng: m3 m7 m15 m11
G = X1 + X2 + X3 + X4 = D + BC + AB +ABC 10 1 1 1 1
m2 m6 m14 m10

Biu thc y ca hm G dng chnh tc


AB
2 c dng: CD 00 01 11 10
G = m (3,5,13,15)
00 1 1 1 1
Gm 4 s hng c mt y cc bin m0 m4 m12 m8
A,B,C,D. Ta thc hin gom nh sau: 01 1 0 0 1
m1 m5 m13 m9
X1 = m5 + m13 = B +C + D
11 0 1 0 1
X2 = m13 + m15 = A + B + D m3 m7 m15 m11
Hm G sau khi ti thiu c dng: 10 1 1 1 1
m2 m6 m14 m10
G = X1.X2 = (B + C + D)(A + B + D)
Trong cc v d trn ta lu rng trong mt ln sau khi gom cc nh cc
bin logic no c gi tr thay i th s khng cn c mt trong biu thc thu gn na
v nhng nh trong v d 2 (m 3) khng th gom vi mt no khc nm k bn v
mi pha.

Trang 20
Bi ging K thut xung s GV: Phm Th Lnh

CHNG 2: CC MCH LOGIC C BN


Bi 1: I CNG
Cc mch in t s s hot ng ch hai trng thi (ch nh phn),
mi in th cng vo v cng ra ca chng ch nhn cc gi tr 1 (c in th vi
mc cao) v gi tr 0 (khng c in th hoc mc in th thp). c im quan trng
ny cho php chng s thc hin c cc hm logic khi thc hin thit k mch (tm
cc mch logic thch hp thc hin mt hm logic mun c) hoc s dng i s
logic lm cng c m t, phn tch nhim v, chc nng ca chng qua phn tch,
thit k cc h thng k thut s.
1.1.nh ngha:
Cng logic l mt trong nhng thnh phn c bn xy dng mch s. N
c thit k trn c s cc phn t linh kin bn dn nh iot, BJT, FET hot
ng theo bng trng thi cho trc.
1.2.Phn loi:
C ba cch phn loi ng ra:
- Phn loi cng theo chc nng: ta c cc loi cng nh:
Cng khng o (BUFFER)
Cng o (NOT)
Cng v (AND)
Cng hoc (OR)
Cng NAND
- Phn loi cng theo phng php ch to: ta c cc loi cng nh:
Cng logic dng Diode
Cng logic dng BJT
Cng logic dng MOSFET
- Phn loi cng theo ng ra: ta c cc loi cng nh:
Ng ra ct chm (Totem pole output)
Ng ra cc thu h (Open Collector output)
Ng ra ba trng thi (Three States Output)
1.3. Cc thng s:

Trang 21
Bi ging K thut xung s GV: Phm Th Lnh

Cc nh sn xut bao gi cng cung cp cc tnh cht c trng ca mt cng


logic nhm s dng cc cng ny mt cch c hiu qu, ng chc nng v kh nng
ca n.
1. Tr khng ra: tr khng ti u ra ca cng khi mc 1 v khi mc 0,
ngi ta lun mun thit k cng ra c tr khng nh.
2. H s mc ti ng ra: hay cn gi l kh nng ti ca mt phn t logic l s cng
logic khc c th ni ng thi ti u ra ca cng ang xt, ch xc nh cho cng cng
loi.
3. Ngun mt chiu cung cp cho cng lm vic.
4. Cng sut tiu th ca mt cng logic c xc nh theo s lng cc linh
kin (in tr, transitor) lm vic ch bo ha.
5. chng nhiu: xc nh bi bin in p nhiu ti a tc ng ti u vo ca
cng m khng lm thay i trng thi u ra ca n. c nh gi theo 2 tiu chun:
- Trng thi hot ng km nht (v in th ngun, v h s ti, nhit )
- nh hng qua li gia cc cng logic cng loi khi ghp ni vi nhau (s
gy nhiu cho nhau).
Bng 2.1: CC C TNH CHUN CA CC CNG LOGIC.

Loi cng ngh


RTR DTL HTL TTL ECL PMOS CMOS
Tham s

NOR-
Loi cng c bn NOR NAND NAND NAND OR-NOR NAND
NAND

Tr khng ra (khi 15K/1,


640 6K/2K 70 15 2K 1,5K
u ra mc cao) 5K

Tr khng ra (khi
Rbh Rbh Rbh Rbh 15 25K 1,5K
u ra mc thp)

3,610 510 - -272


Ngun cung cp(V) 510% 151 +318
% % 5,210% -131

Cng sut tiu th


12 8 22 10 40 0,1 0,11
vi mt cng(mW)

Mc chng nhiu Thng Tt Tt Tt Tt Kh Rt tt

Thi gian tr vi
12 30 90 10 2 700 30
mt cng (ns)

Trang 22
Bi ging K thut xung s GV: Phm Th Lnh

Tn s xung nhp
8 12 4 35 60 1 10
cc i(MHz)

H s mc ti 5 8 10 10 25 >50

6. Tr truyn t (thi gian tr) vi mt cng l khong thi gian t lc cng nhn
c tn hiu logic u vo cho n khi u ra ca n xc lp c trng thi tng
ng.
- Tr truyn t l tiu chun nh gi tc lm vic ca mch. Tc
lm vic ca mch tng ng vi tn s m mch vn cn hot ng ng. Nh
vy tr truyn t cng nh cng tt hay tc lm vic cng ln cng tt.
- i vi hu ht cc vi mch s hin nay, tr truyn t l rt nh, c vi
nano giy (ns). Mt vi loi mch logic c thi gian tr ln c vi trm nano giy.
Do khi mc lin tip nhiu mch logic th tr truyn t ca ton mch s bng
tng tr truyn t ca mi tng.
7. Tn s xung nhp cc i l kh nng phn ng nhanh nht ca mt cng m n
vn cn phn bit tt hai trng thi logic. Xc nh tn s ny nh mc mt cp cng to
thnh mch to xung to ra cao ti u.
Bi 2: CC CNG LOGIC C BN
2.1. Cng ngh n cc: (MOS METAL OXID SEMI-CONDUCTER)
Cng ngh MOS (Metal Oxide Semiconductor-kim loi oxit bn dn) c tn gi
xut x t cu trc MOS c bn ca mt in cc nm trn lp oxit cch nhit, di
lp oxit l bn dn. Transistor trong cng ngh MOS l transistor hiu ng trng,
gi l MOSFET (Metal oxide silicon field effect transistor). C ngha in trng
pha in cc kim loi ca lp oxit cch nhit c nh hng n in tr ca . Phn
nhiu IC s MOS c thit k ht bng MOSFET, khng cn n linh kin no khc.
c im:
- D ch to v qui trnh thc hin n gin v t cng on hn cng ngh
lng cc do vy gi thnh r.
- Mt tch hp cao v transistor n cc nh v kch thc v tiu th
rt t in nng.
- Cng sut tiu th nh.
- Thit b MOS chim t ch trn chip hn so vi BJT, thng thng, mi
MOSFET ch cn 1 mm2 din tch chip, trong khi BJT i hi khong 50 mm2.
- Khng dng cc thnh phn in tr trong IC, vn chim qu nhiu din
tch chip trong IC lng cc.
- IC MOS c th dung np nhiu phn t mch trn 1 chip n hn so vi
IC lng cc. MOS c mt tch hp cao lm MOS thch hp cho cc IC phc tp,
nh chip vi x l v chip nh.

Trang 23
Bi ging K thut xung s GV: Phm Th Lnh

- Do vy, thit b MOS c bit l CMOS c s dng kh rng ri


trong mch MSI mc d tc c thua cc IC TTL cao cp v d b h hng do b tnh
in.
- Ty theo loi MOSFET c dng m cng ngh ny cn c chia
thnh cc loi sau:
+ PMOS dng MOSFET knh P.
+ NMOS dng MOSFET knh N tng cng.
+ CMOS (MOS b) dng c 2 thit b knh P v knh N.
2.1.1 H PMOS:
MOSFET dng y c knh p nn gi l PMOS (phn t mang in l cc l
trng). Cc l trng vn dch chuyn kh hn cc in t n nn PMOS c tn s lm
vic nh (khong 1MHz) ; mt tch hp ln, cng sut tiu th nh, d ch to. Tuy
nhin, h PMOS ti khng tng thch vi h TTL i hi cn nhiu ngun in p
ni khc nhau.
Cc P loi chm, r tin thng dng ch to bng cng ngh ny. V d:
NEC COM 43/44/45 v TMS 1000.
2.1.2 H NMOS:
Knh dn trong MOSFET ny l knh in t n do t c tc dch
chuyn cao hn PMOS hng chc ln. Mt tch hp rt ln. V d: RAM 256 Kbit
thch hp cho cc VLSI, cng sut tiu th tng ng PMOS: trung bnh
0.2mW/cng.
NMOS ni chung l tng thch vi TTL ch cn mt ngun nui duy nht
trong nhiu trng hp. Ci tin ca h ny c cc loi sau: HMOS, XMOS, VMOS
c mt tch hp cao hn, cng sut tiu th t hn, tn s lm vic ln hn.
Cc LSI, VLSI v cc P 16, 32 bit thng c ch to bng NMOS. V d:
8080/ 8085 / 8086 , Z80 / Z8000 / Z80000 , MC 6800 / 68000 , NS 26000 / 32000
Mt s mch logic dng h NMOS:
+VDD +VDD +VDD
(+10V) (+5V) D
D2 D
T1
T2 T G
G
S Z
S
Z D
A T2
S2 Z
G
D1 D D S
A A B
TA TB D
T1 G G
B T3
G1 S1 S S G
S

a, c,
b,

Trang 24
Bi ging K thut xung s GV: Phm Th Lnh

Hnh 2.1: Cng logic dng NMOS knh cm ng.


a, Cng NOT; b, Cng NOR ;c, Cng NAND.
Trong mch hnh 2.1a cng NOT c thc hin nh cng ngh NMOS knh
cm ng (knh ch c tn hiu thch hp cc tnh dng xut hin u vo, cc vi
in t s da trn cu trc ny k hiu l E/EMOS).
Mch gm 2 MOSFET: T2 lm chuyn mch cn T1 lm ti c nh v lun dn,
in tr ca T1 khong 100 k.
Ng vo mch t cc G ca T 2, cn ng ra ly im chung ca cc S T 1 v
cc D T2. Ngun phn cc cho mch gi s dng 10V.
Khi VA = 10 V, ng vo mc cao kch cho T 2 dn, tr trn T2 cn khong 1K
cu phn p gia RT1 v RT2 cho php p ra cn khong 0,1V tc l ng ra mc thp
Khi VA = 0V, ng vo mc thp, T 2 ngt, tr trn n kh ln khong 10 10 .
Cu phn p RT1 v RT2 s t p ng ra xp x ngun, tc l ng ra mc cao.
Vy mch hot ng nh mt cng NOT. Cng NOT c xem l mch c bn
nht ca cng ngh MOS. Nu ta thm T 3 mc ni tip v ging vi T2 th s c
cng NAND. Nu ta mcT3 song song v ging vi T2 th s c cng NOR. Cng
AND v cng OR c to ra bng cch thm cng NOT ng ra ca cng NAND v
cng NOR va c to ra.
2.1.3 H CMOS: (Complementary MOS)

y s dng cc cp MOSFET knh n v


knh p ch ti tch cc do cng sut tiu th
rt nh, trung bnh khong 10W/cng. CMOS li
rt tin cy v ngng i trng thi logic bng
khong in p nui. Tuy nhin tn s lm vic
v mc tch hp c phn no b hn ch so vi
NMOS. Cc vi mch CMOS thng c dng
trong cc thit b in t y t, qun s, cng nghip,
Mc d cn rt t nhng chng c kh nng thay
th h NMOS trong tng lai.
Hnh 2.2. Cu to mt cng CMOS

Nhng loi SOSMOS (CMOS trn Saphre) v h HCMOS gn y t


c tc xp x TTL trong khi mt tch hp rt cao (nh PMOS). Nhc im
ca chng l khi lm vic 5V thch hp vi TTL th tc b gim i nhiu.
a. Phn loi:
C nhiu loi IC logic CMOS vi cch ng v (package) v chn ra ging
nh cc IC loi TTL. Cc IC c quy m tch hp nh SSI v DIP (dual inline
package): vi hai hng chn thng hng 14 hay 16 c dng ph bin.
CMOS c h 4000, 4500:

Trang 25
Bi ging K thut xung s GV: Phm Th Lnh

Hng RCA ca M cho ra i loi CMOS u tin ly tn CD4000A. V sau


RCA c ci tin cho ra lot CD4000B c thm tng m ra, sau ny hng li b
sung thm lot CD4500, CD4700.
Hng Motorola (M) sau cng cho ra lot CMOS MC14000, MC14000B,
MC14500 tng thch vi sn phm c ca RCA.
c im chung ca lot ny l:
- in p ngun cung cp t 3V n 18V m thng nht l t 5 n 15 V.
- Cng sut tiu hao nh.
- Ring loi 4000B do c thm tng m ra nn dng ra ln hn, khng
nhiu tt hn m tc cng nhanh hn loi 4000A trc .
- Tuy nhin cc loi trn v tc th t ra kh chm chp v dng cng
nh hn nhiu so vi cc loi TTL v CMOS khc. Chnh v vy chng khng
c s dng rng ri cc thit k hin i.
Loi 74CXX:
y l loi CMOS c sn xut ra tng thch vi cc loi TTL v nhiu
mt nh chc nng, chn ra nhng khon ngun nui th rng hn. Cc c tnh ca
loi ny tt hn loi CMOS trc mt cht tuy nhin n li t c s dng do
c nhiu loi CMOS sau thay th loi CMOS tc cao 74HCXX v 74HCTXX.
y l 2 loi CMOS c pht trin t 74CXX.
74HCXX c dng ra ln v tc nhanh hn hn 74CXX, tc ca n tng
ng vi loi 74LSXX, nhng cng sut tiu tn th thp hn. Ngun cho n l t 2V n
6V.
Cn 74HCTXX chnh l 74HCXX nhng tng thch vi TTL nhiu hn nh
ngun vo gn ging TTL: 4,5V n 5,5V. Do 74HCTXX c th thay th trc tip
cho 74LSXX v giao tip vi cc loi TTL rt bnh thng.
Ngy nay 74HC v 74HCT tr thnh loi CMOS hay dng nht m li c th
thay th trc tip cho loi TTL thng dng.
Loi CMOS tin tin 74AC, 74ACT:
Loi ny c ch to ra c nhiu ci tin cng ging nh bn TTL, n s hn
hn cc loi trc nhng vic s dng cn hn ch cng vn l do gi thnh cn
cao.
Chng hn cu trc mch v chn ra c sp xp hp l gip gim nhng nh
hng gia cc ng tn hiu vo ra do chn ra ca 2 loi ny khc vi chn ra ca
TTL.
Khng nhiu, tr hon truyn, tc ng h ti a u hn hn loi 74HC, 74HCT.
K hiu ca chng hi khc mt cht nh 74AC11004 l tng ng vi
74HC04. 74ACT11293 l tng ng vi 74HCT293.
Loi CMOS tc cao FACT:
y l sn phm ca hng Fairchild, loi ny c tnh nng tri hn cc sn
phm tng ng c.

Trang 26
Bi ging K thut xung s GV: Phm Th Lnh

Loi CMOS tc cao tin tin 74AHC, 74AHCT:


y l sn phm mi c nhng Loi PD(mW) TD(ns)
ci tin t loi 74HC v 74HCT, chng 74 10 10
74S 20 3
tn dng c c 2 u im ln nht ca
74LS 2 10
TTL l tc cao v ca CMOS l tiu TTL
74AS 8 2
tn thp do c th thay th trc tip 74ALS 2 4
cho 74HC v 74HCT. 74F 4 3
Bng sau cho php so snh cng 4000 0 100
sut tiu tn v tr hon truyn ca cc 4500 0 100
74C 0 50
loi TTL v CMOS ngun cp in 5V.
CMOS 74HC 0 10
74HCT 0 10
74AC 0 3
74ACT 0 3

Ngoi cc loi trn cng ngh CMOS cng pht trin mt s loi mi gm:
BiCMOS:
y l sn phm kt hp cng ngh lng cc TTL vi cng ngh CMOS nh
tn dng c c 2 u im ca 2 cng ngh l tc nhanh v cng sut tiu tn
thp. N gim c 75% cng sut tiu tn so vi loi 74F trong lc vn gi c tc
v c im iu khin tng ng. N cng c chn ra tng thch vi TTL v
hot ng p ngun 5V. Tuy nhin Bi CMOS thng ch c tch hp quy m
va v ln dng nhiu trong giao din vi x l v b nh, nh mch cht, b m, b
iu khin hay b thu pht.
Loi CMOS in th thp:
y l loi CMOS kh c bit c p ngun gim xung ch cn khong 3V.
Khi p gim s ko theo gim cng sut tiu tn bn trong mch nh mt tch
hp ca mch tng ln, ri tc chuyn mch cng tng ln iu ny rt cn thit
trong cc b vi x l b nh ... vi quy m tch hp VLSI. Cng c kh nhiu loi
CMOS p thp, v y l xu hng ca mai sau, y ch ni qua v mt s loi ca
hng Texas Instruments
- 74LV (low voltage): l lot CMOS in th thp tng ng vi cc vi
mch s SSI v MSI ca cc cng ngh khc. N ch hot ng c vi cc vi
mch 3,3V khc
- 74LVC (low voltage CMOS ): gm rt nhiu mch SSI v MSI nh lot
74. N c th nhn mc 5V cc ng vo nn c th dng chuyn i cc h
thng dng 5V sang dng 3,3V khc. Nu gi dng in ng ra thp in
th ng ra nm trong 1 gii hn cho php, n cng c th giao tip vi cc ng vo
TTL 5V. Tuy nhin p vo cao ca cc CMOS 5V nh 74HC hay 74AHC khin
chng khng th iu khin t cc vi mch LVC.

Trang 27
Bi ging K thut xung s GV: Phm Th Lnh

- 74ALVC (advanced low voltage CMOS ): l lot CMOS in th thp,


ch yu dng cho cc mch giao din bus hot ng 3,3V.
- 74LVT (low voltage BiCMOS): ging nh 74LVC c th hot ng
logic 5V v c th dng nh mch s chuyn mc 5V sang 3V.
Bng sau so snh mt s c tnh ca cc loi CMOS p thp:
Thng s LV LVC ALVC LVT

VCC 2,7 n 3,6V 2 n 3,6V 2,3 n 3,6V 2,7 n 3,6V


VIH 2 n VCC+ 0,5 2 n 6,5 2 n 4,6 2 n 7
VIL 0,8 0,8 0,8 0,8
IOH 6 24 32 32
IOL 6 24 64 64
Tr hon truyn 18 6,5 3 3

b, Mt s mch logic dng h CMOS:

+VDD +VDD

+VDD S
G
D2
A DA'
T2 TA
DA D
G2
+VDD S
G
A DB'
B
S2 Z
DB TB D
D1
Z

T1 D
G1
S1 TA TB TB

S S
a,
b,

Hnh 2.3. Cng logic dng cng ngh CMOS.


a, Cng NOT; b, Cng NOR.
Mch hnh 2.3.a, l dng cng NOT dng cng ngh CMOS ( y c cp
MOSFET knh cm ng T1 loi knh N, T2 loi knh p c ch to theo ki sinh i)
Nu khng s dng in tr ti RD mc cc mng ca T1, RD c gi tr vi chc k
v gi tr RD ln m bo c tnh chuyn mch lc tnh tt do cng sut tieu th lc T 1
ni mch nh v mc in th thp (Z = 0) ng vi V Z c gi tr nh, vic chuyn
trng thi ca T1 c dc t mc 1 v mc 0 ln nn thi gian tr nh, chng nhiu

Trang 28
Bi ging K thut xung s GV: Phm Th Lnh

tt. Tuy nhin khi ti c tnh in dung (C ti) (thng gp trong thc t c bit vi
nhm cng ngh MOS, khi ghp lin tip cc cng MOS li), do qu trnh np ca C ti
qua RD t mc Z = 0 n mc Z = 1 xy ra chm v R D ln gy nh hng nghim
trng ti vic chuyn t mc thp ti mc cao ca V Z (chuyn gi tr ca Z t o ln 1).
V cc l do ngi ta thay th RD bng ti T2.
Mch hnh 2.3.a, T2 l loi MOS knh P cm ng, cc cc ca G 1, G2 ni
chung v lm u vo, cc cc D1 , D2 ni chung thnh u ra, khi lm vic S 2 ni cc
dng ngun +VDD cn S1 ni vi 0V. Chn VDD > VP1 + VP2 (VP1 v VP2 l cc in
p m ca T1 v T2 ). Khi A = 0 (VA mc thp) T1 ngt mch do VGS2=
- VDD>VP2 lm T2 ni mch, in th u ra mc rt cao Z = 1. Cn khi A = 1
(VA mc cao hn gi tr VP1) T1 ni mch lm VGS2 = 0 v T2 ngt mch in th u
ra mc thp.
Nh vy vi mi gi tr A (bng 0 hay bng 1) trong ct T 1, T2 lun c mt
phn t ngt mch, dng in tnh i qua chng xp x bng v cng sut ti hao ch
tnh t nh ti c 10 -6 n 10-7W rt c u im trong ch to tch hp chng vi
mt ln thnh IC s, y l cng ngh tin tin nht ca IC s.
Mch hnh 2.3.b, s dng 2 cp FET TA, TA v TB, TB ch to theo cng ngh
CMOS. Mch lm vic nh sau: khi c t nht mt u vo mc cao (V d A = 1) T A
ni mch v Z mc thp (Z = 0). Khi A = B = 0 c T A v TB ngt mch Z, chuyn ln
mc in th cao (Z = 1). Cng hot ng tun theo qui lut ca bng chn l hm NOR.
ng nt t l cc u vo A, B s dng cc diode D A, DA v DB, DB c
nhim v bo v ca vo chng b nh thng lp in mi cch ly cc G v knh hay
nh thng tnh in vi lp ny.
b, Cc IC CMOS:
C rt nhiu IC loi CMOS c m s v chc nng logic tng t nh cc IC TTL
chng hn bn TTL IC 4 cng nand 2 ng vo l 7400, 74LS00, 74AS00,... th bn CMOS
cng tng t c 74C00, 74HC/HCT00, 74AC11000,... Tuy nhin khng phi tt c bn
TTL c th bn CMOS cng c. CMOS cng cn c nhng loi ring, chng hn vi cng
ny schmitt trigger ngoi 74HC/HCT14 gm 6 cng o, 74HC/HCT132 gm 4 cng
nand 2 ng vo cn c 4014, 4534 cng gm 6 cng o, 4093 cng gm 4 cng nand 2
ng vo; hay 4066 l cng truyn 2 chiu s tng t vv...

Trang 29
Bi ging K thut xung s GV: Phm Th Lnh

Hnh 2.4. S chn ca mt s IC CMOS.


2.2. Cng ngh lng cc:
Trc khi i vo cu trc ca mch TTL c bn, xt mt s mch in cng c
kh nng thc hin chc nng logic nh cc cng logic trong vi mch TTL:
2.2.1 H DR: (Diode resistor)

Mch hnh 2.5 hot ng nh mt cng


AND. Tht vy, ch khi c hai u A v B u ni
vi ngun, tc l mc cao, th c hai diode s
ngt, do p u ra Y s phi mc cao. Ngc
li, khi c bt c mt u vo no thp th s c
diode dn, p trn diode cn 0,6 hay 0,7V do ng
ra Y s mc thp.
Hnh 2.5. Cng AND

2.2.2 H RTL: (Resistor Transistor Logic):


Hnh 2.6 l mt mch thc hin chc nng ca mt cng logic bng cch s
dng trng thi ngt dn ca transistor.
Hai ng vo l A v B, ng ra l Y.

Trang 30
Bi ging K thut xung s GV: Phm Th Lnh

Phn cc t hai u A, B Q hot ng


trng thi ngt v dn bo ho:
Cho A = 0, B = 0 Q ngt, Y = 1
A = 0, B = 1 Q dn bo ho, Y = 0
A = 1, B = 0 Q dn bo ho, Y = 0
A = 1, B = 1 Q dn bo ho, Y = 0
Hnh 2.6. Cng RTL

C th tm tt li hot ng Nghim li thy mch thc hin chc


ca mch qua bng di y nng nh mt cng logic NOR.
V c cu to ng vo l in tr, ng ra
A B C
l transistor nn mch NOR trn c xp vo
0 0 1 dng mch RTL.
0 1 0 Vi hnh trn, nu mch ch c mt ng
1 0 0 vo A th khi ny s c cng NOT, cn khi
thm mt tng transistor trc ng ra th s c
1 1 0
cng OR.

2.2.3 H DTL: (Diode Transistor Logic):


c cng logic loi DTL, ta thay hai R bng hai diode ng vo ca mch
RTL (hnh 2.7).

Khi A thp, B thp hay c 2 thp


th diode dn lm transistor ngt do ng ra
Y cao.
Khi A v B cao th c hai diode ngt
=> Q dn => y ra thp
R rng y l 1 cng NAND dng
DTL (diode u vo v transistor u ra).
Hnh 2.7. Cng DTL

2.2.4 H ECL (Mch logic ghp cc pht EMITTER COUPLER LOGIC)


c im: Cc transistor u lm vic ch khng bo ha. Do vy tc
chuyn bin nhanh (tc.mch < 1ns), cng sut tiu th ln (trung bnh 25mW/cng), kh
t c mt tch hp cao. Ch to phc tp. in p ngun -5,2V, khng tng
hp vi cc h khc v mt logic.

Trang 31
Bi ging K thut xung s GV: Phm Th Lnh

R1 R3 R5

A T1
T6

A
RA T5

Z =A + B
B T2 T4
T1 Z1 =A +B

T2 T3
B
D1
RB D2 Z2 =A +B

a, A B R2 R4 R6 R7 R8

b,

Hnh 2.8. Cng logic dng cng ngh CMOS.


a, Cng NOT; b, Cng NOR.
mch 2.3.a, T1, T2 l hai transistor ti hai cng ra ca 2 vi mch khi u hai
u ra ca chng vi nhau ta c Z = A + B. Khi s dng ECL ch c th dng 1 IC
vi 2 cng vo A v B v 2 cng ra trong c mt cng ra thc hin hm Z, (cng ra
cn li thc hin hmZ ).
mch 2.3.b, l cng OR NOR c tc lm vic nhanh nht trong cc loi
cng logic. T1, T2 l transistor u vo, T3 l transistor chun kt hp vi T1 hoc T2
to nn tnh cht vi sai, T4 kt hp b chia p R5, R6 v hai diode n nh nhit. D1,
D2 c nhin v to ra mt in p chun c gi tr 1,175V ti cc E ca T 4 UBB =
-1,175V (y l gi tr in p c chn k tha mn cc mc logic v chng
tp m), T5, T6 l cc transistor u ra Z2 v Z1 tng ng.
Khi A v B in th rt thp (< - 1,25V tng ng A = B = 0) T 1 v T2 ngng
dn in, T3 dn dng (nhng khng bo ha) c VC3 - 1V v VE3 1,9V (do UBB duy
tr) u ra Z1 khi mc thp: VC3 VBE6 -1,65V ng thi u ra Z 2 A B
mc in th cao (- 0,75V) v VC1 = VC2 = 0V.
Khi c mt u vo (v d A) c in th bt m i (A = 1) T 1 n in v
in th VBE1 dng IC1 IC3 dn ti V C1 VC3 ti lc T 3 ngng dn (khi V A t c
- 1V) u ra Z 1 nng ln mc 0,75V (Z = A + B = 1), cn u ra Z 2 A B c
in th gim ti 1,65V ng vi gi tr Z 2 = 0. Hon ton tng t vi B = 1 hay
A = B = 1, l bng chn l ca cng NOR (vi Z 2) v cng OR (vi Z 1).
Nh cp T5, T6 tng n tr ra ca mch (mc CC) nh c 15 nh c tc
ng nhanh, c hai u ra lin hp thun tin cho vic s dng, c tng tr u vo
ln (c 100K). Tuy nhin cu trc 2.3b dng ngun m mch phc tp v kh phi
kt hp vi cc cng logic khc.

Trang 32
Bi ging K thut xung s GV: Phm Th Lnh

Cc mch RTL, DTL trn u c kh nng thc hin chc nng logic nhng ch
c s dng dng n l khng c tch hp thnh IC chuyn dng bi v ngoi chc
nng logic cn phi m bo ngi ta cn quan tm ti cc yu t khc nh:
- Tc chuyn mch (mch chuyn mch nhanh v hot ng c tn
s cao khng).
- Tn hao nng lng khi mch hot ng (mch nng, tiu tn mt nng
lng di dng nhit).
- Kh nng giao tip v thc ti, thc mch khc.
Kh nng chng cc loi nhiu khng mong mun xm nhp vo mch, lm sai
mc logic.
2.2.5 H TTL (TRANSISTOR - TRANSISTOR LOGIC)
H ny c dng rng ri trong mi lnh vc v tr thnh mt tiu chun:
gi l s tng hp TTL xt cc h khc v mc logic:
0 : 0V 0.8V
1 : 2.4V 5V
c im: transistor lm vic trong ch bo ha n thi gian tr ln khong
vi nan giy, cng sut tiu th nh hn cng sut tiu th ca mch ECL vi ln; mt
tch hp cao. TTL ch dng mt ngun in p nui duy nht l 5V.
Mt s k hiu ca h TTL:
Theo nhit ta c cc loi sau:
74 : 00C 700C
84 : -250C 850C
54 : -550C 1250C
Qu trnh ci tin TTL di theo 2 hng chnh:
Tng tc : Dng diode Schottky (t bi ghp mt cht bn dn v kim loi).
c im ca diode Schottky l thi gian tr rt nh. Nh diode, transistor khng lm
vic c bo ha do vy tng tc ln (3ns) nhng li tiu tn in nng gp i
(20mW/cng). Mi nht l TTL AS(Avanced Schottky) nhanh gn bng ECL
(1,2ns).
Gim cng sut tiu th t hn 10 ln (1mW/cng) song li chm hn 3 ln (30ns).
Kt hp c hai hng ci tin ny c:
TTL LS (10ns, 2mW/cng)
TTL ALS (3ns, 1.25mW/cng)
Ngoi ra ngi ta cng ch to cc TTL cng sut cao dng cho cc mch i
hi cng sut ln. V d: 74H00.

Trang 33
Bi ging K thut xung s GV: Phm Th Lnh

TTL l loi vi mch ang c s dng rng ri cc mch ra ca h TTL c


nhiu loi khc nhau.
VCC

R2 R5

R1 T3

T4

T2
A Z =A.B
DA

DB T5

B
R3 R4

Hnh 2.9. Cng NAND dng cng ngh TTL.


Mch in hnh 2.4 l cu trc cng NAND loi TTL gm 3 phn chnh: T 1
(loi transistor nhiu cc E) kt hp R 1 c chc nng 1 cng AND c hai ca vo A v
B, T2 kt hp vi R2 v R3 to ra mt tng khuch i m o pha phn ti ch
ng ngt lm ngun tn hiu iu khin mch in tng ra T 4 v T5 lm vic ch
ng ngt kiu y ko (lun phin nhau) nh hai tn hiu ngc pha nhau xut
hin trn cc C v E ca T2. Khi c t nht mt u vo mc thp, T 1 s dn in bo
ha theo u vo ny ko theo T 2 v T5 ngn mch, T3 v T4 ni mch u ra mc
cao (Z = 1).
Khi tt c u vo mc cao (A = B = 1) T 1 ngt mch y T2 v T5 ni mch,
T3 v T4 ngn mch, u ra mc thp (Z = 0) chnh l chc nng ca cng NAND.
Vic tnh ton chi tit vi gi thit khi A mc thp V A = 0,3V th VB1 = 1V v IB1 =
1,33mA, T1 bo ha su vi VCE1 0,1V v VB2 = VA + VCE1 = 0,4V lm T2 v T5 ngt,
T3 v T4 ni mch IB3 rt nh nn VB3 5V, do VZ = VB3 VB4 = 5V 1,4V = 3,6V
tc l Z mc cao (Z = 1).
nng cao cht lng cng NAND (vi vai tr l cng ph bin nht trong
cc cng c bn nu) v cc mt: tc chuyn trng thi nhanh, tiu hao nng
lng t, nng lc chng nhiu tt v tng mt thch hp phi ghp trong IC s,
ngi ta tm cch ci tin mch hnh 2.4 theo hng chng bo ha cho T 1 T2 T3 v T5
nh diode Schottky phn dng IB transistor, b sung mt transistor T5 lm ngun
phng in cho base ca T5 nh tng dc ca c tnh tryn t in p.
Quy m tch hp cc IC TTL:
Cc mch cng logic nh trn c tch hp li thnh mt mch t hp bn dn
rt rt nh v c t vo gia mt v bc, c dy kim loi ni ra ngoi cc chn.

Trang 34
Bi ging K thut xung s GV: Phm Th Lnh

Thng th vi mch cng nand nh trn s c bn mch nh th c tch hp


trong mt v bc, chng thuc loi tch hp c nh: small scale integration (SSI), mt
s IC c bit c s cng ln hn mt cht hay quy m phc tp hn nn thuc loi
tch hp c va: medium scale integration (MSI). Khi nm trong IC tch hp, s sp
xp mch v cc chn ra vo cho loi cng chun ny (v d vi loi cng nand) s l:

Hnh 2.10: Minh ha mt cng NAND.


C nhiu mch khc s tch hp nhiu cng hn v tt nhin thnh phn chnh
ca nhng mch ny s l cc transistor v quy m tch hp c th t hng trm n
hng trm triu transistor trn mt phin bn dn, ch c t trong mt v bc khng
ln qu vi xen ti mt vung. Chng hn

Cc mch chuyn i m, dn tch knh, mch logic v s hc m chng ta s


tm hiu phn sau thuc loi tch hp c va, mt s l loi tch hp c ln : large
scale integration (LSI) v cu trc mch gm khong t 12 n 100 cng c bn (MSI)
hay 100 n 1000 cng c bn (LSI)
Cc mch nh, vi iu khin, vi x l, lp trnh c th tch hp t hng ngn n
hng triu cng logic trong n v c xp vo loi tch hp c rt ln (VLSI) siu ln
(ULSI).

Trang 35
Bi ging K thut xung s GV: Phm Th Lnh

CHNG 3: MCH T HP
Trong phn ny chng ta s xt ti cc cng logic t hp c tch hp trong
mt chip (IC) c va (MSI) c cha khong vi chc n vi trm cng logic c bn
xt chng 2. Nhm linh kin ny c ch to nhm thc hin mt s cc hot
ng truyn ti bin i cc d liu thng tin nh phn, x l chng theo mt cch thc
no , bao gm cc nhim v ch yu sau:
M ha v gii m cc lung k t nh phn (gi chung l cc qu trnh bin i
m) m phn l lun ra trong chng 1.
Dn knh v phn knh chn hoc chia tch cc lung s nh phn theo
nhng yu cu nht nh nh tuyn cho chng trong vic truyn d thng tin.
Cc php so snh s nh gi nh tnh v nh lng trng s ca s nh
phn.
Truyn d liu thng qua knh thng tin chung.
Logic ti hp c th lp trnh c PLA.
Quan st v phn tch x l li trong cc mch s
Bi 1: KHI NIM CHUNG
1.1. Phn loi: cn c vo cc c im v chc nng logic, cc mch s c
chia thnh 2 loi chnh: mch t hp v mch tun t.
Khi tr s n nh ca tn hiu u ra mt mch logic mt thi im bt k ch
ph thuc vo t hp cc gi tr ca tn hiu s u vo thi im ta gi l
mch t hp. Cc trng thi ca mch in trc thi im xt (trc khi c tc
ng u vo) khng nh hng g n trng thi u ra. c im quan trng l
mch t hp c cu to t cc cng logic c bn xt.
1.2. Nhim v: hai nhim v chnh ca mch t hp cn quan tm l:
1. Phn tch cc chc nng logic ca mt mch ang xt c sn gm cc bc
chnh sau:
T yu cu thc t ta lp bng trng thi m t hot ng ca mch, vit biu
thc hm tun t t u vo n u ra.
Dng cc phng php ti thiu ti thiu ha hm i s logic.
Thit k mch logic t hp.
2. Thit k mch logic t hp: Xut pht t bi ton nhim v logic mun t
c, tng bc tm ra mch in t s thc hin.
Qu trnh thit k mch gm 4 bc chnh sau:
Phn tch cc yu cu (vn logic thc) xc nh cc bin s u vo biu
din i tng trng thi (nguyn nhn, kch thch), xc nh hm s u ra (kt
qu cn c, ti). Xc nh mi quan h hm - bin l loi quan h logic g

Trang 36
Bi ging K thut xung s GV: Phm Th Lnh

Lit k bng chn l gia cc trng thi i tng (bin) v qua quan h logic
xc nh trng thi hm kt qu, thay gi tr 0,1 cho trng thi hm v bin (iu ny
cho ngi thit k qui nh).
Tin hnh ti thiu ha hm ra c.
V s logic t cc cng c bn.

V d: Cn thit k mt mch in C
c yu cu nh sau: gm 2 cng tc ni
A B Z
tip A v B, mt cng tc C u song song
vi A v B ti 1 thp sng n Z. Hnh
3.1.
Hnh 3.1

Ta lit k bng chc nng (bng 3.1a), sau gn cho cc trng thi ca A, B,
C tr 0 khi ngt, tr 1 khi ni ta c bng chn l (bng 3.1b). T bng 3.1b ta c
hm:
Z = AB C + A B C + AB C + A BC + A B C.

Bng 3.1a Bng 3.1b

Bin logic Hm logic Hm


Bin logic
logic
Cng tc Cng tc Cng tc
Bng n Z
A B C A B C Z

Ngt Ngt Ngt Tt 0 0 0 0

Ngt Ngt Ni Sng 0 0 1 1

Ngt Ni Ngt Tt 0 1 0 0

Ngt Ni Ni Sng 0 1 1 1

Ni Ngt Ngt Tt 1 0 0 0

Ni Ngt Ni Sng 1 0 1 1

Ni Ni Ngt Sng 1 1 0 1

Ni Ni Ni Sng 1 1 1 1

Biu din hm Z theo bng Karnaung v hm Z sau khi ti thiu nh sau:


Z = A.B + Z

Trang 37
Bi ging K thut xung s GV: Phm Th Lnh

AB
C 00 01 11 10
0 0 0 1 0 A
B Z
1 1 1 1 1 C

V d 2: Ta hy xt bi ton khi ch c cng tc A ni tip vi cng tc B iu


khin n Z.
Sau khi lit k cc trng thi v lp bng chn l , ta c:
Trng hp 1, ta c bng 3.2b khi:
Trng thi tt v ngt biu din gi tr 0.
Trng thi ni v sng biu din gi tr 1.
Bng 3.2. Bng chn l hm ra Z.

Cng Cng Bng A B Z1 A B Z2 A B Z3 A B Z4


tc A tc B Z
0 0 0 1 1 1 0 0 1 1 1 0
Ngt Ngt Tt
0 1 0 1 0 1 0 1 1 1 0 0
Ngt Ni Tt
1 0 0 0 1 1 1 0 1 0 1 0
Ni Ngt Tt
1 1 1 0 0 0 1 1 0 0 0 1
Ni Ni Sng
b, Z1 A.B c, Z 2 A B d, Z 3 A.B Z4 A B
a,

Trng hp 2, ta c bng 3.2c khi:


Gi tr 0 biu th ni v sng.
Gi tr 1 biu th ngt v tt.
Trng hp 3, ta c bng 3.2d khi:
Gi tr 0 biu th ngt v sng.
Gi tr 1 biu th ni v tt.
Trng hp 4, ta c bng 3.2e khi:
Gi tr 0 biu th ni v tt.
Gi tr 1 biu th ngt v sng.
Kt qu l quan h hm Z c th l Z 1 hoc Z2 hoc Z3 hoc Z4 ty thuc bi
ton ta gn cho cc trng thi ni, ngt, sng, tt cc gi tr 0 v 1 quy c khc
nhau. Thng phi xut pht t trng thi tch cc (cn quan tm) ca Z l cao hay
thp quy nh cho hp mc ch.

Trang 38
Bi ging K thut xung s GV: Phm Th Lnh

Bi 2: CC MCH T HP THNG DNG


2.1. Mch cng nh phn:
Mch cng s hc l mch in t c bn t c th thc hin cc php ton
s hc khc trong s nh phn. y l c s xy dng n v lun l v s hc (ALU)
trong P (micro Processor) hoc CPU (Centre Processing Unit) trong cc thit b s.
2.1.1. Mch bn tng: (HA: Half Adder)
Mch bn tng thc hin cng hai s nh phn t nhin 1 bit c bng chn l v
mch thc hin cho trn hnh 3.2 gm 2 u vo s liu l a, b , hai u ra l s (tng)
v c l s nh.
Da vo bng trng thi ca mch ta c phng trnh logic:
S = a.b + a .b = a.b + b.b + a .b + a.a.
= b (a + b) + a (a + b) = (a +b)(a + b)
S = ab (a + b) = a b
C = a.b

a s
a
2
s
Bng trng thi
1
b 3
HA
b c
2 a b s c
Hnh 3.2: 1
c
0 0 0 0
3
Mch cng 1 bit. 0 1 1 0
Hnh 3.3: 1 0 1 0
1 1 0 1
S mch cng bn phn.

2.1.2. Mch cng y : (FA: Full Adder)


S khi v bng trng thi ca mch:

an bn cn-1 sn cn
0 0 0 0 0
0 1 0 1 0
1 0 0 1 0
1 1 0 0 1
0 0 1 1 0
0 1 1 0 1
Trong : 1 0 1 0 1
1 1 1 1 1
Cn+1: s nh ca ln cng trc .
Cn : s nh ca ln cng hin ti.
Sn : Tng hin ti.
T bng trng thi m t hot ng ca mch ta vit c phng trnh logic:

Trang 39
Bi ging K thut xung s GV: Phm Th Lnh

Sn = f(an, bn , cn-1)
Cn = f(an, bn , cn)
Lp bng Karnaugh v ti thiu ha, ta c:

Sn anbn Cn anbn
Cn-1 00 01 11 10 Cn-1 00 01 11 10
0 0 1 0 1 0 0 0 1 0
1 1 0 1 0 1 0 1 1 1

S n a n bn C n 1 a n bn C n 1 a n bn C n 1 a n bn C n 1
S n a n bn C n 1

C n a n C n 1 bn C n 1 a n bn
C n a n bn C n 1 (a n bn )

S dng HA thc hin FA:

Hnh 3.4. Mch cng ton phn t b bn tng.


Mt s IC lm php cng ton phn: 7480 (1bit), 7482 (2bit),
7483/LS83/283 (4bit):
V d: xt qua IC 74LS83:

Hnh 3.5: K hiu khi v chn ra 74LS83


Trong 2 s 4 bit vo l A4A3A2A1 v B4B3B2B1
S nh ban u l C0
Vy tng ra s l C4S4S3S2S1, vi C4 l s nh ca php cng

Trang 40
Bi ging K thut xung s GV: Phm Th Lnh

Ta cng c th ni chng IC cng li vi nhau cho s bit gp i. Khi bit


MSB (C4) ca tng u c ni ti ng vo nh ban u (C0) ca tng sau.

Hnh 3.6. Mch logic ca 74LS83.


Bng s tht ca mch cng 4 bit 74LS83

Cng ni tip:
Ngoi cch cng song song nh thy trn, cn mt dng mch cng s
nhiu bit na gi l mch cng ni tip.

Hnh 3.7 Mch cng 4 bit ni tip.


Khi ny 2 bit LSB ca cc s c cng trc, bit LSB ca tng c a ra 1
ghi dch cn s nh s quay tr v cng chung vi 2 bit k tip bit LSB v c vy cho

Trang 41
Bi ging K thut xung s GV: Phm Th Lnh

n 2 bit cui cng c cng. Mch ghi dch ng ra dch chuyn sang phi qua mi
ln cng s cho ra kt qu cng s nh cui cng tr thnh bit MSB ca tng ra. R
rng mch thc hin php tnh chm hn so vi cng song song, n cng cn 1 xung
nhp gi cho cc mch lm vic ng b.
2.2. Mch dn knh (MUX):
Lm sao 8 ngi 1 u ni v nghe c 8 ngi u bn kia cng mt
lc?. Ta khng th dng 8 ng dy kt ni cho 8 ng tn hiu c v tn km,
b nhiu gia cc ng dy hay suy gim tn hiu trn ng dy c bit khi khong
cch truyn xa ln hay c nhiu hn s ng cn truyn (16, 32, 100,). C 1 cch
l ghp cc ng tn hiu li vi nhau gim bt s ng truyn v r rng bn
nhn c cng phi tch ng nhn c tr li 8 ng tn hiu ban u nhng
khng ln ln gia cc ng tn hiu ghp li th cn phi t cho mi ng mt m
ring.
Mch in t thc hin chc nng ghp nhiu ng li vi nhau c gi l
mch dn knh cn mch in t s tch ng nhn c ra nhiu ng tn hiu ban
u c gi l mch tch knh. Mch dn knh v tch knh ngy nay c s dng
rt rng ri trong nhiu lnh vc hin i lin quan trc tip ti in t nh ghp tch
knh in thoi, knh truyn hnh, truyn d liu ni tip, mng truyn internet,
Vi tn s hot ng c ca cc IC mch s hng Mhz tr ln nn cho php
ghp truyn c rt nhiu ng tn hiu v d liu i coi nh l ng thi. Phn ny
ta s tm hiu v cc mch dn knh, tch knh dng IC s v nhng ng dng lin
quan.
Vy mch dn knh l g?
Mch dn knh hay cn gi l mch ghp knh, a hp (Multiplexer-MUX) l
1 dng mch t hp cho php chn 1 trong nhiu ng ng vo song song (cc knh
vo) a ti 1 ng ra (gi l knh truyn ni tip). Vic chn ng no trong cc
ng ng vo do cc ng chn quyt nh.
Ta thy MUX hot ng nh 1 cng tc nhiu v tr c iu khin bi m s.
M s ny l dng s nh phn, tu t hp s nh phn ny m bt k thi im no
ch c 1 ng vo c chn v cho php a ti ng ra.
Cc mch dn knh thng gp l 2 sang 1, 4 sang 1, 8 sang 1, Ni chung
n
l t 2 sang 1. Mc di s ni n mch dn knh 4 sang 1Xt mch chn knh n
gin c 4 ng vo v 1 ng ra nh hnh v bn:
a, Mch dn knh 4 sang 1:

Trang 42
Bi ging K thut xung s GV: Phm Th Lnh

Hnh 3.8. Mch dn knh 4 sang 1 v bng hot ng.


Mch trn c 2 ng iu khin chn l S0 v S1 nn chng to ra 4 trng thi
logic. Mi mt trng thi ti mt thi im s cho php 1 ng vo I no qua
truyn ti ng ra Y. Nh vy tng qut nu c 2n ng vo song song th phi cn n ng
iu khin chn.
Cng ni thm rng, ngoi nhng ng nh trn, mch thng cn c thm ng
G: c gi l ng vo cho php (enable) hay xung nh du (strobe). Mch t hp c
th c 1 hay nhiu ng vo cho php v n c th tc ng mc cao hay mc thp. Nh
mch dn knh trn, nu c thm 1 ng cho php G tc ng mc thp, tc l ch
khi G = 0 th hot ng dn knh mi din ra cn khi G = 1 th bt chp cc ng vo
song song v cc ng chn, ng ra vn gi c nh mc thp (c th mc cao tu dng
mch)
Nh vy khi G = 0
S1S0 = 00, d liu I0 s a ra Y
S1S0 = 01, d liu I1 s a ra Y
S1S0 = 10, d liu I2 s a ra Y
S1S0 = 11, d liu I3 s a ra Y
Do biu thc logic ca mch khi c thm ng G l
Y =G.S1S0I0 + G.S1SI1 + G.S1S0I2 + G.S1S0I3
Ta c th kim chng li biu thc trn bng cch : t bng trng thi trn,
vit biu thc logic ri rt gn (c th dng phng php rt gn dng ba Karnaugh.
V sau bn c th xy dng mch dn knh trn bng cc cng logic. Cu
to logc ca mch nh sau: (lu l trn hnh khng xt n chn cho php G).
Nhn thy rng t hp 4 cng NOT a 2 ng iu khin chn S0, S1 vo
cc cng AND chnh l 1 mch m ho 2 sang 4, cc ng ra mch m ho nh l xung m
cng AND cho 1 trong cc ng I ra ngoi. Vy mch trn cng c th v li nh sau:

Trang 43
Bi ging K thut xung s GV: Phm Th Lnh

Hnh 3.8 Cu trc mch dn knh Hnh 3.9 Dn knh 4 sang 1


4 sang 1 t b gii m 2 sang 4

b, Mt s IC dn knh hay dng:

Hnh 310. K hiu khi ca mt s IC dn knh hay dng.


74LS151 c 8 ng vo d liu, 1 ng vo cho php G tc ng mc thp, 3
ng vo chn C B A, ng ra Y cn c ng o ca n : Y. Khi G mc thp n cho
php hot ng ghp knh m chn CBA s quyt nh 1 trong 8 ng d liu c
a ra ng Y. Ngc li khi G mc cao, mch khng c php nn Y = 0 bt chp
cc ng chn v ng vo d liu.

Hnh 3.11. K hiu khi v chn ra ca 74LS153


74LS153 gm 2 b ghp knh 4:1 c 2 ng vo chn chung BA mi b c ng
cho php ring, ng vo v ng ra ring. Tng t ch khi G mc 0 ng Y mi ging
1 trong cc ng vo tu m chn.

Trang 44
Bi ging K thut xung s GV: Phm Th Lnh

74LS157 gm 4 b ghp knh 2:1 c chung ng vo cho php G tc ng


mc thp, chung ng chn A. Ng vo d liu 1I0, 1I1 c ng ra tng ng l 1Y, ng
vo d liu 2I0, 2I1 c ng ra tng ng l 2Y, Khi G thp v A thp s cho d
liu vo ng nI0 ra nY (n = 1,2,3,4) cn khi A cao s cho d liu vo nI1 ra
nY. Khi G = 1 th Y = 0.
Bng s tht ca 74LS53

Hnh 3.12 Cu to bn trong ca 74LS153


c, ng dng:
M rng knh ghp:
Cc mch ghp knh t ng vo c th c kt hp vi nhau to mch ghp
knh nhiu ng vo. V d to mch ghp knh 16:1 ta c th dng IC 74LS150
hoc cc IC tng t, nhng c 1 cch khc l ghp 2 IC 74LS151.
S ghp nh sau:

Trang 45
Bi ging K thut xung s GV: Phm Th Lnh

Hnh 3.12. Hai cch m rng knh ghp 16 sang 1 t IC74LS151


(74LS151 l IC dn knh 8 sang 1)
Chuyn i song song sang ni tip:
Cc d liu nh phn nhiu bit, chng hn m ASCII, word,... thng c x l
song song, t l tt c chng c lm 1 lc. Trong my tnh, d liu c di chuyn
t ni ny n ni khc cng 1 lc trn cc ng dn in song song gi l cc bus.
Khi d liu c truyn i qua khong cch di chng hn hng chc mt th cch
truyn song song khng cn thch hp v tn nhiu ng dy, ri nhiu, .... Lc ny
mch dn knh c th dng nh mch chuyn i song song sang ni tip tng t
nh mch ghi dch m ta xt phn trc.
Cch ni:

Hnh 3.13 Chuyn i d liu truyn t song song sang ni tip.


Mch hnh trn cho php truyn d liu 16 bit trn ng truyn ni tip
thng qua IC dn knh 74LS150. Tt nhin cn 1 mch m to m s nh phn 4

Trang 46
Bi ging K thut xung s GV: Phm Th Lnh

bit cho 4 ng chn ca mch dn knh (chng hn 74LS93). Mch m hot ng


khin m chn thay i t 0000 ri 0001, ri n 1111 v li vng tr li 0000 m ln
tip khin d liu vo song song c chuyn i lin tip sang ni tip. Cng cn
phi c mt mch dao ng to xung kch cho mch m, nu tn s dao ng to
xung kch cho mch m rt ln th d liu c lun chuyn nhanh, v vi tc ln
nh vy vi cm nhn ca con ngi th d liu dng nh c truyn ng thi.
Nguyn l ny c p dng cho ghp knh in thoi v nhiu ng dng khc.
Dng dn knh thit k t hp:
Cc mch dn knh vi hot ng logic nh xt trc ngoi cch dng
ghp nhiu ng ng vo cn c th dng thit k mch t hp i khi rt d dng v:
- Khng cn phi n gin biu thc nhiu.
- Thng dng t IC.
- D thit k.
Bi ton thit k mch t hp nh bng di y cho thy r hn iu ny.

Trang 47
Bi ging K thut xung s GV: Phm Th Lnh

V d: Thit k mch t hp tho bng s tht sau:

T bng s tht ta c biu thc logic l:


Y=ABC+ABC+ABC+ABC
y l biu thc thuc dng tng ca cc
tch. Nh cch thit k trc ta s s
dng cc cng logic gm 3 cng NOT, 4
cng NAND, 1 cng OR, cn nu chuyn
sang dng ton cng NAND khng th
phi cn ti 3 cng NAND 2 ng vo, 4
cng NAND 3 ng vo v 1 cng NAND
4 ng vo cha k l phi n gin biu
thc nu c th trc khi thc hin.

By gi ta s s dng IC
dn knh 8 sang 1. 3 ng vo A, B,
C s c ni ti 3 ng chn ca
IC, cn c vo th t t hp trong
bng nu Y l 0 th s phi ni ng
vo ghp knh tng ng xung
mass, cn nu Y l 1 th ni ng
vo ghp knh tng ng ln ngun
(c th qua R gi tr 1K). Hnh 3.14
s minh ho cho cch ni trn v Hnh 3.14. Thit k t hp
nu bn kim tra li s thy mch dng mch dn knh.
hon ton tho iu kin ra ca
bi ton.
2.3. Mch phn knh (DEMUX): (Phn knh: demulliplexer DEMUX)
B DEMUX hot ng ngc li vi MUX ti mi thi im ch tip nhn mt
u vo d liu v theo tn hiu chn a ch s phn phi d liu ny ti mt trong
nhiu u ra ca n. Nh vy m hnh hot ng ca DEMUX ging nh nh mt
chuyn mch hnh 3.9 c nhiu tip im, tn hiu ti cng vo d liu ch c php
phn phi (truyn) ti 1 trong s N u vo do m a ch a ti u vo chn la
quyt nh u ra no c chn. Vi N u ra ta s cn n bit to m a ch cho
u ra vi 2n N trong cc b phn phn phi d liu s.
Xt mch phn ng n gin c 1 ng vo v 4 ng ra k hiu nh sau:

Trang 48
Bi ging K thut xung s GV: Phm Th Lnh

Hnh 3.15 Mch phn ng n gin t 1 4


Trong :
x l knh d liu vo.
y1, y2, y3, y4 : l cc ng ra d liu.
c1, c2 : l cc ng vo iu khin.
Ty thc vo t hp tn hiu iu khin tc dng vo m ln lt tn hiu t ng
vo x s chuyn n ng ra y1, y2, y3, y4 mt cch tng ng.
Lc bn trng thi m t hot ng ca mch:
c1 c2 y1 y2 y3 y4
0 0 x 0 0 0
0 1 0 x 0 0
1 0 0 0 x 0
1 1 0 0 0 x
Phng trnh logic cc ng ra:
y1 = c1. c2 .x
y2 = c1. c2.x
y3 = c1c2.x
y4 = c1.c2.x
2.3.1. S mch:
c1 c2

y1
1

y2
2
x
y3
3

y4
4

Hnh 3.16. S thc hin mch phn ng.


2.3.2. Nguyn l hot ng:

Trang 49
Bi ging K thut xung s GV: Phm Th Lnh

Khi c1 = c2 = 0 c1 =c2 = 1 cng AND 1 c hai ng vo iu khin mc


logic 1, cng tng ng ci 1 ng vo iu khin mc logic 1 nn cng AND 1 m
a d liu t ng vo x n ng ra y1. ng thi lc cc cng AND 2, 3, 4 c t nht
mt ng vo iu khin mc logic 0 nn khng cho d liu t u vo x n cc ng
ra.
Khi c1 = 0; c2 = 1 c1 = 1, c2 = 0 cng AND 2 c hai ng vo iu khin
mc logic 1, cng tng ng ci 1 ng vo iu khin mc logic 1 nn cng AND 2
m a d liu t ng vo x n ng ra y2
Khi c1 = 1; c2 = 0 c1 = 1,c2 = 1 cng AND 3 c hai ng vo iu khin
mc logic 1, cng tng ng ci 1 ng vo iu khin mc logic 1 nn cng AND 3
m a d liu t ng vo x n ng ra y3.
Khi c1 = 1; c2 = 1 c1 = c2 = 1 cng AND 4 c hai ng vo iu khin mc
logic 4 1, cng tng ng ci 1 ng vo iu khin mc logic 1 nn cng AND 4 m
a d liu t ng vo x n ng ra y4.
Nu x = 1 v hon i ng vo iu khin thnh ng vo d liu th mch phn
ng chuyn thnh mch gii m nh phn. V vy nh sn xut ch to IC m
bo c hai chc nng: gii m v gii a hp (Decode/Demultilex). V d cc IC
74138, 74139, 74154: gii m v phn ng ty thuc vo cch ni chn.
Trong trng hp tng qut, mch phn ng c mt ng vo v 2 n ng ra:
tch N = 2n ngun d liu khc nhau cn c n ng vo iu khin, lc s t hp ng
vo iu khin bng s ng ra.
Tuy nhin trong thc t, ta cn gp mch phn ng c s lng ng vo iu
khin bng s ng ra (hnh 3.17). Lc ch xt n mc tch cc ng vo iu
khin, ngi ta chn mt trong hai mc logic 0 hoc 1 lm mc tch cc. Gii s chn
mc logic 1 lm mc tch cc: nu mt ng vo trong s 4 ng vo iu khin tn ti mc
logic 1 (mc tch cc), th ng ra d liu tng ng c cng ch s vi ng vo iu khin s
c ni vi ng vo d liu chung x.
V d:
C 1 = 1 x = y1
C 2 = 1 x = y2
C 3 = 1 x = y3
C 4 = 1 x = y4

Hnh 3.17
Lc bng trng thi hot ng ca mch:

c1 c2 c3 c4 y1 y2 y3 y4

1 0 0 0 X 0 0 0

0 1 0 0 0 X 0 0

Trang 50
Bi ging K thut xung s GV: Phm Th Lnh

0 0 1 0 0 0 X 0

0 0 0 1 0 0 0 X

c1 c2 c3 c4

y1
1

y2
2
x
y3
3

y4
4

Hnh 3.18: S mch phn ng v s ng vo iu khin bng s ng ra


Phng trnh logic cc ng ra:
y1 = c1.x y2 = c2.x
y3 = c3.x y4 = c4.x
Gii thch hot ng ca mch:
Khi c1 = 1, c2 = c3 = c4 = 0 ch c cng AND 1 thng cho d liu t x ni n u ra
y1.
Khi c2 = 1, c1 = c3 = c4 = 0 ch c cng AND 2 thng cho d liu t x ni n u ra
y2.
Khi c3 = 1, c1 = c2 = c4 = 0 ch c cng AND 3 thng cho d liu t x ni n u ra
y3.
Khi c4 = 1, c1 = c2 = c3 = 0 ch c cng AND 4 thng cho d liu t x ni n u ra
y4.
V mch chn knh c thc hin u pht v mch phn ng c thc
hin u thu nn m bo d liu c chuyn ng knh th mch chn knh v
mch phn ng phi ng b vi nhau.
2.3.Mch chuyn m BCD 7 thanh:
Mn hnh LED c s dng mt cch ph bin nht hin th sng cc k t s
thp phn t 0 n 9. Cu to mn hnh gm 7 thanh LED c lp nhau c b tr
nh trn hnh 3.13. vi 2 loi in hnh: loi anot chung (khi tt c 7 anot ca cc it
LED ni chung vi nhau) v loi Katot chung (khi tt c 7 katot ca chng ni chung
vi nhau). Cc k t ca cc on LED c k hiu ln lt l a, b, c, d, e, f v g.
LED 7 on loi Anot chung:

Trang 51
Bi ging K thut xung s GV: Phm Th Lnh

a, LED 7 on loi Anot chung. b, LED 7 on loi Katot chung.

Hnh 3.13: Hai loi LED 7 on.


B gii m BCD thnh 7 on LED c 4 u vo tn hiu ABCD dng m
BCD8421, 7 u ra mc tch cc thp l a,b,c,d,e, f v g, ngoi ra n cn c
u vo iu khin v u vo th LED. Tng ng vi mi t hp m vo BCD trong
mi t hp u tin (biu din cc k s t 0 n 9 trong h 2) mt nhm u ra s
c chuyn n mc tch cc thp v cc on LED tng ng vi cc u ny s
sng v phi hp v hin th con s thp phn tng ng ca t m vo tc ng.
ng vi mi loi LED khc nhau ta c mt mch gii m ring. S khi ca
mch gii m LED 7 on nh sau:

Hnh 3.19. S khi mch gii m LED 7 on.


Xt n LED 7 on loi Anot chung:
i vi LED 7 on loi anot chung, v cc anot ca cc on led c ni
chung vi nhau v a ln mc logic 1 (5V), nn mun on led no tt ta ni katot
tng ng ln mc logic 1 (5V) v ngc li mun on led no sng ta ni katot
tng ng xung mass (mc logic 0).
V d: hin th s 0 ta ni katot ca n g ln mc logic 1 n g tt, v ni
cc katot ca cc n a, b, c ,d, e, f xung mass nn ta thy s 0.
Lc bng trng thi m t hot ng ca mch gii m LED 7 on loi anot
nh sau: Bng 3.3.
Dng bng Karnaugh ti thiu ha mch trn. Phng trnh ti thiu ha vit
dng chnh tc 1(Tng ca cc tch).
Phng trnh logic ca cc ng ra:

Trang 52
Bi ging K thut xung s GV: Phm Th Lnh

a = CBA + DCBA. e = CB + A
b = CB A + C BA = C(A B). f = ABD + DC.A + DC.B
c = DC BA. g = DCBA +DCB
d = CBA +DCBA.+ CBA.

Trang 53
Bi ging K thut xung s GV: Phm Th Lnh

Bng 3.3: Bng trng thi m t hot ng LED 7 on Anot chung:

D C B A a b c d e f g S hin th

0 0 0 0 0 0 0 0 0 0 1 0

0 0 0 1 1 0 0 1 1 1 1 1

0 0 1 0 0 0 1 0 0 1 0 2

0 0 1 1 0 0 0 0 1 1 0 3

0 1 0 0 1 0 0 1 1 0 0 4

0 1 0 1 0 1 0 0 1 0 0 5

0 1 1 0 0 1 0 0 0 0 0 6

0 1 1 1 0 0 0 1 1 1 1 7

1 0 0 0 0 0 0 0 0 0 0 8

1 0 0 1 0 0 0 0 1 0 0 9

1 0 1 0 X X X X X X X X

1 0 1 1 X X X X X X X X

1 1 0 0 X X X X X X X X

1 1 0 1 X X X X X X X X

1 1 1 0 X X X X X X X X

1 1 1 1 X X X X X X X X

Trang 54
Bi ging K thut xung s GV: Phm Th Lnh

Xt n LED 7 on loi Katot chung:


Bng 3.4: Bng trng thi m t hot ng LED 7 on Katot chung:

D C B A a b c d e f g S hin th

0 0 0 0 1 1 1 1 1 1 0 0

0 0 0 1 0 1 1 0 0 0 0 1

0 0 1 0 1 1 1 1 1 0 1 2

0 0 1 1 1 1 1 1 0 0 1 3

0 1 0 0 0 1 1 0 0 1 1 4

0 1 0 1 1 0 1 1 0 1 1 5

0 1 1 0 1 0 1 1 1 1 1 6

0 1 1 1 1 1 1 0 0 0 0 7

1 0 0 0 1 1 1 1 1 1 1 8

1 0 0 1 1 1 1 1 0 1 1 9

1 0 1 0 X X X X X X X X

1 0 1 1 X X X X X X X X

1 1 0 0 X X X X X X X X

1 1 0 1 X X X X X X X X

1 1 1 0 X X X X X X X X

1 1 1 1 X X X X X X X X

Chn mc tch cc ng ra l mc logic 1. V Katot ca cc on led c ni


chung v c ni xung mc logic 0 (ni xung 0V - mass) nn mun on led no
tt ta a Anot tng ng xung mc logic 0.
V d: hin th s 0 ta ni Anot ca on led xung mc logic 0 on g
tt, ng thi cc katot ca on a, b, c, d, e, d c ni ln ngun nn cc on ny s
sng do ta thy s 0.
Lc bng trng thi m t hot ng ca mch nh trn bng 3.5.
Tng t nh trng hp trn, ta cng dng bng Karnaugh thc hin ti
thiu ha hm mch v i tm phng trnh logic ti gin cc ng ra ca cc on
LED.

Trang 55
Bi ging K thut xung s GV: Phm Th Lnh

Cc phng trnh logic ng ra cc on LED:


a = D + B + AC + AC.
b =C + BA +BA = C + A B

c =B + A + C.
d = D +BA +CA + BC + ABC
e = AB + CA.
f = D + CB + BA +CA
g = D +BC +AB + BC
Vic thc hin cc hm logic trn nh cc cng NOR AND c hc
chng 2 c tch hp trong chip IC gii m 7446 hay 7447 vi cc colector ca
transistor tng ra h to kh nng chu dng ht ln t cc on LED. V d vi k
s 8 thp phn, c 7 on LED u sng, mi on c dng l 10mA th transistor tng
ra chu c dng ht l 10mA.7 on = 70mA. Vi in th trn LED lc sng l
2,7V th in tr hn ch dng trong mch cn chn gi tr khong 230. Do ta
chn gi tr chun l 220.

Trang 56
Bi ging K thut xung s GV: Phm Th Lnh

CHNG 4 : FLIP FLOP


Bi 1: KHI NIM CHUNG
1.1. M t:
Flip Flop (vit tc l FF cn gi l Trig s) l mch dao ng a hi hai
trng thi bn, c xy dng trn c s cc cng logic v hot ng theo mt bng
trng thi cho trc.
y l mt phn t tun t ht sc quan trng v c bn trong k thut in t
s. Mt FF thng c hai u ra v t nht mt u vo thc hin cc chc nng sau:

Hnh 4.1 K hiu FF


1. C hai trng thi ra Q vQ n nh, c tnh lin hp: khi Q = 1 thQ = 0 v
ngc li Q = 0 thQ = 1.
2. Cc trng thi u ra khng nhng ph thuc vo cc trng thi u vo v p
ngay lc ang xt m n cn ph thuc vo trng thi qu kh ca mnh.
3. C kh nng tip nhn (ghi vo), lu tr trong mt thi gian ty yu cu v
xut ra (c ra) mt trng thi bit nh phn c lu tr trong FF.
4. Vic m rng chc nng ca FF s c thc hin nh c cc u vo iu
khin hnh vi ca FF ngoi cc u vo tn hiu ni ti.
Phn loi Flip Flop: C hai cch phn loi
Phn loi theo tn hiu iu khin:
FF khng c tn hiu iu khin (FF khng ng b).
FF c tn hiu iu khin. (FF ng b)
Phn loi theo chc nng: c cc loi sau:
RSFF
DFF
TFF
JKFF
MSFF
1.2. Cu to v hot ng:
a. FF khng ng b:

Trang 57
Bi ging K thut xung s GV: Phm Th Lnh

C hai cu trc c bn ca RS khng ng b dng cng NAND v NOR. Cu


trc gm 2 cng logic mc lin hp cha hai vng hi tip dng.
H hm ra ca hai cu trc 4.1a v 4.1b tng ng vi biu thc:
Q S .q S q Q S q S .q
V
Q R.q R q Q Rq Rq

Bng trng thi v th thi gian minh ha hot ng ca cc mch hnh 4.1
cho trn hnh 4.2.
h cc hm ra (4.1) n tr m bo c thuc tnh th nht nu mc
(1.1) yu cu cu trc RSFF khng c php ng thi p vo hai tn hiu cng
tch cc, tc l m bo iu kin Sn.Rn = 0.

S 1 Q S 1 Q
q q

q q
R 2 Q R 2 Q

S Q R Q
FF FF
R Q S Q

a, b,

Hnh 4.1: Mch in RSFF khng ng b


a, Dng 2 cng NAND; b, Dng 2 cng NOR
Bng trng thi tng ng vi hai cng NAND v NOR.

Sn Rn Qn+1 Sn Rn Qn+1

0 0 Cm 0 0 Qn

0 1 1 0 1 0

1 0 0 1 0 1

1 1 Qn 1 1 Cm

c, d,

th hnh 4.1 v 4.1 dn ti cc kt lun sau:


1. RSFF c cu trc t 2 cng NAND ch chuyn sang trng thi khi c kch
hot t mc tch cc thp.

Trang 58
Bi ging K thut xung s GV: Phm Th Lnh

2. RSFF c cu trc t 2 cng NOR ch chuyn sang trng thi khi c kch hot
t mc tch cc cao (t 0 ln 1).
3. Mi khi u vo Rn c p ln mc 1,Qn+1 xung mc thp, cn mi khi p
Sn p ln 1, Qn+1 ln mc cao.
4. Vic tr v 0 ca Rn v Sn khng nh hng ti trng thi ra. T bng trng
thi trn ta c th vit li hm ra dng sau:
Qn 1 Qn .Rn S n Rn S n
(4.2)
Rn S n 0

Cng tng v v bin i ta s nhn c phng trnh c tnh ca RSFF


(khng ng b).
Qn 1 S n Rn Qn

u vo S c gi l u vo thit lp (Set), u vo R gi l u vo xa
(Reset).
Hai dng RSFF (khng ng b) xt trn c kh nng thc hin chc nng
ca mt nh mt k t nh phn v c th duy tr trng thi n nh ti cc u ra lu
ty nu khng c tn hiu R hoc S tc ng ti u vo. Trn thc t chng t c
dng v l do:
1. Khng bit c lc khi ng FF ang trng thi no.
2. Cn phi kim sot trng thi hin ti ca FF v lm thay i trng thi ca n
mong mun trong mt mi lin h vi cc phn t s khc ca h thng s. V vy FF
cn phi b sung mt u iu khin gm hai loi:
Theo nhp chun thng nht xc nh (ng b).
iu khin trc tip.
FF ng b:
Xt s RSFF ng b vi s mch, k hiu v bng trng thi nh hnh
v:

Hnh 4.2 RSFF ng b v k hiu.


Bng trng thi hot ng:

S R Ck Q

X X 0 Q

Trang 59
Bi ging K thut xung s GV: Phm Th Lnh

0 0 1 Q

0 1 1 0

1 0 1 1

1 1 1 X

Trong :
Ck l tn hiu iu khin ng b hay tn hiu ng h (Clock). Kho
st hot ng ca mch:
Ck = 0: cng NAND 3 v 4 kha khng cho d liu a vo. V
cng NAND 3 v 4 u c t nht mt ng vo Ck = 0 S = R = 1 Q = Q0
(FF gi nguyn trng thi c).
Ck = 1: cng NAND 3 v 4 m. Ng ra Q s thay i ty thuc
vo trng thi ca S v R:
Khi S = 0, R = 0 S = R = 1 Q = Q0 (FF gi nguyn trng thi
c).
Khi S = 0, R = 1 S = 1,R = 0 Q = 0
Khi S = 1, R = 0 S = 0,R = 1 Q = 1
Khi S = R = 1 S = R = 0 Q = X (trng thi cm)
Trong trng hp ny Ck tc ng mc 1. Trong trng hp Ck tc ng mc 0
th ta c cng o nh sau (hnh 4.3):
S 1
A
3 1
2 3 Y A
3 Q
1
Q
B 2 Y

1
B
S
Ck
Ck
A
FF
3
2 5 Y
B

1
A
1
A
2 Y
3 Q0 R Q
3 2
R 2 4 Y B
B

Nh vy , ty thuc vo mc tch cc ca tn hiu ng b Ck, chng ta c cc


loi tn hiu iu khin:
+ Ck iu khin theo mc 1.
+ Ck iu khin theo mc 0.
+ Ck iu khin theo sn ln (sn trc).
+ Ck iu khin theo sn xung (sn sau).

Trang 60
Bi ging K thut xung s GV: Phm Th Lnh

Hnh 4.4: Cc loi tn hiu iu khin Ck khc nhau.


Xt FF c Ck iu khin theo sn ln (sn trc):
Sn ln v mc logic 1 c mi quan h vi nhau, v vy mch to sn ln l
mch ci tin ca mch tc ng theo mc logic 1.
Sn ln thc cht l mt xung dng c thi gian tn ti rt ngn. ci tin
cc FF tc ng theo mc logic 1 thnh FF tc ng theo sn ln ta mc vo trc FF
mt mch to sn ln nh hnh 4.5.

Hnh 4.5: S khi FF tc ng theo sn v dng sng.


mch to sn ngi ta li dng thi gian tr ca tn hiu khi i qua phn t logic.
i vi mch to sn ngi ta li dng thi gian tr ca tn hiu khi i qua cng NOT.
Xt s mch to sn ln v dng sng nh hnh 4.6: mch to sn ln
gm mt cng AND 2 ng vo v mt cng NOT. Tn hiu x 1 t cng NOT c a
n cng AND cng vi tn hiu x 2 i trc tip (x2 = Ck). Do tnh cht tr ca tn hiu
Ck khi i qua cng NOT nn x1 b tr mt khong thi gian, v vy tn hiu ng ra ca
cng AND c dng mt xung dng rt hp vi thi gian tn ti chnh bng thi gian
tr (tr truyn t) ca cng NOT. Xung dng hp ny c a n ng vo ng
b ca FF iu khin theo mc logc 1. Ti cc thi im c sn ln ca tn hiu xung
nhp Ck s xut hin mt xung dng tc ng vo ng vo ng b ca FF iu khin
ng ra Q thay i trng thi theo cc ng vo. S mch FF c tn hiu iu khin
theo kiu sn ln nh hnh 4.6.
Ck

Ck x1
y t
x2 x2

t
S Q x1
Ck FF
Q y t
R

t .
Hnh 4.6: Mch to sn ln v dng sng.

Trang 61
Bi ging K thut xung s GV: Phm Th Lnh

Xt FF c Ck u khin theo sn xung (sn sau):


Mch to sn xung l mch ci tin tc ng mc logic 0. S mch v
dng sng nh sau: hnh 4.7.
S mch:
S 1
A
3 1
2 3 Y A
3 Q
B 2 1 Y
B
1 Y 2
A

1
1
A
3 Q0
A
3 2 2 Y
R 2 4 Y B
B

Ck

Ck x1
y t
x2 x2

t
Q x1
S
Ck FF
y t
R Q

Hnh 4.7: S mch v dng sng FF tn hiu iu khin theo sn xung.


ngha ca tn hiu ng b Ck:
i vi cc FF ng b, cc ng ra ch thay i trng thi theo ng vo DATA
khi xung Ck tn ti mc 1 (i vi cc FF tc ng mc 1), hoc xung Ck tn ti mc
0 (i vi cc FF tc ng mc 0), hoc xung Ck sn ln (i vi cc FF tc ng
sn ln), xung Ck sn xung (i vi cc FF tc ng sn xung), cn tt c cc
trng hp khc ca Ck th ng ra khng thay i trng thi theo cc ng vo mc d
lc cc ng vo c thay i trng thi.
Bi 2:CC MCH FLIP FLOP
2.1. Flip Flop RS (RSFF):

RSFF c cc ng vo k hiu nh hnh v.


S Q
trong :
Ck FF
1. S, R : l cc ng vo d liu.
R Q
2. Q,Q : cc ng ra.
Hnh 4.8: K hiu RSFF
Ck : tn hiu xung ng b.

Gi Sn v Rn l cc trng thi ng vo DATA ca xung Ck th n.

Trang 62
Bi ging K thut xung s GV: Phm Th Lnh

Gi Qn , Qn+1 l trng thi ca ng ra Q xung Ck th n v th n + 1


Lc ta c bng trng thi m t hot ng ca RSFF:

Sn Rn Qn+1

0 0 Qn

0 1 0

1 0 1

1 1 X

Tip theo chng ta s i xy dng bng u vo kch ca RSFF. Bng u vo


kch gm 2 phn, phn bn tri lit k ra cc yu cu cn chuyn i ca FF, v phn
bn phi l cc iu kin tn hiu u vo kch cn m bo t c chnh cc s
chuyn i y. Nu cc iu kin u vo c m bo th FF s chuyn i theo
ng yu cu. Thc cht bng u vo kch ca FF l s khai trin bng trng thi ca
FF.

Ta vit li bng trng thi dng khai Trong bng ny tn hiu ng ra


trin nh sau: trng thi tip theo (Qn+1) s ph thuc
vo tn hiu cc ng vo DATA (S,R) v
Sn Rn Qn Qn+1 tn hiu ng ra trng thi hin ti (Qn).
0 0 0 0 T bng trng thi trin khai ta xy
dng bng u vo kch cho RSFF:
0 0 1 1
Qn Qn+1 Sn Rn
0 1 0 0
0 0 0 X
0 1 1 0
0 1 1 0
1 0 0 1
1 0 0 1
1 0 1 1
1 1 X 0
1 1 0 X

1 1 1 X

T bng trng thi khai trin ta c th tm c phng trnh logic ca RSFF bng
cch lp s Karnaung v ti thiu ha ta c phng trnh logic ca RSFF nh sau:
Qn+1 = Sn + RnQn
V iu kin ca RSFF l S.R = 0 nn ta c phng trnh y ca RSFF nh
sau: Qn+1 = Sn + RnQn S.R = 0

Trang 63
Bi ging K thut xung s GV: Phm Th Lnh

Ck
1 2 3 4 5
S t

t
R

Q t

t
Hnh 4.9: Dng sng minh ha hot ng ca RSFF.
2.2. Flip Flop T:
TFF c ng vo v ng ra k hiu v bng trng thi hot ng nh hnh v:

T Q Trong :
FF
Ck T : l cc ng vo d
Q liu.
Hnh 4.10: K hiu TFF Q,Q : cc ng ra.
Ck : tn hiu xung ng b.

Gi Tn l cc trng thi ng vo DATA Bng trng thi hot khai trin:


ca xung Ck th n.
Tn Qn Qn+1
Gi Qn , Qn+1 l trng thi ca ng ra Q
xung Ck th n v th n + 1 0 0 0
Lc ta c bng trng thi hot ng
0 1 1
khai trin ca TFF. T bng trng thi ny ta c
nhn xt: 1 0 1
Khi T = 0: mi khi c xung Ck tc ng
1 1 0
ng ra Q duy tr trng thi c trc .

Khi T = 1: mi khi c xung Ck tc ng ng ra Q o trng thi.

Trang 64
Bi ging K thut xung s GV: Phm Th Lnh

Phng trnh logic ca TFF T bng trng thi khai trin ta tm


bng u vo kch ca TFF nh sau:
Qn+1 = TnQn + TnQn = Tn Qn
Trn hnh 4.11: minh ha th dng Qn Qn+1 Tn
sng ca TFF.
0 0 0
Tn hiu ra Q u tin lun mc
logic 0. 0 1 1
Tn hiu Ck (1) iu khin theo sn 1 0 1
xung nhn tn hiu T di mc logic 1. Theo
bng trng thi : T0 = 1 v Q0 = 0 Q1 = 1 1 0
Q1 = 1.

Tn hiu Ck (2) iu khin theo sn xung nhn tn hiu T di mc logic


0. Theo bng trng thi : T1 = 0 v Q1 = 1 Q2= Q = 1 (gi nguyn trng thi trc
).
Tn hiu Ck (3) iu khin theo sn xung nhn tn hiu T di mc logic
1. Theo bng trng thi : T2 = 1 v Q2 = 1 Q3 = Q2 = 0.
Khi T = 1 th dng sng ng ra Q bng 2 ln chu k tn hiu xung Ck nn tn s
ng ra l:
f Ck
fQ
2

Hnh 4.11 FFT dng lm mch chia tn.


Vy khi T = 1 th TFF gi vai tr mch chia tn s xung vo Ck.

Ck
1 2 3
T t

t
Q

Trang 65
Bi ging K thut xung s GV: Phm Th Lnh

Hnh 4.12: Hnh minh ha th dng sng ca TFF.


Tng qut: Ghp ni tip n TFF vi nhau sao cho ng ra ca TFF trc s ni
vi ng vo ca TFF ng sau (Cki+1 ni vi Qi) v lc by gi tt c ng vo DATA T
tt c cc TFF u gi mc logic 1, lc tn s tn hiu ng ra s l:
f Ck
f Qn vi Qn l tn hiu ng ra ca TFF th n.
2n
2.3. Flip Flop D:
DFF c ng vo v ng ra k hiu v bng trng thi hot ng nh hnh v:

D Q D Qn+1

Ck FF 0 0
Q 1 1

Hnh 4.13: K hiu DFF v bng trng thi hot hot ng.

Trong :
D : l cc ng vo d liu.
Q,Q : cc ng ra.
Ck : tn hiu xung ng b.
Gi Dn l cc trng thi ng vo DATA ca xung Ck th n.
Gi Qn , Qn+1 l trng thi ca ng ra Q xung Ck th n v th n + 1
Lc ta c bng trng thi hot ng khai trin ca DFF . Khai trin bng ny
tm u vo kch ca DFF nh sau:

Bng trng thi khai trin: Bng u vo kch ca DFF nh sau:

Dn Qn Qn+1 Qn Qn+1 Dn

0 0 0 0 0 0

0 1 0 0 1 1

1 0 1 1 0 0

1 1 1 1 1 1

Phng trnh logic ca DFF: Qn+1 = Dn.

Trang 66
Bi ging K thut xung s GV: Phm Th Lnh

th dng sng ca DFF:


Ck
1 2 3 4 5
D t

t
Q

t
Hnh 4.14. th dng sng ca DFF.
Gii thch dng sng tn hiu trn hnh 4.13.
Tn hiu ra Q u tin lun mc logic 0.
Tn hiu Ck (1) iu khin theo sn xung nhn tn hiu D di mc logic
1. Theo bng trng thi : D0 = 1 v Q1 = 1
Tn hiu Ck (2) iu khin theo sn xung nhn tn hiu D di mc logic
0. Theo bng trng thi : T1 = 0 v Q2 = 0

ng dng ca DFF: D0 D Q O0
Dng DFF chia tn s. E FF
Ck
Dng DFF lu tr d liu
ch to b nh v cc thanh ghi.
D1 D Q O1
Dng DFF cht d liu.
Ck FF
Hnh 4.15: DFF cht d liu.

Hnh 4.15 l s mch ng dng DFF cht d liu. Hot ng ca mch nh sau:
E = 1: O0 = D0, O = D1 nn d liu c a n cc DFF.
E = 0: O0 = D0, O = D1 cht d liu li.
2.4. Flip Flop JK:

J Q JKFF c cc ng vo k hiu nh hnh


v. Trong :
Ck FF
1. J,K : l cc ng vo d liu.
K Q
2. Q,Q : cc ng ra.
Hnh 4.16: K hiu JKFF 3. CK : tn hiu xung ng b.

Trang 67
Bi ging K thut xung s GV: Phm Th Lnh

Gi Jn v Kn l cc trng thi ng vo DATA ca xung Ck th n.


Gi Qn , Qn+1 l trng thi ca ng ra Q xung Ck th n v th n + 1
Lc ta c bng trng thi m t hot ng ca JKFF:

Phng trnh logic ca JKFF: Bng trng thi m t hot ng:

Qn+1 = JnQn + KnQn Jn Rn Qn+1


T bng trng thi ta thy JKFF
khc phc c trng thi cm ca RSFF. 0 0 Qn

0 1 0

1 0 1

1 1 Qn

Bng trng thi khai trin ca JKFF:


T bng trng thi khai trin ta xy
Jn Kn Qn Qn+1
dng bng u vo kch cho JKFF:
0 0 0 0
Qn Qn+1 Jn Kn
0 0 1 1
0 0 0 X
0 1 0 0
0 1 1 X
0 1 1 0
1 0 X 1
1 0 0 1
1 1 X 0
1 0 1 1

1 1 0 1

1 1 1 0

th thi gian dng sng ca JKFF:

Trang 68
Bi ging K thut xung s GV: Phm Th Lnh

Ck
1 2 3 4 5
J t

t
K

Q t

t
Hnh 4.17: th thi gian dng sng ca JKFF.
Nhn xt: JKFF l mch in c chc nng thit lp trng thi 0, trng thi 1,
chuyn i trng thi v duy tr trng thi cn c vo cc tn hiu du vo J, K v xung
nhp ng b Ck. Nh vy c th ni JKFF l mt FF rt vn nng.
Trong thc t, chng ta c th dng JKFF thc hin chc nng ca cc FF
khc: JKFF thay th cho RSFF, JKFF thc hin chc nng ca TFF v DFF. S
thc hin chc nng ca cc FF nh cc hnh v sau:

S J Q T J Q D J Q
Ck FF Ck FF Ck FF
R K Q K Q K Q

Hnh 4.18: Dng JKFF thc hin chc nng ca RSFF, TFF, DFF.
2.5. Flip Flop MS:
Xut pht t yu cu phn t nh FF c chc nng cng mt lc khi ang c
(xut) gi tr c u ra c th cho php nhp (ghi) gi tr d liu mi vo, Trig
chnh ph MSFF c xy dng p ng i hi ny ca mt s nh nh phn.
RSFF thng thng kho st trn khng thc hin c l do ch cha mt phn
t nh ghp cho gi tr d liu mi c lu s xut hin ngay ti u ra ca mch,
gi tr lu trc s b mt ngay khi tin hnh lu mi bt u thc hin.
MSFF c cu to gm 2 FF: mt FF thc hin chc nng ch (Master) v
mt FF thc hin chc nng t (Slaver)
Hot ng ca FF iu khin theo kiu ch t:
Ck = 1: FF2 m, d liu c nhp vo FF 2. Qua cng o Ck = 0 FF1
kha nn gi nguyn trng thi c trc .
Ck = 0: FF2 kha nn gi nguyn trng thi c trc . Qua cng o Ck =
1 FF1 m, d liu c xut ra ngoi.

Trang 69
Bi ging K thut xung s GV: Phm Th Lnh

Hnh 4.19: FF iu khin theo kiu ch/t.

CHNG 5 : MCH LOGIC DY


Bi 1: I CNG V MCH DY.
Chng trc cp n cc mch t hp t cc cng logic n gin n
cc mch tch hp MSI phc tp hn nh mch chuyn i m, dn knh, tch knh.
Chng c mt c im l ng ra s thay i trng thi theo trng thi ng vo m
khng k ti cc trng thi trc ca n, ngha l chng khng c tnh nh.
chng ny, ta s ni n mt loi ln khc ca mch s, l mch tun t.
Khc vi mch t hp, trng thi ng ra ca mch tun t tu thuc khng nhng vo
cc trng thi ng vo m cn vo c 2 trng thi trc ca ng ra. Khng nhng
th, trng thi ng ra s khng thay i ngay khi ng vo thay i m li cn phi i
n khi c xung lnh gi l xung ng h (clock). Nh vy mch tun t va c tnh
nh va c tnh ng b.
C mch t hp v tun t u c s dng nhiu trong cc h thng s. Mt
h tun t c th biu din mt cch tng qut nh sau:

Hnh 5.1 H tun t.


Phn t hp s nhn tn hiu logic t u vo bn ngoi v t u ra ca cc
phn t nh, n tnh ton da vo cc u vo ny cho ra cc u ra khc nhau,
trong mt phn c em sang khi cc phn t nh ct gi i; u ra ca phn

Trang 70
Bi ging K thut xung s GV: Phm Th Lnh

t nh c th a ra ngoi hay a iu khin phn t hp. Phn iu khin s cho


php phn nh v t hp hot ng theo mt s yu cu ra.
Nh vy, cc u ra ca h thng s va ph thuc vo cc u vo va lin
quan n thng tin lu tr bn trong ca phn t nh. Phn t nh c th l mt
mch logic nhng c khi ch l mt ng ni phn hi t ng ra v ng vo.
1.1.Mch cht l mch c th ci li, gi li trng thi logic ng vo.

Hnh 5.2. K hiu khi cht SR v bng hot ng.

1.2. V d mch cht cng NOR:

Mch cht nh trn c th thay th


2 cng nand bng 2 cng NOR nguyn l
hot ng cng tng t nhng ng vo
S, R tc ng mc cao.
Bng hot ng cng NOR:

Hnh 5.3. Cht cng NOR.

Ta thy rng cc mch tun t d l mch cht kho st trn hay cc mch cao
hn th u c cu to bi cng logic c bn. Mc d t thn cng logic khng th
lu tr c d liu nhng khi bit kt hp vi nhau theo mt cch thc cho php tu
theo mc phc tp, quy m kt hp m s c mch cht, mch lt, ghi dch hay hn
na l cc b nh, x l.
1.3. ng dng ca mch cht:
Mch cht nh tn gi ca n c s dng nhiu trong cc h thng s cn
cht hay m d liu trc khi c x l iu khin hay truyn nhn. Ngoi ra n
cn c s dng lm mch chng di v mch to dng sng vung.
a. Mch chng di:
o Hin tng di do cc thit b c kh gy nn khi ng ngt chuyn
mch in t.

Trang 71
Bi ging K thut xung s GV: Phm Th Lnh

o Mch minh ho:

Hnh 5.4. Cht NAND chng di.

b. Mch to dao ng sng vung:


Mt mch cht c bn kt hp vi mt s linh kin R, C to nn mch dao
ng sng vung do ng ra lt trng thi qua li gia mc 1 v 0. Mch thit lp v
xo t ng theo thi hng np x ca t C v tr R.
1
o Tn s dao ng tnh theo gi tr R, C l: f ( R R3 )C
2

o Mch minh ha:

Hnh 5.5. ng dng cht to dao ng sng vung.


1.4.V d mch Cht NAND khi c xung ng h:
Nh ni n phn trc, cc mch tun t cn c mt c tnh na l tnh
ng b m mch cht cha th hin. Trong h thng mch logic, cc mch phi thay
i trng thi c trt t hay ng b nhau th mi c th khng ch cc trng thi ra
theo cc thi im chn trc. Lc ny ngi ta s dng chn Ck (clock_ng h: v
thng thng tn hiu trn chn ny c sng dng in p nh tn hiu ca ng h)
minh ho qua hnh sau
Mch cht c thm vo 2 cng nand trc cng vi 1 ng iu khin ck

Trang 72
Bi ging K thut xung s GV: Phm Th Lnh

Bng s tht ca cht Nand khi


c thm ck

Hnh 5.6 Cht NAND c thm xung ng h

Bi 2: MCH M.
2.1. Khi nim v phn loi:
a. Khi nim:
B m c xy dng trn cc c s cc Flip Flop (FF) ghp li vi nhau
sao cho hot ng theo mt bng trng thi (qui lut) cho trc.
S lng ca FF s dng l s hng ca b m.
B m cn c s dng to ra mt dy a ch ca lnh iu khin, m s
chu trnh thc hin php tnh, hoc c th dng trong vn thu v pht m.
b. Phn loi: c th phn loi theo nhiu cch:
1. Phn loi theo c s cc h m: B m thp phn, b m nh phn.
Trong b m nh phn c chia thnh 2 loi:
B m vi dung lng m 2n.
B m vi dung lng m khc 2n (m c s M).
2. Phn loi theo hng m gm: Mch m ln (m tin), mch m xung
(m li), mch m vng.
3. Phn loi mch m theo tn hiu dch chuyn: b m ni tip, b m song
song, b m hn hp.
4. Phn loi da vo chc nng iu khin:
B m ng b: s thay i ng ra ph thuc vo tn hiu iu khin
Ck.
B m ng b.
Mc d c rt nhiu cch phn loi nhng thc t ch c 3 loi chnh: B m
ni tip (Khng ng b), B m song song (ng b), b m hn hp.
2.2.Mch m khng ng b (mch m ni tip):
B m ni tip l b m trong cc TFF hoc JKFF gi chc nng ca TFF
c ghp ni vi nhau v hot ng theo mt loi m duy nht l BCD 8421. i vi
loi b m ny, cc ng ra thay i trng thi khng ng thi vi tn hiu iu khin

Trang 73
Bi ging K thut xung s GV: Phm Th Lnh

Ck (tc khng chu s iu khin ca tn hiu iu khin Ck) do mch m ni tip


cn gi l mch m khng ng b.
2.2.1.Mch m nh phn:
Cc b m ny c s rt n gin, vi c im:
- Ch dng 1 loi FF l TFF hoc JKFF, nu dng TFF u vo T lun ni vi
mc cao, nu dng JKFF th J lun ni vi K v ni ln 1 (lun mc cao).
- u ra ca FF tng trc Q hoc Q (FF biu din bit c trng s nh) lun
c a vo u xung nhp cho tng sau (FF biu din bit c trng s ln hn ngay
cnh ). Khi m thun ly u ra thun Q, ngc li khi m nghch ly u ra
nghch Q (vi gi thit Ck tch cc ti sn xung ).
- Tn hiu vo Xd lun c a vo u vo nhp ca FF c trng s b
nht.
V d i vi b m nh phn khng ng b K = 2n dng cc FF : A, B, C,
N vi A l ct tr nht, N l ct c trng s ln nht, ta c :
Khi m thun: CkA = X ; CkB = A ; CkC = B ; CkN = M
Khi m nghch: CkA = X ; CkB = A ; CkC = B ; CkN = M
a, S ca b m nh phn khng ng b: K = 8, m thun dng JKFF
cho hnh 5.7a, m nghch dng TFF hnh 5.7b:

1 J A 1 J B 1 J C
X X X
Ck Ck Ck
CkA =X CkB =A CkC =B
1 K A 1 K B 1 K C

a,

1 T A 1 T B 1 T C
X X X
Ck Ck Ck
CkA =X CkB =A CkC =B
A B C

b,
Hnh 5.7: S b m nh phn, khng ng b K = 8.
a, m thun dng JKFF; b,m nghch dng TFF.
Dng sng cc u ra ca cc FF ca b m thun K = 8 cho hnh 5.8.
th dng sng ny l th l tng v khng xt n s tr ca cc FF.
Trong thc t s tr ca cc FF l khng th b qua c, n nh hng n tn s
lm vic ca b m.

Trang 74
Bi ging K thut xung s GV: Phm Th Lnh

1 2 3 4 5 6 7 8 9

t
A

0 1 0 1 0 1 0 1 0 1
t
B

0 0 1 1 0 0 1 1 0 0

t
C

0 0 0 0 1 1 1 1 0 0

t
Hnh 5.8: Dng sng u ra ca cc FF A, B, C ca b m thun nh phn
khng ng b K = 8, Ck tch cc sn xung.
b,Nguyn l hot ng:
Mch m thng hot ng trng thi ban u l 0000 do mt xung tc
ng mc thp s c p vo ng Cl ca cc tng FF t trng thi ng ra l 0000.
Khi xung m ck tc ng cnh xung u tin th Q 0 lt trng thi tc l Q0 =
1. cnh xung th 2 ca xung ck, Q 0 li lt trng thi mt ln na, tc l Q 0 = 0.
Nh vy c sau mi ln tc ng ca ck Q 0 li lt trng thi mt ln, sau 2 ln ck tc
ng, Q0 lp li trng thi ban u, do nu xung ck c chu k l T v tn s l f th
xung ng ra Q0 s c chu k l 2T v tn s cn 1/ 2f. Nh vy xung m ck c
chia i tn s sau 1 tng FF.
Do Q0 li tr thnh ng vo xung m ca FF th 2 (FF B) nn tng t tn
1 1 1 1
nh vy fQ1 bng mt na fQ0. Vi 4 tng FF th f Q f Q2 f Q1 f Q0 f
3
2 4 8 16

Nh vy vi 4 FF ta c 16 trng thi logic ng ra t 0000(0 10) xung m u


tin n 1111 (1510) xung m th 16, tc l tr thp phn ra bng s xung m vo
v v vy y l mch m nh phn 4 bit (c 4 tng FF, tn s c chia i sau mi
tng) hay mch m chia 16.
Mch c xp vo loi mch m ln v khi s xung m vo tng th s thp
phn ra tng ng cng tng. Nhng rng ch c 16 trng thi ra nn xung m
ck th 16 mch c t ng xo v 0 m li. Mun c nhiu trng thi ra hn th
phi ni thm tng FF. Tng qut vi hot ng nh trn nu c n FF th s to ra 2 n
trng thi ng ra. S trng thi ng ra hay s lng s m khc nhau cn c gi l
Modulus (vit tt : Mod) do , mch m trnh by trn cn gi l mch m mod
16

Trang 75
Bi ging K thut xung s GV: Phm Th Lnh

2.2.2. Mch m thp phn:


a,S mch: Mch m mod 10 c ni nh sau:

Hnh 5.9. Mch m mod 10


Cn l xung m ck th 10 khi s m va ln 10 th cc trng thi logic
ng ra c a v khng ch ng Cl ngay do c th thy l s 10 khng kp hin
ra phi chuyn v 0. Thc t th do thi gian tr hon gia cc cng logic khong
vi ns nn vn c s m 10 trong khong thi gian ny, ta ch quan tm ti nh hng
ny khi cn i hi mch hot ng vi chnh xc cao nh trong my vi tnh chng
hn.
nh hng ca tr hon c th hin r hn qua gin xung sau (hnh 5.10).
Thc t th cch thit k mch m khng theo h nh phn li dng ng clear
nh trn khng c dng do:
+ Cc ng ra do c ni vi ti khc nhau nh hng n ng a v, ri tr
hon truyn qua cc cng logic na s pht sinh xung nhn, cc tng FF s khng
c xo ng thi.
+ Hn na ng clear khng cn c t do xo mch lc mong mun.

Hnh 5.10.Tr hon truyn ca mch m khng ng b mod 10.


Do vy c mt cch to mch m trn l nghin cu s lin h gia cc trng
thi cc ng ra ri th ni chng vi cc ng vo J, K ca tng no cho ti khi
tho bng trng thi. Hy xem cch ni nh th no:

Trang 76
Bi ging K thut xung s GV: Phm Th Lnh

Trc ht hy nhn vo gin xung ca mch m mod 16. Ti s m th


10 th mch phi reset tr li.
- Ng ra Q0 khng thay i g d c c xo hay khng v n theo xung ck
- Ng ra Q1 ti phi gi nguyn trng thi trong 2 chu k ca xung ck na do
ng J, K phi mc 0 trong khong thi gian ny, ta c th ni t chn Q3 v J1,
K1 v lc ny Q3 ang mc 0 (n cng ln 1 sau khi b xo).
- Ng ra Q2 ti lc xo vn 0 nn khng cn thay i g tng FF 2.
- Ng ra Q3 khi xo phi tr li mc 0 ban u, lc ny Q1 cao, Q2 thp
ng thi Q0 ang i xung, do c th ni Q0 ti ng ck ca FF 3 v ni cng and
t Q1 v Q2.
Kt qu ni mch nh sau:

Hnh 5.11 Mch m mod 10.


Cui cng kim tra li thy tho hot ng. Nhng cch ny xem ra kh rc ri
v nh l on m. Thc ra n li rt hay, n c mt phng php thit k rt ng
v bi bn ta s gp li phn thit k mch m ng b phn sau.
C rt nhiu IC m khng ng b c h TTL v CMOS. y ch gii thiu
mt s IC hay dng:
74LS293:
Cu to gm 4 FF JK vi cc u ra Q0 (LSB), Q1, Q2, Q3(MSB), Q0 ring
bit cho php mch hot ng linh hot. Cc u vo J, K u c ni mc cao bn
trong.
Mch c ti 2 u vo xung nhp CP (clock pulse) cng chnh l xung ck m ta
bit) cho tng 0 v tng 1 d thit k nhiu ng dng.
Hai ng vo khng ng b MR1 v MR2 (master reset) nu cng tc ng
mc cao th s hot ng nh chn clear xo mch.
S logic v s khi ca IC nh sau:

Trang 77
Bi ging K thut xung s GV: Phm Th Lnh

Hnh 5.12a. K hiu khi v chn ra ca 74LS293.

Hnh 5.12b Cu trc mch ca 74LS293.


2.3.Mch m ng b:
2.3.1 m ln chia 16:
a. S mch:

Hnh 5.13 Mch m ln ng b mod 16.


Bng trng thi v dng sng m ln ca mch m ng b hon ton ging
nh mch m khng ng b do ta s da vo chng xc nh xem mch hot
ng nh th no.
b. Nguyn l hot ng:
mch m ng, mi xung kch ck tc ng cnh xung, ch c FF no d
kin s lt trng thi mi phi T = 1(J, K c ni chung vi nhau v c coi nh
l ng chung T). Nhn vo bng trng thi hot ng ca b m ln ta s thy c
cn phi kt ni nh th no.
- Ng ra Q0 s thay i trng thi theo cnh xung ca xung kch ck do ng
T0 c trng (mc cao).

Trang 78
Bi ging K thut xung s GV: Phm Th Lnh

- Ng ra Q1 i trng thi khi c xung kch xung Q0 do Q0 c a thng


vo ng T1.
- Ng ra Q2 i trng thi khi m n s 4, 8, 12, 0, lc ny th Q 0 v Q1 u
xung thp; vy ng vo T2 s l And ca hai ng vo ny.
- Ng ra Q3 o trng thi khi s m l 8 v 0 khi ny Q 0, Q1, Q2 u tc dng
cnh xung, vy ng vo T3 s l And ca 2 ng vo ny.
Vy mi FF u phi c u vo T c ni sao cho chng mc cao ch khi
no u ra ca cc FF trc n mc cao.
T0 = 1
T1 = Q0
T2 = Q1.Q2
T3 = Q0.Q1.Q2
v t y mch c kt ni vi hai cng And c thm vo:

Hnh 5.14. Mch m ln ng b mod 16.


Tr hon truyn ca mch m s bng tr hon truyn qua mt FF cng vi tr
hon truyn qua cc cng and. Vi mch m kho st trn s tng l n = 4, s
cng and phi dng thm l n 2 = 2 nhng thi gian cng ch tr hon trn mt cng
and thi nn tr hon truyn tng cng l:
t D t D ( FF ) t D ( AND )

Do tr hon truyn ca cng and th nh hn nhiu so vi tr hon truyn ca FF


nn thi gian ny nh hn so vi thi gian tng ng ca mch m khng ng b.
iu ny cn c ch hn khi trong mch c rt nhiu tng FF v mch phi hot ng
tn s cao. y l im ni bt ca n so vi mch m khng ng b nhng r
rng n s phi c cu to phc tp hn.
V d:
Hy xem tn s hot ng ln nht ca mch trn (f max) khi tD(FF) = 50ns, tD(and) =
20ns v so snh n vi fmax ca mch m khng ng b cng s bit

Trang 79
Bi ging K thut xung s GV: Phm Th Lnh

Ta c tr hon truyn tng cng ca mch l t D = 50 + 20 = 70(ns). Chu k xung


nhp ck u vo Tck phi ln hn 70 ns ny do :
fmax = 1/70ns = 14,3MHz
By gi vi b m mod 16 khng ng b:
fmax = .50ns = 5MHz
Nh vy r rng b m song song hot ng c tn s cao hn hn.
By gi gi s cn lm mch mod 32 t mod 16, th ta s phi mc thm 1 tng
FF th 5. Tr hon truyn ca m song song s vn l 70ns suy ra f max = 14,3MHz.
Cn vi b m khng ng b th do c thm 1 tng nn f max = 1/5.50ns = 4MHz, tn
s ny b gim hn i.
2.3.2 m ng b ln xung:
hnh 5.14 trn l mch m ng b ln, ta c th xy dng mch m ng b
xung ging nh cch lm vi mch m khng ng b tc l dng cc u ra o ca FF
iu khin cc u vo T ca tng k tip. Nh vy vi mch m xung mod 16 th u ra
Q s c ni ti T1, T2, T3 v b m s m xung t 15, 14, 13, ri v 0 reset tr li 15.
By gi thm 1 ng iu khin ch m ging nh bn mch m ln xung
khng ng b ta c mch m ln xung ng b. K = 1(up) m ln, K =
0(down) m xung. Mch c xy dng nh hnh sau (lu xung ck tc ng cnh
ln).

Hnh 3.15. Mch m ng b ln hay xung.

2.3.3 m ng b h thp phn:


thit k mch m mod m bt k t mch m mod 2 n (m <= 2n) ta c th
dng ng clear xo mch khi m n s m, cch khc l nhn vo gin xung
th nghim vic ni cc u vo J, K. y ta s xt n mch m mod 10 hay dng.
Ngoi xung ck c a vo tt c 4 tng FF th cn phi gii quyt cc ng J,
K

Trang 80
Bi ging K thut xung s GV: Phm Th Lnh

l khi mch m n s 10 th Q 0 = 0 v Q2 = 0 khng i trng thi khi


reset v 0 nn FF 0 v FF 2 c kch bnh thng nh ni.
Cn vi FF 1, Q1 i trng thi khi Q0 cao ng thi Q1 phi c gi lun
mc thp s m th 10, khi ny c th tn dng ang cao cho ti khi reset, vy J1
= K1 = Q0.
Sau cng vi FF 3 Q3 s c reset v 0 khi c 3 Q0Q1Q2 u v 0. Vy J3 = K3
= Q0Q1Q2.
Kim tra li thy rng mch ng l hot ng m chia 10. Bn c th xem
phn thit k mch m ng b sau hiu r cch ni mch, cn y l cu trc
mch m t:

Hnh 5.16. Mch m mod 10 ng b.


2.3.4.m t trc s m:
Nhiu b m song song dng IC tch hp c thit k c kh nng np trc s
cn m thay v 0 nh ta thng thy. S t trc l bt k trong nhng s c th ra ca mch
v mch c th m ln hay m xung 1 cch ng b hay khng ng b t s ny.Vic ny
ging nh l np song song ghi dch vy, bng cch tn dng ng Cl v Pr (ng khng ng
b c lp vi ck). Cu trc mch vi 3 tng FF c minh ho nh hnh v hot ng np
c thc hin nh sau: Hnh 5.17.
Gi s mch ang m hay dng 1 s m no .
a sn s m c trng thi cn np vo ng A B C.
t mt xung mc thp vo u LD (parallel load), xung ny s cho php trng
thi logic ABC qua cng Nand a vo 3 tng FF qua 3 ng Pr hay Cl (tu thuc bit
mc thp hay cao). Kt qu l Q0 = A, Q1 = B, Q2 = C.
Khi LD ln cao tr li, lc ny nu c xung nhp Ck th mch s tip tc m t
s va np (trc ck v cc ng T khng c tc dng).

Trang 81
Bi ging K thut xung s GV: Phm Th Lnh

Hnh 5.17. Mch m t trc 3 bit.


2.3.5. Mt s IC m ng b:
Nhm 74LS160/161/162/163:
C 4 IC u c cng kiu chn v cc ng vo ra tng t nhau; c xung ck ny
cnh xung do trong cu to c thm mch m sau ng ng b; c kh nng np
song song; preset ng b; c th ni chng nhiu IC c s mod ln hn nhiu do
:
- LS160, LS161 l IC m chia 10 cn LS161 v LS163 l m chia 16.
- LS160 v LS161 c chn xo Cl khng ng b cn LS161, LS163 c chn
xo Cl ng b.
Nhm 74190, 74191
74LS190 l mch m chia 10 cn 74LS191 l mch m chia 16. Chng c
kiu chn ra nh nhau v chc nng cng nh nhau.
- Chn EnG (enable gate) l ng vo cho php tc ng thp; chn U/D l ng
cho php m ln hay xung (thp).
- Chn RC (ripple clock) xung rn s xung thp khi m ht s; c dng cho
vic ni tng v xc nh tn s ca xung max/min khi ni ti chn LD (load) ca tng
sau.
Cch ni tng nh sau: chn RC ca tng trc ni ti chn ck ca tng sau, khi
ny tuy mi mch l m ng b nhng ton mch l m bt ng b. Cch khc l
chn RC ca tng trc ni ti chn EnG ca tng sau, xung ck dng ng b ti cc
tng.
Nhm 74LS192, LS193
LS192 l mch m chia 10 cn LS193 l mch m chia 16.

Trang 82
Bi ging K thut xung s GV: Phm Th Lnh

C 2 loi u cu trc chn nh nhau v u c kh nng m ln hay xung.


Khi m ln xung ck c a vo chn CKU cn khi m xung xung ck
c a vo chn CKD.
Khi m ln ht s chn Carry xung thp, khi m xung ht s chn Borrow
xung thp, 2 chn ny dng khi cn ni tng nhiu IC.
c bit mch c th t trc s m ban u cc chn ABCD v chn LD
xung thp cho php np s ban u.
Nhm 74HC/HCT4518 v 74HC/HCT4520:
y l 2 IC m ng b h CMOS dng FF D v hot ng cng tng t nh
nhng IC k trn nhng v cu to c bn t cc cng logic CMOS nn tn s hot
ng thp hn so vi nhng IC cng loi b li tiu tn cng sut thp. 4518 l IC m
chia 10 cn 4520 l IC m chia 16.
Cu trc chn v c tnh ca chng nh nhau:
Chn nhn xung ck v chn cho php E c th chuyn i chc nng cho nhau
do mch c th tc ng cnh xung hay cnh ln
Mch cng cho php ni tng nhiu IC khi ni Q3 ca tng trc ti ng E ca
tng sau.
BI 3: MCH GHI DCH
3.1. Gii thiu:
phn trc ta c bit n cc loi FF. Chng u c th lu tr (nh 1
bit) v ch khi c xung ng b th bit mi truyn ti ng ra (o hay khng o).
By gi nu ta mc nhiu FF ni tip li vi nhau th s nh c nhiu bit. Cc ng
ra s phn hot ng theo xung nhp ck.
C th ly ng ra tng tng FF (gi l cc ng ra song song) hay tng cui
(ng ra ni tip). Nh vy mch c th ghi li d liu (nh) v dch chuyn n (truyn)
nn mch c gi l ghi dch. Ghi dch cng c rt nhiu ng dng c bit trong
my tnh, nh chnh ci tn ca n: lu tr d liu v dch chuyn d liu ch l ng
dng ni bt nht.
3.1.1 Cu to:
Ghi dch c th c xy dng t cc FF khc nhau v cch mc cng khc
nhau nhng thng dng FF D, chng c tch hp sn trong 1 IC gm nhiu FF (to
nn ghi dch n bit). Hy xem cu to ca 1 ghi dch c bn 4 bit dng FF D.

Hnh 5.18. Ghi dch 4 bit c bn.

Trang 83
Bi ging K thut xung s GV: Phm Th Lnh

3.1.2 Hot ng:


Thanh ghi, trc ht c xo (p xung CLEAR) t cc ng ra v 0. D
liu cn dch chuyn c a vo ng D ca tng FF u tin (FF0). mi xung kch
ln ca ng h ck, s c 1 bit c dch chuyn t tri sang phi, ni tip t tng ny
qua tng khc v a ra ng Q ca tng sau cng (FF3). Gi s d liu a vo l
1001, sau 4 xung ck th ta ly ra bit LSB, sau 7 xung ck ta ly ra bit MSB.

Nu tip tc c xung ck v khng a thm d liu vo th ng ra ch cn l 0


(cc FF reset : t li v 0 ht. Do ta phi hng hay ghim d liu li. Mt
cch lm l s dng 2 cng AND, 1 cng OR v 1 cng NOT nh hnh di y.

Hnh 5.19. Cho php cht d liu trc khi dch ra ngoi.
D liu c a vo thanh ghi khi ng iu khin R/W control mc cao
(Write). D liu ch c a ra ngoi khi ng iu khin mc thp (Read).

3.1.3. Phn loi:


C nhiu cch chia loi thanh ghi dch (SR).
- Theo s tng FF (s bit): SR c cu to bi bao nhiu FF mc ni tip th c
by nhiu bit (ra song song). Ta c SR 4 bit, 5 bit, 8 bit, 16 bit
C th c SR nhiu bit hn bng cch mc nhiu SR vi nhau hay dng cng
ngh CMOS (cc my tnh s dng SR nhiu bit).
- Theo cch ghi dch c:
+ SISO vo ni tip ra ni tip.
+ SIPO vo ni tip ra song song.
+ PISO vo song song ra ni tip.
+ PIPO vo song song ra song song.
- Theo chiu dch c SR tri, phi, hay c 2 chiu.

Trang 84
Bi ging K thut xung s GV: Phm Th Lnh

- Theo mch ra c loi thng v 3 trng thi.

Loi vo ni tip ra song song v ra ni tip.


3.2.Mch ghi dch vo ni tip ra song song:
Loi va kho st mc 3.1.2 thuc loi ghi dch vo ni tip ra ni tip. y
cng l cu trc ca mch ghi dch vo ni tip ra song song. D liu s c ly ra
4 ng Q ca 4 tng FF, v chung nhp ng h nn d liu cng c ly ra cng lc.

Hnh 5.20 Mch ghi dch vo ni tip ra song song.


Bng di y cho thy lm nh th no d liu c a ti ng ra 4 tng FF

3.3.Mch ghi dch vo song song ra ni tip(hoc ra song song):


By gi mun a d liu vo song song (cn gi l np song song) ta c th tn
dng ng vo khng ng b Pr v Cl ca cc FF np d liu cng mt lc vo cc FF.
Nh vy c th dng thm 2 cng nand v mt cng NOT cho mi tng. Mch mc nh
sau:

Hnh 5.21. Mch ghi dch np song song.

Trang 85
Bi ging K thut xung s GV: Phm Th Lnh

Mch hot ng bnh thng khi np song song thp nh ni. Khi np
song song WRITE = 1 cho php np.

ABCD c a vo Pr v Cl t v xo Q 0 = A, Q1 = B, Xung ck v ng
vo ni tip khng c tc dng (v s dng ng khng ng b Pr v Cl)
Mt cch khc khng s dng chn Pr v Cl c minh ho nh hnh di
y.Cc cng NAND c thm vo np cc bit thp D 1, D2, D3. Ng
WRITE/SHIFT dng cho php np ( mc thp) v cho php dch ( mc cao). D
liu np v dch vn c thc hin ng b nh cc mch trc.

Hnh 5.22. Mch ghi dch np song song ra ni tip.


Vi mch hnh 5.22 ng ra d liu l ni tip, ta cng c th ly ra d liu song
song nh hnh 5.23, Cu trc mch khng khc so vi trn. D liu c a vo
cng lc v cng ly ra cng lc (mch nh l tng m v hot ng khi c xung ck
tc ng ln).

Hnh 5.23. Mch ghi dch vo song song ra song song.


3.4. Mch ghi dch 2 chiu:
Nh thy, cc mch ghi dch ni nhng phn trn u a d liu ra bn
phi nn chng thuc loi ghi dch phi. c th dch chuyn d liu ngc tr li
(dch tri) ta ch vic cho d liu vo ng D ca tng cui cng, ng ra Q c a ti
tng k tip, . D liu ly ra tng u.

Trang 86
Bi ging K thut xung s GV: Phm Th Lnh

dch chuyn c 2 chiu, c th ni mch nh hnh di y(Hnh 5.24)


Vi mch trn, cc cng NAND v ng cho php dch chuyn d liu tri
hay phi. Bng di y minh ho cho mch trn : d liu s dch phi 4 ln ri dch
tri 4 ln. l th t 4 bit ra b o ngc li so vi chng trn.

Hnh 5.24 Mch ghi dch cho php dch chuyn c 2 chiu.
3.5 Mt s IC ghi dch:
Nhn thy rng cc ghi dch m t trn u dng cc FF ri, ri phi thm
nhiu cng logic ph to cc loi SR khc nhau. Trong thc t ghi dch c tch
hp sn cc FF v ni sn nhiu ng mch bn trong; ngi s dng ch cn phi
lm mt s ng ni bn ngoi iu khin cc ng cho php thi. Cc SR cng c
tch hp sn cc chc nng nh va c th dch tri dch phi va vo ni tip va np
song song. y l mt s ghi dch hay c dng:
Lit k
7494 : 4bit vo song song, ni tip; ra ni tip.
7495/LS95 : 4 bit, vo song song/ni tip; ra song song; dch chuyn tri phi.
7495/LS96 : 5 bit, vo ni tip/song song; ra song song ni tip.
74164/LS164 : 8 bit vo song song ra ni tip.
74165/LS765 : 8 bit, vo song song/ni tip; ra ni tip b tc.
74166/LS166 : 8 bit; vo song song/ni tip; ra ni tip; c th np ng b.
74194/LS194 : 4 bit vo song song/ni tip; ra song song; np ng b dch
chuyn tri phi.
74195/LS195 : 4 bit, vo song/ni tip; ra song song; tng u vo JK.
74295/LS295 : nh 74194/LS194 nhng ra 3 trng thi.
74395/LS295 : 4 bit vo song song; ra song song 3 trng thi.

Trang 87
Bi ging K thut xung s GV: Phm Th Lnh

74LS671/672 : 4 bit c thm cht.


74LS673/674 : 16 bit.

Trang 88
Bi ging K thut xung s GV: Phm Th Lnh

Kho st ghi dch tiu biu 74/74LS95:

Hnh 5.25. S chn ra 74LS95


S cu to v bng hot ng ca IC nh hnh trn. Cc ch hot ng
ca n nh sau:
Np ni tip:
a d liu vo tng u Q0
t iu khin chn mc thp.
Khi c ck1 hay ck2 th d liu s ln lt np vo ghi dch v s
c a ti cc tng sau.
Np song song:
D liu vo 4 ng ABCD
a iu khin kiu ln cao
Khi c ck1 hay ck2 th d liu s c np vo ng thi cc tng
ca ghi dch cnh ln u ca xung ck.
3.6. ng dng ca thanh ghi dch:
Thanh ghi dch ng vai tr cc k quan trng trong vic lu tr, tnh ton s hc
v logic. Chng hn trong cc b vi x l, my tnh u c cu to cc thanh ghi dch;
trong vi iu khin (8051) cng c cc ghi dch lm nhiu chc nng hay nh trong
nhn chia, ALU xt chng 2 ghi dch cng c cp n. y khng i
vo chi tit m ch ni khi qut ngn gn v ng dng ca chng.
3.6.1. Lu tr v dch chuyn d liu:
y l ng dng c bn v ph bin nht ca chng. Ghi dch n bit s cho php lu
tr c n bit d liu mt thi gian m chng no mch cn c cp in. Hay ni
cch khc d liu khi dch chuyn c tr hon mt khong thi gian, n tu thuc
vo:
- S bit c th ghi dch (s tng FF cu to nn ghi dch)
- Tn s xung ng h
3.6.2. To k t hay to dng song iu khin:

Trang 89
Bi ging K thut xung s GV: Phm Th Lnh

Ta c th np vo ghi dch, theo cch np ni tip hay song song, mt m nh


phn ca mt ch no (A, B, ...) hay mt dng sng no . Sau nu ta ni ng
ra ni tip ca ghi dch vng tr li ng vo ni tip th khi c xung ck cc bit s dch
chuyn vng quanh theo tc ca ng h. Cch ny c th iu khin sng tt ca
cc n (sp xp trn vng trn hay cch no khc) Nh m phng sau l dng sng tt
ca n led. Vi ti cng sut th cn mch giao tip cng sut nh thm trans, r le,
SCR,... ni chng 1 cng s c dng. Cng c th to ra dng sng tn hiu
tun hon cho mc ch th mch bng cch ny. Ta c th thay i dng sng bng
cch thay i m s nh phn np cho ghi dch, v thay i tn s xung kch ck c
cp t mch dao ng ngoi t 0 n 200MHz tu loi mch ghi dch.

Hnh 5.26. To dng sng iu khin bi ghi dch.


3.6.3. Chuyn i d liu ni tip sang song song v ngc li:
Cc my tnh hay cc b vi x l khi giao tip vi nhau hay vi cc thit b
ngoi thng trao i d liu dng ni tip khi gia chng c mt khong cch kh xa.
Ngoi cch dng cc b dn knh tch knh 2 u truyn m ta ni chng 2
th ghi dch cng c th c dng. Cc ghi dch chuyn song song sang ni tip s
thay th cho mch dn knh v cc ghi dch chuyn ni tip sang song song s thay th
cho mch tch knh. Bn cnh ghi dch, cng cn phi c cc mch khc ng b,
chng nhiu, r sai nhm thc hin qu trnh truyn ni tip hiu qu.

Hnh 5.27. Truyn d liu ni tip.


3.6.4. Bus truyn d liu:

Trang 90
Bi ging K thut xung s GV: Phm Th Lnh

By gi liu vi 8 ng d liu song song va nhn c t tch knh (cn


gi l 1 byte), ta c th dng chung cho nhiu mch c khng? S d c yu cu
l v trong my vi tnh c rt nhiu mch lin kt vi nhau bi cc ng d liu a
ch gm nhiu bit d liu 8, 16, 32 m ta bit n n vi ci tn l bus. Vy bus
chnh l cc ng d liu dng chung cho nhiu mch (chng hn bus gia cc vi x
l, cc chp nh bn dn, cc b chuyn i tng t v s,)
Ch c mt ng bus m li dng chung cho nhiu mch, do trnh tranh
chp gia cc mch th cn phi c mt b phn iu khin quyt nh cho php mch
no c thng vi bus, cc mch khc b ct khi bus. Vy y thanh ghi hay cc
b m 3 trng thi c dng.
Hnh di minh ho cho ng bus 8 bit ni gia vi x l vi b m 8 bit, bn
phm, v b 8 nt nhn:

Hnh 5.28. Bus d liu.


Gi s rng c thit b u cn giao tip vi vi x l, nhng ch c mt ng
truyn nu tt c ng lot a ln th c th b nh hng ln nhau gia cc d liu,
v thng tin nhn c l khng chnh xc. Do y vi x l s quyt nh: chng
hn n t ng OE1 cho php b m cho mch m a d liu ln bus cn chn
OE2 v OE3 ngng lm d liu t bn phm v nt nhn b ngt (ch) tc ng ra cc
b m hay thanh ghi 3 trng thi trng thi tng tr cao. Tng t khi vi x l cn
giao tip vi cc mch khc. Vi tc x l hng trm hng ngn MHz th vic d
liu phi ch l khng ng k do gia cc thit b giao tip vi nhau rt nhanh v
dng nh ng thi.

Trang 91
Bi ging K thut xung s GV: Phm Th Lnh

CHNG 6: MCH NH THI (TIMER)


Timer l mt vi mch nh thi rt thng dng. N c th theo nhiu chc nng:
lm mch a hi n n hoc phim nh, to mt xung vung n hay mt dy xung
vung gc lp li, hoc mt dy xung tam gic. Thi gian nh thi c th thay i t v
s n v trm giy nh mt mch R C n gin, vi chnh x in hnh l 1%.
6.1 Khi nim v mch nh thi 555:
.a Khi nim chung:
C hai loi mch nh thi thng dng nht l Timer 555 ch to theo cng ngh
transistor lng cc (BJT) v Timer 7555 ch to theo cng ngh CMOS. in p
cung cp cho IC 555 l 5 15V, cho IC 7555 l 2 18V. Cng sut tiu th v dng
cung cp IC 7555 cng nh hn nhiu so vi IC 555. Vi ngun + 15V, IC 555 yu
cu dng c 10mA. Dng u ra cc i ca IC 555 c th t ti 200mA ( IC 7555
ch bng na s ny).
.b Cu to:
Cu to ca IC 555 gm OP-amp so snh in p, mch lt v transistor x
in. Cu to ca IC n gin nhng hot ng tt. Bn trong gm 3 in tr mc ni
tip chia in p VCC thnh 3 phn. Cu to ny to nn in p chun. in p 1/3 V CC
ni vo chn dng ca Op-amp 1 v in p 2/3 V CC ni vo chn m ca Op-amp 2.
Khi in p chn 2 nh hn 1/3 VCC, chn S = [1] v FF c kch. Khi in p
chn 6 ln hn 2/3 VCC, chn R ca FF = [1] v FF c reset.

Hnh 6.1: S khi chc nng v v ca IC 555.


Chc nng cc chn ca IC 555:
1. Chn 1 l chn ni mass.
2. Chn s 8 t ngun cung cp UCC = 5 15V.

Trang 92
Bi ging K thut xung s GV: Phm Th Lnh

3. Chn s 2 l chn u vo kch khi (trigger), dng t xung kch


thch bn ngoi khi mch lm vic ch a hi n n.
4. Chn s 3 l u ra ca IC
5. Chn s 4 l chn xa (Reset) n c th iu khin xa in p u ra
khi in p t vo chn ny t 0,7 tr xung. V vy, c th pht xung u ra
chn s 4 phi t mc cao H.
6. Chn s 5 l chn in p iu khin (Control Volttage). Ta c th a
mt in p ngoi vo thay i vic nh thi ca mch, ngha l thay i tn s
dy xung pht ra. Khi khng c s dng th chn 5 ni mass thng qua mt t
khong 0,01F.
7. Chn 6 l chn in p ngng (Threshold).
8. Chn 7 l chn phng in (Discharge).
.c Ch lm vic nh mch a hi phim nh ca IC 555:
Hnh 6.2 l s ni chn ca IC 555 n hot ng nh mt mch a hi
phim nh. Chn ra s 3 s pht ra mt dy xung vung gc lp li. Thi gian tn tai
xung t1 ( rng xung) ph thuc vo tc np.

Hnh 6.2: Dng IC 555 lm mch a hi phim nh.


Gii thch s dao ng:
K hiu 0 l mc thp bng 0V, 1 l mc cao gn bng VCC. Mch FF l
loi RS Flip-flop.
Khi S = [1] th Q = [1] v Q = [ 0 ]. Sau , khi S = [0] th Q = [1] v Q
= [ 0 ].
Khi R = [1] th Q = [1] v Q = [0].
Tm li, khi S = [1] th Q = [1] v khi R = [1] th Q = [0] bi v Q = [1],
transisitor m dn, cc C ni t. Cho nn in p khng np vo t C, in p chn
khng vt qu V2. Do li ra ca Op-amp 2 mc 0, FF khng reset.
o Giai on ng ra mc 1:

Trang 93
Bi ging K thut xung s GV: Phm Th Lnh

Khi bm cng tc khi ng, chn 2 mc 0.


V in p chn 2 (V-) nh hn V1(V+), ng ra ca Op-amp 1 mc 1 nn S =
[1], Q = [1] v Q = [0]. Ng ra ca IC mc 1.
Khi Q = [0], transistor tt, t C tip tc np qua R, in p trn t tng. Khi
nhn cng tc ln na Op-amp 1 c V- = [1] ln hn V+ nn ng ra ca Op-amp 1
mc 0, S = [0], Q v Q vn khng i. Trong khi in p t C nh hn V2, FF vn
gi nguyn trng thi .
o Giai on ng ra mc 0:
Khi t C np tip, Op-amp 2 c V+ ln hn V- = 2/3 VCC, R = [1] nn Q = [0] v
Q = [1]. Ng ra ca IC mc 0.

V Q = [1], transistor m dn, Op-amp2 c V+ = [0] b hn V-, ng ra ca Op-


amp 2 mc 0. V vy Q v Q khng i gi tr, t C x in thng qua transistor.
Kt qu cui cng: Ng ra OUT c tn hiu dao ng dng sng vung, c chu
k n nh.
Tnh ton cc thng s:
Thi gian tn ti xung tm ( rng xung) ph thuc vo tc np ca t C t
ngun cung cp ngha l t l vi hng s thi gian np n = (R1 + R2).C, ta c:
Tm = n.ln2 = (R1 + R2).C.ln2 0,7. (R1 + R2).C. (6.1)
Thi gian khng c xung ts (thi gian ngh) phj thuc s phng in ca t C
qua chn phng in s 7, ngha l t l vi hng s thi gian phng p = R2.C v:
Ts = p.ln2 = R2.C.ln2 0,7. R2.C. (6.2)
Vy tn s dy xung u ra:
1 1 1,44
f (6.3)
T t m t s ( R1 2 R2 )C

+UCC

R
8 4
7

R
6 3

2
C 1 5

10nF

Hnh 6.3: S ni chn IC 555 to dy xung vung gc i xng.


Mun nhn c dy xung vung gc i xng u ra, ngha l t m = ts = T/2 ta
c th lm theo hai cch sau:

Trang 94
Bi ging K thut xung s GV: Phm Th Lnh

Chn R1 << R2. Lc theo (6.1) v (6.2) c th coi tm = ts = 0,7R2.C. Tuy nhin
khng th chn R1 qu nh c, v d R1 = 100, v khi dng i t ngun +U CC
U CC
vo chn 7 khi transistor dn l R s qu ln ph hng transistor.
1

S dng thm mt diode mc song song vi R 2 v chn R1 = R2 = R. hnh 6.3,


ng np cho t C t ngun +UCC c i qua diode; in tr R ni song song vi diode
khi coi nh ngn mch v hng s thi gian ca mch np n = RC. Khi t C phng
in vo chn 7 th n khng th phng qua diode (v diode mc ngc) v hng s
thi gian ca mch phng p = RC. Ta thy n = p nn:
tm = ts = 0,7RC
Dy xung u ra l i xng, vi tn s:
1 1 1
f
T t m t s 1,4 RC

6.2 Mt s ng dng thc t ca IC 555:


6.2.1. Mch a hi n n dng IC 555: c s dng ch yu nh mt rle
thi gian iu khin hot ng ca mt i tng no trong mt thi gian xc
nh. Ta ly s hnh 6.4 lm v d:
y l s t bt n chiu sng cng khi khch n vo bui ti. Trn s ,
nt n N dng pht hin khi c khch n (v d nt N t cng khi nhn chung
th N b nhn v ng mch), R l phn t quang i tr bn dn; cng sng chiu
vo phn t cng mnh th R cng gim. N dng phn bit tri ti v sng.

+UCC

R2 N

R
C2
R
8 4
R3
2
A
6 3
D1

7
RCA D2
C1 1 5 RL K

10nF

Hnh 6.4 Mch t ng bt n khi tri ti.


Xt hot ng ca s : IC 555 c u thnh mch a hi n n; ti chn 3
l cun dy RL ca rle in t vi tip im thng m K; R - RCA hp thnh phn
p v ta iu chnh RCA tr s sao cho ban ngy R nh, in p uA ti im A ln hn
ngng kch khi yu cu t ln chn kch khi 2 (u A > UCC/3), vo bui ti R ln v
uA di ngng kch khi (uA< UCC/3).

Trang 95
Bi ging K thut xung s GV: Phm Th Lnh

o Khi nt N h, ton b ngun cung cp +UCC t ln chn 2 nn mch


khng hot ng. Ban ngy nu nhn nt N th mch vn khng lm vic, v in p u A
chn A truyn qua t C2 vo thng chn 2 vn cao hn ngng kch khi.
o Ch bui ti, R ln (uA< UCC/3), khi c khch n, nt N b nhn v
uA truyn ngay qua t C2 ti chn 2 s kch khi hot ng ca IC 555. u ra 3 xut
hin mt xung vung bin khong +U CC. Qua cun RL c dng lm ng tip im
K ca mch xoay chiu, cung cp cho n chiu sng t cng. n ch sng trong
khong thi gian tx 1,1R1C1 l thi gian tn ti xung chn 3.
Cc linh kin trong s c thng s nh sau:
R1 = 470; R2 = 100k; R3 = 330k;
C1 = 100F; C2 = 10nF; RCA = 0 47 k;
Phn t quang in sulfit Cadmium c R = = 0 47 k; cun dy rle RL 12V,
100; D1, D2 l loi 1N4001. Vi cc tr s R 1C1 nh trn th n s sng trong vng
50s.
6.2.2. Mch a hi phim nh dng IC 555: dng vo nhiu mc ch khc
nhau, sau y l mt s v d:
a. Mch ci bo ng dng IC 555:
Hnh 6.5 l s thng dng ca mch ci bo ng dng IC 555. Dy xung
vung gc gn nh i xng chan 3 iu khin s ng/m lin tc ca kha
transistor , to thnh dy xung dng in qua cun dy loa, lm mng loa rung vi tn
s bng tn s vi dy xung u ra 3. Mch ra transistor gi vai tr khuch i cng
sut, m bo c th chn loa cng sut khong vi W. Hai diode D1, D2 tng ng
bo v transistor v cun dy loa. S dao ng lin tc v ln ca dng dy qua loa
gy s bin ng lin tc ca in p ngun cung cp. Diode D3 v t 470F m bo
s n nh ca in p cung cp cho IC 555.
1N4001
+UCC
D1

Loa
470F D2
1N4001
10
k R1
8 4
7
100
k R2
6 3

120
2
D1
C1 10nF 1 5 1N4001

10nF

Hnh 6.5. Mch ci bo ng dng IC 555.

Trang 96
Bi ging K thut xung s GV: Phm Th Lnh

b. n in t dng IC 555:
Mch hnh 6.5 l s mt n in t dng IC 555. n c 5 phm nhn P1
P5 ni tip vi cc t C1 C5. Nhn cc phm khc nhau, mng loa s rung vi cc
tn s khc nhau, to thnh mt m thanh xc nh. Tng s phm n, ta s m rng di
m thanh ca n.
Tr s in hnh ca cc linh kin trn s :
R1 = 0 100k; R2 = 1k; Loa: 8;
C1 = 0,1F; C2 = 0,05F; C3 = 0,001F;
C4 = 0,005F; C5 = 0,001F;
+UCC

C1 P1

R1
C2 P2 8 4
100
7 3
C3 P3 R2
6
Loa
C4 P4
2
1 5
C5 P5
4,7F

10nF

Hnh 6.6. n in t dng IC 555.


c. S ngun cp in cho n n - n:
Hnh 6.7 l mch thp sng n n-n dng IC 555.
+UCC

D
R1 8 4 R3
C2
7

R2 6 3
Bi
n ap

2
1 5
C1 10nF

10nF

Hnh 6.7. Mch thp sng n n-n dng IC 555.


Tr s in hnh ca cc linh kin trn s :
R1 = 0 47k; R2 = 1k; R3 = 10k;
C1 = 1F; C2 = 0,1F, 250V; : n n-n 220V;

Trang 97
Bi ging K thut xung s GV: Phm Th Lnh

CHNG 7: CHUYN I TN HIU


BI 1: TN HIU TNG T V TN HIU S
Cc i lng vt l c theo di, o lng, ghi li, tnh ton cn c biu
din bng gi tr thc ca chng mt cch chnh xc thun li cho vic x l kt
qu. C hai cch biu din i lng ny:
- Biu din dng tng t: khi hm biu din v i lng bin thin theo thi
gian cng mt cch thc ta c tn hiu tng t hay tn hiu analog m t biu din i
lng cn x l, v d nh hiu in th u ra ca mt micro c th bin thin lin
tc trong khong gi tr t 0 ti khong 100mV, biu din ting ni ca ngi ang s
dng micro, hoc kim ng h o tc bin thin lin tc khi mt chic t ang
chy biu din tc ca t trong khong t 0 n 100km/h
- Biu din i lng dng s khi hm biu din s bin thin khng lin tc
theo thi gian v ngi ta dng cc k t bng s m t biu din n, ta nhn c
tn hiu s hay tn hiu Digital vi c trng l s bin thin theo tng bc ri rc.
Tng ng vi iu trn, mt mch in t, mt thit b hay h thng in t
lm nhim v x l cc tn hiu thuc loi no s mang tn tng ng ca loi : l
h thng tng t v h thng s. Nhn chung th gii hin thc xung quanh l th
gii tng t, tc l cc i lng xung quanh ta c bn cht l tng t tc ng n
u vo v yu cu xut hin u ra mt h thng gia cng x l tin tc. K thut x
l s tn hiu dng cc h thng s nh vy c vai tr trung gian trong ba bc:
Bin i i lng u vo t nhin dng tng t thnh tn hiu
s tng ng, ri sau a vo h thng s (my tnh s chng hn) x l. Chng ta
gi s chuyn i t tn hiu tng t thnh tn hiu s l chuyn i AD, v mch
in thc hin s bin i gi l ADC (Analog Digital Conventer)
X l thng tin tn hiu s va nhn c, y l nhim v chnh
ca h thng s. Ti y cc i lng c gia cng, x l, v c truyn i n u
ra chuyn i v dng tng t. Chng ta gi s chuyn i t tn hiu s thnh tn
hiu tng t l chuyn i DA v mch in thc hin s bin i gi l DAC
(Digital Analog Conventer)
Bin i cng ra tn hiu dng s v dng tng t, y h
thng s l vic bin i tn hiu s (kt qu x l) thnh tn hiu tng t.
kt qu x l nhn c chnh xc th chuyn i AD v DA phi c
chnh xc cao. Mt khc, mun dng h thng s iu khin, gim st cc qu trnh

Trang 98
Bi ging K thut xung s GV: Phm Th Lnh

bin i nhanh th ADC v DAC phi c tc ln. Vy chnh xc v tc


chuyn i l cc c trng ch yu ca nh gi cht lng ADC v DAC.
Nguyn nhn ca vic lm 3 bc trung gian x l tn hiu s xut pht t:
- Thi quen t bn cht ca con ngi s ha cc i lng cn quan tm
x l, v d nh khi ta ni nhit phng l 25 0C thc ra ch l con s gn ng
c lm trn ca gi tr thc ang c.
- K thut x l s th hin nhiu u im vt tri so vi cc phng php
x l truyn thng trc y: d dng hn trong thit k, thun li trong lu gi thng
tin theo thi gian, tnh chnh xc v tin cy t c cao, c th lp trnh x l t
ng, t chu nh hng ca tc ng l (nhiu)
Qu trnh bin i mt tn hiu dng tng t sang dng tn hiu s cn 3 bc
c bn sau y:

Hnh 7.1. S khi ca h thng iu khin nhit .


- Thc hin vic ri rc ha tn hiu tng t bng cch ly mu cc gi tr ca
n nhng thi im xc nh. Bc ny cn ch lm gim ti mc ti thiu vic
mt mt thng tin, mun vy th chu k (nhp) ly mu phi mau hn hai ln chu k
mau nht ca tn hiu (fmu 2fmax).
- Thc hin vic lm trn (lng t ha) cc gi tr mu ly. Mun vy cn
chn ra mt n v ri rc nh nht v ln c gi l 1 bc (mt gi tr) lng t
cng n v o vi cc gi tr ri rc trn v nh gi chng bng bao nhiu ln
phn nguyn gi tr lng t.
- Thc hin vic biu din cc gi tr va lm trn thnh cc k s trong h
thng s m c la chn, v d trong h thp phn hay trong h m nh phn cng
vic ny gi l m ha cc gi tr lm trn chn.
BI 2: MCH CHUYN I S - TNG T (DAC)
2.1. nh ngha:

Trang 99
Bi ging K thut xung s GV: Phm Th Lnh

Chuyn i s sang tng t l qu trnh


ly mt gi tr c biu din di dng
m s ( digital code ) v chuyn i n
thnh mc in th hoc dng in t l
vi gi tr s. Hnh 7.2 minh ha s
khi ca mt b chuyn i DAC.
Hnh 7.2. S khi ca mt DAC.

2.2. Cc thng s k thut ca b DAC:


2.2.1. phn gii:
phn gii (resolution) ca b bin i DAC l t s gia gi tr cc tiu i
vi gi tr cc i ca in p u ra. V tr s t s ny tng ng vi gi tr cc tiu
i vi gi tr cc i ca tn hiu s u vo.
phn gii ca DAC ph thuc vo s bit, do cc nh ch to thng n
nh phn gii ca DAC dng s bit. DAC 10 bit c phn gii tinh hn DAC 8
bit. DAC c cng nhiu bit th phn gii cng tinh hn.
phn gii lun bng trng s ca LSB. Cn gi l kch thc bc thang (step
size), v l khong thay i ca Vout khi gi tr ca u vo s thay i t bc ny
sang bc khc.

Hnh 7.3. Dng sng bt thang ca mt DAC.


Dng sng bc thang (hnh 7.3) c 16 mc vi 16 thng thi u vo nhng ch
c 15 bc gia mc 0 v mc cc i. Vi DAC c N bit th tng s mc khc nhau s
l 2N, v tng s bc s l 2N 1. Do phn gii bng vi h s t l trong mi
quan h gia u vo v u ra ca DAC.
u ra tng t = K.x u vo s
Vi K l mc in th (hoc cng dng in) mi bc.

Trang 100
Bi ging K thut xung s GV: Phm Th Lnh

Nh vy ta c cng thc tnh phn gii nh sau:


A fs
phn gii = K (7-1)
2 N
1
Vi A fs l u ra cc i (y thang)
N l s bit
Nu tnh theo phn trm ta c cng thc nh sau: (7-2)

Nh hnh 7.3 ta c:
1V
% phn gii = x100% 6,67%
15V

V d 1: Mt DAC 10 bit c kch thc bc thang = 10mV. Hy xc nh in


th u ra cc i (y thang) v t l % phn gii.
Gii:
DAC c 10 bit nn ta c:
S bc l 210 1 = 1023 bc
Vi mi bc l 10mV nn u ra cc i s l 10mVx1023 = 10,23V
10mV
% phn gii = x100% 0,1%
10,23mV

T v d trn cho thy t l phn trm phn gii gim i khi s bit u vo
tng ln. Do ta cn tnh c % phn gii theo cng thc:
1
% phn gii = x100% 0,1% (7-3)
Tongsobat

2.2.2. chnh xc:


C nhiu cch nh gi chnh xc ca b DAC. Hai cch thng dng nht l
sai s ton thang (full scale error) v sai s tuyn tnh (linearity error) thng c
biu bin dng phn trm u ra cc i (y thang) ca b chuyn i.
Sai s ton thang l khong lch ti a u ra DAC so vi gi tr d kin (l
tng), c biu din dng phn trm.
Sai s tuyn tnh l khong lch ti a kch thc bc thang so vi kch thc
bc thang l tng.
iu quan trng ca mt DAC l chnh xc v phn gii phi tng thch vi
nhau.
2.2.3. Sai s lch:
Theo l tng th u ra ca DAC s l 0V khi tt c u vo nh phn ton l
bit 0. Tuy nhin trn thc t th mc in th ra cho trng hp ny s rt nh, gi l

Trang 101
Bi ging K thut xung s GV: Phm Th Lnh

sai s lch (offset error). Sai s ny nu khng iu chnh th s c cng vo u ra


DAC d kin trong tt c cc trng hp.
Nhiu DAC c tnh nng iu chnh sai s lch bn ngoi, s cho php chng
ta trit tiu lch ny bng cch p mi bit 0 u vo DAC v theo di u ra. Khi
ta iu chnh chit p iu chnh lch cho n khi no u ra bng 0V.
2.2.4.Thi gian n nh:
Thi gian n nh (settling time) l thi gian cn thit u ra DAC i t zero
n bc thang cao nht khi u vo nh phn bin thin t chui bit ton 0 n chui
bit ton l 1. Thc t thi gian n nh l thi gian u vo DAC n nh trong
phm vi 1/2 kch thc bc thang ( phn gii) ca gi tr cui cng.
V d: Mt DAC c phn gii 10mV th thi gian n nh c o l thi
gian u ra cn c n nh trong phm vi 5mV ca gi tr y thang.
Thi gian n nh c gi tr bin thin trong khong 50ns n 10ns. DAC vi u
ra dng c thi gian n nh ngn hn thi gian n nh ca DAC c u ra in th.
2.2.5. Trng thi n iu:
DAC c tnh cht n iu (monotonic) nu u ra ca n tng khi u vo nh
phn tng dn t gi tr ny ln gi tr k tip. Ni cch khc l u ra bc thang s
khng c bc i xung khi u vo nh phn tng dn t zero n y thang.
T s ph thuc dng:
DAC cht lng cao yu cu s nh hng ca bin thin in p ngun i
vi in p u ra v cng nh. T s ph thuc ngun l t s bin thin mc in p
u ra vi bin thin in p ngun gy ra n.
Ngoi cc thng s trn chng ta cn phi quan tm n cc thng s khc ca
mt DAC khi s dng nh: cc mc logic cao, thp, in tr, in dung, ca u vo;
di rng, in tr, in dung ca u ra; h s nhit,
2.2.6. T s ph thuc ngun:
2.2.7. Di ng, in tr, in dung u ra:

2.3. Cc s ca b bin i DAC:
2.3.1. DAC dng in tr c trng s nh phn v b khuch i cng.
Hnh 7.3 l s mch ca mt mch DAC 4 bit dng in tr v b khuch
i o. Bn u vo A, B, C, D c gi tr gi nh ln lt l 0V v 5V.

Trang 102
Bi ging K thut xung s GV: Phm Th Lnh

Hnh 7.4: DAC dng in tr c trng s nh phn v b khuch i cng.


B khuch i thut ton (Operational Amplifier Op Amp) c dng lm b
cng o cho tng trng s ca bn mc in th vo. Ta thy cc in tr u vo
gim dn 1/2 ln in tr trc n. Ngha l u vo D (MSB) c R IN = 1k, v vy b
khuch i cng chuyn ngay mc in th ti D i m khng lm suy gim (v R f =
1k). u vo C c R = 2k, suy gim i 1/2, tng t u vo B suy gim 1/4 v
u vo A gim 1/8. Do u ra b khuch i c tnh bi biu thc:
1 1 1
V OUT VD VC VB V A (7-4)
2 4 8

du m (-) biu th b khuch i cng y l khuch i cng o. Du m


ny chng ta khng cn quan tm.
Nh vy ng ra ca b khuch i cng l mc in th tng t, biu th tng
trng s ca cc u vo. Da vo biu thc (7-4) ta tnh c cc mc in p ra
tng ng vi cc t hp ca cc ng vo (bng 7.1).
Bng 7.1 u ra ng vi iu kin cc u vo thch hp 0V hoc 5V.

Trang 103
Bi ging K thut xung s GV: Phm Th Lnh

phn gii ca mch DAC hnh 7.4 bng vi trng s ca LSB, ngha l bng
1/8 x 5V = 0.625V. Nhn vo bng 7.1 ta thy u ra tng t tng 0.625V khi s nh
phn u vo tng ln mt bc.
V d 2:
a. Xc nh trng s ca mi bit u vo hnh 7.4
b. Thay i Rf thnh 500. Xc nh u ra cc i y thang.
Gii:
a. MSB chuyn i vi mc khuch i = 1 nn trng s ca n u ra l 5V.
Tng t nh vy ta tnh c cc trng s ca cc bit u vo nh sau:
MSB # 5V
MSB th 2 # 2.5V (gim i 1/2)
MSB th 3 # 1.25V (gim i 1/4)
MSB th 4 (LSB) # 0.625V (gim i 1/8)
b. Nu Rf = 500 gim theo tha s 2, nn mi trng s u vo s nh hn 2
ln so vi gi tr tnh trn. Do u ra cc i (y thang) s gim theo cng tha
s, cn li: -9.375/2 = -4.6875V
2.3.2. DAC R/2R ladder:
Mch DAC ta va kho st s dng in tr c trng s nh phn to trng s
thch hp cho tng bit vo. Tuy nhin c nhiu hn ch trong thc t. Hn ch ln nht
l khong cch chnh lch ng k gi tr in tr gia LSB v MSB, nht l
trong cc DAC c phn gii cao (nhiu bit). V d nu in tr MSB = 1k trong

Trang 104
Bi ging K thut xung s GV: Phm Th Lnh

DAC 12 bit, th in tr LSB s c gi tr trn 2M. iu ny rt kh cho vic ch to


cc IC c bin thin rng v in tr c th duy tr t l chnh xc.
khc phc c nhc im ny, ngi ta tm ra mt mch DAC p ng
c yu cu l mch DAC mng R/2R ladder. Cc in tr trong mch ny ch
bin thin trong khong t 2 n 1. Hnh 7.5 l mt mch DAC R/2R ladder c bn.

Hnh 7.5: Mch DAC R/2R ladder c bn.


T hnh 7.5 ta thy c cch sp xp cc in tr ch c hai gi tr c s
dng l R v 2R. Dng IOUT ph thuc vo v tr ca 4 chuyn mch, u vo nh phn
B0B1B2B3 chi phi trng thi ca cc chuyn mch ny. Dng ra I OUT c php chy
qua b bin i dng thnh in (Op-Amp) bin dng thnh in th ra V OUT. in
th ng ra VOUT c tnh theo cng thc:
VREF
VOUT xB (7-5)
8
Vi B l gi tr u vo nh phn, bin thin t 0000 (0) n 1111(15)
V d 3: Gi s VREF = 5V ca DAC hnh 7.5. Tnh phn gii v u ra cc
i ca DAC ny?
Gii
phn gii bng vi trng s ca LSB, ta xc nh trng s LSB bng cch gn
B = 00012 = 1. Theo cng thc (7-5), ta c:
5Vx1
phn gii = 0.25V
8
u ra cc i xc nh c khi B = 11112 = 1510. p dng cng thc (7-5) ta c:
5Vx15
u ra cc i = 9.375V
8

2.3.3. DAC vi u ra dng:

Trang 105
Bi ging K thut xung s GV: Phm Th Lnh

Trong cc thit b k thut s i lc cng i hi qu trnh iu khin bng dng


in. Do ngi ta to ra cc DAC vi ng ra dng p ng yu cu . Hnh
7.6 l mt DAC vi ng ra dng tng t t l vi u vo nh phn. Mch DAC ny 4
bit, c 4 ng dn dng song song mi ng c mt chuyn mch iu khin. Trng
thi ca mi chuyn mch b chi phi bi mc logic u vo nh phn.

Hnh 7.6: Mch DAC c u ra dng c bn.


Dng chy qua mi ng l do mc in th quy chiu V REF v gi tr in tr
trong ng dn quyt nh. Gi tr in tr c trng s theo c s 2, nn cng
dng in cng c trng s theo h s 2 v tng cng dng in ra I OUT s l tng
cc dng ca cc nhnh.
I0 I I
I OUT B3 xI 0 B2 x B1 x 0 B0 x 0 (7-6)
2 4 8
V
Vi: I 0 REF
R
DAC vi u dng ra c th
chuyn thnh DAC c u ra in th
bng cch dng b khuch i thut ton
(Op-Amp) nh hnh 7.7.

Hnh 7.7: Ni vi b i dng in


thnh in th.
hnh trn IOUT ra t DAC phi ni n u vo ca b khuch i thut
ton. Hi tip m ca b khuch i thut ton buc dng I OUT phi chy qua RF v to
in p ng ra VOUT v c tnh theo cng thc:
VOUT I OUT xRF

Do VOUT s l mc in th tng t, t l vi u vo nh phn ca DAC.

Trang 106
Bi ging K thut xung s GV: Phm Th Lnh

2.3.4. DAC in tr hnh T:


Hnh 7.8 l s DAC in tr hnh T 4 bit. Trong s c hai loi in tr l R
v 2R c mc thnh 4 cc hnh T ni dy chuyn. Cc S 3, S2, S1, S0 l cc chuyn
mch in t. Mch DAC ny dng b khuch i thut ton (Op-Amp) khuch i o.
VREF l in p chun lm tham kho. B3, B2, B1, B0 l m nh phn 4 bit. Vo l in p
tng t ng ra. Ta thy cc chuyn mch chu s iu khin ca s nh phn tng ng
vi cc cng tc: khi Bi = 1 th cng tc Si ng vo VREF, kho Bi = 0 th Si ni t.

Hnh 7.8: DAC in tr hnh T.


Nguyn l lm vic ca DAC ny cng n gin. Ngi c c th gii thch
c hot ng ca mch da trn hnh v v nhng kin thc hc. Chng ta ch
cn cho ln lt cc bit B i bng logic 1 v 0 ta s tnh c V OUT sau dng nguyn
xp chng ta s tnh c in p ra:
VREF
VOUT 4
( B3 23 B2 2 2 B1 21 B0 20 ) (7-7)
2
Biu thc (7-7) chng t rng bin in p tng t u ra t l thun vi gi
tr tn hiu s u vo. Chng ta c th thy rng i vi DAC in tr hnh T N bit th
in p tng t u ra VOUT s l:
VREF
VOUT N
( BN 1 2 N 1 BN 2 2 N 2 B1 2 1 B0 2 0 ) (7-8)
2
Sai s chuyn i:
i vi mch DAC in tr hnh T th sai s chuyn i do cc nguyn nhn sau:
Sai lch in p chun tham chiu VREF .
T cng thc (7-8) ta c th tnh sai s chuyn i DA do ring sai s lch in
p chun tham chiu VREF gy ra nh sau:
1
VOUT N
( BN 1 2 N 1 BN 2 2 N 2 B1 2 1 B0 2 0 )VREF (7-9)
2
Biu thc trn cho thy sai s ca in p tng t DV OUT t l vi sai lch
DVREF v t l thun vi gi tr tn hiu s u vo.

Trang 107
Bi ging K thut xung s GV: Phm Th Lnh

S tri im 0 ca khuch i thut ton.


S tri im 0 ca b khuch i thut ton nh hng nh nhau i vi mi gi tr
tn hiu s c bin i. Sai s DVOUT do tri im 0 khng ph thuc gi tr tn hiu s.
in p ri trn in tr tip xc ca tip im chuyn mch.
Cc chuyn mch khng phi l l tng, thc t in p ri khi ni thng ca
mch in chuyn mch khng th tuyt i bng 0. Vy in p ri ny ng vai tr
tn hiu sai s a n u vo mng in tr hnh T.
Sai s ca in tr.
Sai s in tr cng gy ra sai s phi tuyn. Sai s ca cc in tr khng nh nhau,
tc ng gy sai s chuyn i DA ca nhng in tr khc nhau v v tr l khc nhau.
Tc chuyn i:
DAC in tr hnh T cng tc song song (cc bit tn hiu s u vo c a
vo song song) nn c tc chuyn i cao. Thi gian cn thit cho mt ln chuyn
i gm hai gai on: thi gian tr truyn t ca bit tn hiu vo xa nht n b khuch
i thut ton v thi gian cn thit b khuch i thut ton n nh tn hiu ra.

Trang 108
Bi ging K thut xung s GV: Phm Th Lnh

BI 3: MCH CHUYN I TNG T - S


3.1. S khi:

Hnh 7.9. S khi tng qut ca mt b ADC.


B chuyn i tng t sang s ADC (Analog to Digital Converter) ly mc
in th vo tng t sau mt thi gian s sinh ra m u ra dng s biu din u
vo tng t. Tin trnh bin i A/D thng phc tp v mt nhiu thi gian hn tin
trnh chuyn i D/A. Do c nhiu phng php khc nhau chuyn i t tng
t sang s. Hnh v 7.9 l s khi ca mt b ADC n gin.
Hot ng c bn ca lp ADC thuc loi ny nh sau:
Xung lnh START khi ng s hot ng ca h thng.
Xung Clock quyt nh b iu khin lin tc chnh sa s nh phn lu
trong thanh ghi.
S nh phn trong thanh ghi c DAC chuyn i thnh mc in th tng t
VAX.
B so snh so snh VAX vi u vo trng t VA. Nu VAX < VA u ra
ca b so snh ln mc cao. Nu V AX > VA t nht bng mt khong VT (in th
ngng), u dra ca b so snh s xung mc thp v ngng tin trnh bin i s nh
phn thanh ghi. Ti thi im ny VAX xp x VA. gi dtr nh phn thanh ghi l i
lng s tng ng VAX v cng l i lng s tng ng VA, trong gii hn
phn gii v chnh xc ca h thng.
Logic iu khin kch hot tn hiu ECO khi chu k chuyn i kt thc.
Tin trnh ny c th c nhiu thay di i vi mt s loi ADC khc, ch
yu l s khc nhau cch thc b iu khin sa i s nh phn trong thanh ghi.
3.2. Cc ch tiu k thut ch yu ca ADC
3.2.1. phn gii:

Trang 109
Bi ging K thut xung s GV: Phm Th Lnh

phn gi ca mt ADC biu th bng s bit ca tn hiu s u ra. S lng bit


nhiu sai s lng t cng nh, chnh xc cng cao.
3.2.2. Di ng, in tr u vo:
Mc logic ca tn hiu s u ra v kh nng chu ti (ni vo u vo).
3.2.3. chnh xc tng i:
Nu l tng ha th tt c cc im chuyn iphi nm trn mt ng thng.
chnh xc tng i l sai ds ca cc im chuyn i thc t so vi c tuyn chuyn
i l tng. Ngoi ra cn yu cu ADC khng b mt bit trong ton b phm vi cng tc.
3.2.4. Tc chuyn i:
Tc chuyn i c xc nh thi gian bi thi gian cn thit hon thnh mt
ln chuyn i A/D. Thi gian ny tnh t khi xut hin tn hiu iu khin chuyn i
n khi tn hiu s u ra n nh.
3.2.5. H s nhit
H s nhit l bin thin tng i tn hiu s u ra khi nhit bin i 1 0C
trong phm vi nhit cng tc cho php vi iu kin mc tng t u vo khng i.
3.2.6. T s ph thuc cng sut:
Gi s in p tng t u vo khng i, nu ngun cung cp cho ADC bin
thin m nh hng n tn hiu s u ra cng ln th t s ph thuc ngun cng ln.
3.2.7. Cng sut tiu hao.
3.3 Cc bc chuyn i AD:
Qu trnh chuyn i A/D nhn chung c thc hin qua 4 bc c bn, l:
ly mu; nh mu; lng t ha v m ha. Cc bc lun lun kt hp vi nhau
trong mt qu trnh thng nht.
3.3.1 nh l ly mu:
i vi tn hiu tng t VI th tn hiu ly mu VS sau qu trnh ly mu c th
khi phc tr li VI mt cch trung thc nu iu kin sau y tha mn:

Trang 110
Bi ging K thut xung s GV: Phm Th Lnh

f s 2 f Im ax(7-10)
Trong : fS : tn s ly mu
fImax : l gii hn trn ca di tn
s tng t.
Hnh 7.10 biu din cch ly mu
tn hiu tng t u vo. Nu biu
thc (7-10) c tha mn th ta c
th dng b t lc thng thp khi
phc VI t VS.
V mi ln chuyn i in p
ly mu thnh tn hiu s tng ng
u cn c mt thi gian nht nh
nn phi nh mu trong mt khong
thi gian cn thit sau mi ln ly
mu. in p tng t u vo c Hnh 7.10: Ly mu tng t tn hiu u
thc hin chuyn i A/D trn thc t vo.
l gi tr VI i din, gi tr ny l kt
qu ca mi ln ly mu.
3.3.2. Lng t ha v m ha:
Tn hiu s khng nhng ri rc trong thi gian m cn khng lin tc trong
bin i gi tr. Mt gi tr bt k ca tn hiu s u phi biu th bng bi s nguyn
ln gi tr n v no , gi tr ny l nh nht c chn.
Ngha l nu dng tn hiu s biu th in p ly mu th phi bt in p ly
mu ha thnh bi s nguyn ln gi tr n v. Qu trnh ny gi l lng t ha. n
v c chn theo qui nh ny gi l n v lng t, k hiu D. Nh vy gi tr bit 1
ca LSB tn hiu s bng D.
Vic dng m nh phn biu th gi tr tn hiu s l m ha. M nh phn c
c sau qu trnh trn chnh l tn hiu u ra ca chuyn i A/D.
3.3.3. Mch ly mu v nh mu:
Khi ni trc tip in th tng t vi u vo ca ADC, tin trnh bin i c
th b tc ng ngc nu in th tng t thay i trong tin trnh bin i. Ta c th
ci thin tnh n nh ca tin trnh chuyn i bng cch s dng mch ly mu v
nh mu ghi nh in th tng t khng i trong khi chu k chuyn i din ra.
Hnh 7.11 l mt s ca mch ly mu v nh mu.

Hnh 7.11: S mch ly mu v nh mu.

Trang 111
Bi ging K thut xung s GV: Phm Th Lnh

Vo thi im ly mu, kha ng li. T C c np rt nhanh do rC nh. T


np n in p bng vi gi tr in p ca tn hiu tng t vo. Qu trnh ny chnh
l qu trnh ly mu. Sau kha m ra Do RC rt ln nn in p trn t C gn nh
khng thay i. y chnh l giai on gi mu.

Trang 112

You might also like