You are on page 1of 82

I HC CNG NGH THNG TIN V TRUYN THNG

KHOA CNG NGH IN T V TRUYN THNG

BI GING :
THIT K MCH LOGIC V ANALOG
( Ti liu lu hnh ni b)

Thi nguyn, thng 10 nm 2012


1
PHN I: THIT K MCH LOGIC
Chng I: i s boole v cc linh kin in t s
1.1. Mt s khi nim c bn
- Bin logic: i l-ng biu din bng k hiu no ch ly gi tr "1" hoc "0".
- Hm logic: Biu din nhm cc bin logic lin h vi nhau thng qua cc php
ton logic, mt hm logic cho d l n gin hay phc tp cng ch nhn gi tr
hoc l "1" hoc l "0".
- Cc php ton logic: c 3 php ton c bn.
Php nhn (v) - k hiu l AND.
Php cng (hoc) - k hiu l OR.
Php ph nh (o) - k hiu l NOT
1.1.1. Biu din bin v hm logic
b. Bng tht, bng trng thi:
*Bng tht : Quan h hm ra vi bin vo thi im hin ti.
*Bng trng thi: Hm ra khng nhng ph thuc vo bin vo thi im hin ti
m cn ph thuc vo (trng thi) qu kh ca n.

Bng tht f(A,B)= A+B Bng trng thi


b. Ba Karnaught ( Ba cc n).
Biu din t-ng -ng bng tht. Mi dng ca bng tht ng vi mt ca
ba cc n. To ca -c quy nh bi gi tr t hp bin, gi tr ca hm t-ng
ng vi t hp bin -c ghi trong .

2
1.1.2. Mt s tnh cht ca hm nhn, cng, ph nh:
- Tn ti phn t trung tnh duy nht cho php "nhn", php "cng".
A + 0 = A; 0 - Phn t trung tnh cho php tnh "cng".
A.1 = A ; 1 - Phn t trung tnh cho php "nhn".
- Hon v: A + B = B + A ; A. B = B. A.
- Kt hp (A + B) + C = A + (B + C) = (A + C) + B
(A . B) . C = A . (B . C) = (A . C) . B
- Phn phi : A.(B + C) = A.B + A.C
- Khng c s m, khng c h s.
A +A + . . . + A = A ; A.A . . . A = A.
- B : A A ; A A 1; A.A 0
* nh l Demorgan:
Tr-ng hp thng qut : f[x i ,,] f[x i ,,]
Th d: X Y X .Y ; X .Y X Y
(o ca mt tng bng tch cc o, o ca mt tch bng tng cc o)
1.1.3. Biu din gii tch cc hm logic
Vi cc k hiu hm, bin v cc php tnh gia chng. C hai dng gii tch
-c s dng l.
+ Dng tuyn: Hm -c cho d-i dng tng ca tch cc bin.
+ Dng hi: Hm -c cho d-i dng tch ca tng cc bin.
+ Dng tuyn chnh quy: Nu mi s hng cha y mt cc bin.
+Dng tuyn khng chnh quy: Ch cn t nht mt s hng cha khng y
mt cc bin.
+ Hi chnh quy: Nu mi tha s cha y mt cc bin.
+ Hi khng chnh quy: ch cn t nht mt tha s khng cha y mt cc
bin.

3
Th d: f(X,Y,Z) = X.Y.Z XYZ XYZ XYZ (tuyn chnh quy)
f(X,Y,Z) = X.Y. XYZ XYZ XZ (tuyn khng chnh quy)
f(x,y,z) = (X +Y + Z).(X + Y + Z).( X Y Z ). (hi chnh quy).
f(x,y,z) = (X +Y +Z).(Y + Z).(Z + Y + X ). (hi khng chnh quy).
a. Biu din hm dng tuyn chnh quy
Nguyn tc :
- Gi tr ca hm thnh phn ch nhn gi tr mt.
- S hng l tng ca tch cc bin. Z A.B.C A.B.C
- Nu gi tr ca hm thnh phn bng khng ta loi s hng .
- Ch quan tm n cc t hp bin ti hm thnh phn nhn tr "1".
- S s hng bng s ln hm thnh phn nhn tr "1".
- Trong biu thc logic cc bin nhn tr "1" gi nguyn, bin nhn tr"0" ta
ly ph nh.
Th d : Cho hm logic dng tuyn nh- sau:
Z = F(A, B, C) = (1,2,3,5,7)
Ti cc t hp bin 1, 2, 3, 5, 7 ca bin vo hm nhn tr "1")

b. Biu din hm dng hi chnh quy


Nguyn tc:
- Gi tr ca hm thnh phn ch nhn gi tr khng.
- S hng l tch ca tng cc bin tng cc bin . Z ( A B C ).( A B C )
- Nu gi tr ca hm thnh phn bng gi mt, th tha s b loi b.
- Hm ch quan tm n cc t hp bin ti hm thnh phn nhn tr "0".
- S tha s bng s ln hm thnh phn nhn tr "0" .

4
- Trong biu thc logic cc bin nhn tr "0" gi nguyn, cc bin nhn tr
"1" ta ly ph nh.
Th d : Cho hm logic dng hi nh- sau:
Z = F(a,b,c) = (0,4,6).
Ti cc t hp bin 0, 4, 6 hm logic nhn tr "0"

1.2. Cc hm logic c bn
1.2.1 Hm V - AND

Phng trnh Bng chn l K hiu v s chn


Y=A.B
A B Y
0 0 0
0 1 0
1 0 0
1 1 1

i vi hm V gi tr ca hm ch bng 1 khi cc bin ca n u bng 1;


hay ch cn c mt bin bng 0 hm s c gi tr bng 0
Cc IC AND thng dng

AND 3 li vo AND 3 li vo AND 2 li vo AND 4 li vo

5
1.2.2 Hm HOC OR
Phng trnh Bng chn l K hiu v s chn

Y=A+B
A B Y
0 0 0
0 1 1
1 0 1
1 1 1

i vi hm HOC gi tr ca hm ch bng 0 khi cc bin ca n u bng


0; hay ch cn c mt bin bng 1 hm s c gi tr bng 1
Cc IC OR thng dng khc

AND 2 li vo AND 3 li vo AND 4 li vo


1.2.3 Hm O - NOT
Phng trnh Bng chn l K hiu v s chn

Y=
A Y
0 1
1 0

6
i vi hm NOT gi tr ca hm s l o ca gi tr bin. Khi bin c gi tr bng 0 th hm
bng 1 ngc li khi bin bng 1 th hm c gi tr bng 0.
1.2.4. Hm Hoc tuyt i - XOR
Phng trnh Bng chn l K hiu v s chn

A B Y
0 0 0
0 1 1
1 0 1
1 1 0

Ta thy gi tr ca hm s bng 1 khi cc bin c gi tr khc nhau. Ngc li


gi tr ca hm c gi tr bng 0 khi gi tr ca cc bin l bng nhau (cng bng 0
hay 1)
1.2.5 Hm hoc o - NOR
Phng trnh Bng chn l K hiu v s chn

A B Y
0 0 1
0 1 0
1 0 0
1 1 0

7
i vi hm NOR gi tr ca hm s bng 1 khi ton b gi tr ca bin bng
0. Ngc li, mt trong cc gi tr ca bin bng 1 gi tr ca hm c gi tr bng 0.
Hay ni khc i n l hm o ca hm OR.
Mt s IC NOR khc

NOR 3 li vo NOR 2 li vo NOR 4 li vo NOR 3 li vo NOR 8 li vo


1.2.6 Hm V o - NAND
Phng trnh Bng chn l K hiu v s chn

A B Y
0 0 1
0 1 1
1 0 1
1 1 0

i vi hm NAND gi tr ca hm s bng 0 khi ton b gi tr ca bin bng 1. Ngc li, mt


trong cc gi tr ca bin bng 0 gi tr ca hm c gi tr bng 1. Hay ni khc i n l hm o
ca hm AND
1.2.7 Hm XNOR
phng trnh Bng chn l K hiu v s chn

A B Y
0 0 1
0 1 0
1 0 0
1 1 1

i vi hm XNOR nu cc gi tr ca bin l bng nhau (u bng 1 hay


bng 0) th gi tr ca hm s l 1 ngc li hm c gi tr bng 0.

8
Thc cht 7 hm trn ch c 3 hm u tin l cc hm c bn, 4 hm cn li
c th xy dng t 3 hm trn.
V d:
+ Hm NOR l s kt hp ca hm NOR v hm NOT.

Hm NOR S kt hp ca hm NOR v NOT


+ Hm NAND l s kt hp ca hm AND v NOT

Hm NAND S kt hp ca hm AND v NOT


+ Hm XOR l s kt hp ca cc hm NAND hoc hm NOR

Hm XOR S kt hp ca hm NAND

Hm XOR S kt hp ca hm NOR
Tuy nhin vic tch hp cc mch c bn to ra cc hm khc s rt hu
ch trong vic thit k mch. N s lm gim i s lng IC trn mt bo mch, dn
n lm gim chi ph cho mch v mt IC XOR (74LS86) c cha 4 phn t XOR
cng c gi thnh nh mt IC NAND hay IC NOR.

9
1.3. Ti thiu ha cc hm logic
Mt hm logic c th c v s cch biu din gii tch t-ng -ng. Tuy nhin
ch tn ti 1 cch gn nht ti -u v s bin, s s hng hay tha s v -c gi l
ti gin. vic ti gin hm logic mang ngha quan trng v ph-ng din kinh t,
k thut. ti thiu ho cc hm logic ng-i ta th-ng dng ph-ng php i s
v ph-ng php ba cc n.

1.3.1. Ph-ng php i s:


Bin i biu thc logic da vo cc tnh cht ca i s Boole.
Th d : A.B + A .B = B ; A+A.B = A ; A + A .B = A + B.
Ta chng minh cc ng thc trn, theo tnh cht i ngu:
A.B + A .B = B (A + B).( A + B) = B.
A + A.B = A A.(A + B) = A.
A + A .B = A + B A.( A + B) = A.B.
Quy tc 1:
Nhm cc s hng c tha s chung.
Th d: A.B.C + A.B. C = A.B(C + C ) = A.B.
Quy tc 2:
-a s hng c vo biu thc logic.
A.B.C + A .B.C + A. B .C + A.B. C =
= A.B.C + A .B.C + A. B .C + A.B.C + A.B. C + A.B.C
= B.C.(A + A ) +A.C.(B + B ) + A.B.(C + C ) = B.C + A.C + A.B
Quy tc 3:
C th loi cc s hng tha.
A.B + B .C + A.C = A.B + B .C + A.C (B + B ).
= A.B + B .C + A.B.C + A. B .C
= A.B + B .C (loi A.C)
V d : Hy ti gin hm sau bng phng php i s:
Z = F(A, B, C) = (1,2,3,5,7)
Gii:
T yu cu ca bi ta c bng chn l nh- sau

10
T bng chn l ta c phng trnh trng thi nh sau:
Z A.B.C A.B.C A.B.C A.B.C A.B.C AC
. (B B) A.B.C AC
. (B B)
Z AC
. AC
. A.B.C C A.B.C C A.B
Mch logic thc hin:
A
1
4 2
B 2 4 2 1 Z
3

1.3.2. Ph-ng php bng Karnaught ( ba cc n)


a. Cu to:
- Gm 1 hnh cc vung, hm c n bin bng c 2 n (1 bin - 2 , 2 bin - 4 ,
3 bin - 8
- Th t ca cc do gi tr t hp bin quy nh
-Hai -c gi l k nhau, hoc i xng ch khc nhau 1 gi tr ca bin.
- Gi tr ca hm t-ng ng vi t hp bin -c ghi ngay trong .
- Cc ti gi tr ca hm khng xc nh -c nh bng du "X".
b. Nguyn tc ti gin hm logic trn ba cc n
- Thc hin nhm cc ti hm nhn tr "1" hoc "0" k nhau hoc i
xng, s trong mt nhm dn phi l s lu tha ca 2 (khi vit hm dng tuyn
ta nhm cc c gi tr "1", dng hi nhm cc c gi tr "0").
- Trong mt nhm dn cc bin c tr thay i ta loi, cc bin c tr khng i
gi nguyn, iu ny c ngha l s trong nhm dn cng nhiu th s bin b loi
cng tng (2 - loi 1 bin, 4 - loi 2 bin ... 2m - loi m bin).

11
- Biu thc logic c s s hng hay tha s chnh bng s nhm dn. Khi vit
hm logic d-i dng tuyn cc bin cn li nhn tr "1" ta gi nguyn, nhn tr "0"
ta ly ph nh, khi vit hm logic d-i dng hi th ng-c li.
- Mt c th tham gia vo nhiu nhm dn.
- Cc ti gi tr hm khng xc nh ta coi ti hm c th ly gi tr
"1" hoc "0" tu tng tr-ng hp c th.
* Ch : Ph-ng php ti gin hm logic trn ba cc n ch thch hp vi hm c
s bin 6. Tr-ng hp hm c s bin ln hn 6, bng cc n rt phc tp.

4 ct 2 hng ( 3 hm bin) 2 ct 4 hng 3 hm


bin

4 hng 4 ct (3 bin )
V d 1:
Cho hm s : Y ( A, B, C, D) 0,1, 2, 4,5,6,8,9,10,14
Xy dng s mch logic thc hin hm ch dng cc phn t NAND hai
li vo.
Gii:
thit k c mch logic u tin chung ta phi lp c bng chn l
ca hm.

12
STT A B C D F(A,B,C,D)
0 0 0 0 0 1
1 0 0 0 1 1
2 0 0 1 0 1
3 0 0 1 1 0
4 0 1 0 0 1
5 0 1 0 1 1
6 0 1 1 0 1
7 0 1 1 1 0
8 1 0 0 0 1
9 1 0 0 1 1
10 1 0 1 0 1
11 1 0 1 1 0
12 1 1 0 0 0
13 1 1 0 1 0
14 1 1 1 0 1
15 1 1 1 1 0

Lp ba cc n ti gin hm
F
CD
AB 00 01 11 10

00 1 1 0 1

01 1 1 0 1

11 0 0 0 1

10 1 1 0 1

Phng trnh trng thi ca hm nh sau:


F ( A, B, C, D) AC
. B.C C.D
Xy dng mch logic t phn t NAND 2 u vo
F ( A, B, C, D) AC
. B.C C.D AC
. B.C C.D AC
. .B.C C.D

F ( A, B, C, D) A.C.B.C C.D A.C.B.C.C.D


S mch logic

13
A 2
1
3

2
1
B 2 3
1
3
2
1 2
3 1 2 F(A, B, C, D)
2 3 1
C 2 1 3
1 3
3

2
1
3
D 2
1
3

1
Hnh 1.25: S mch logic ch dng phn t NAND hai u vo
V d 2:
Cho hm s:
Y ( A, B, C, D) 0,1,3,7,8,9,11,12,13,15 ,
Xy dng s mch logic thc hin hm ch dng cc phn t NOR hai li
vo.
Gii:
Bng chn l ca hm nh sau :
STT A B C D F(A,B,C,D)
0 0 0 0 0 0
1 0 0 0 1 0
2 0 0 1 0 1
3 0 0 1 1 0
4 0 1 0 0 1
5 0 1 0 1 1
6 0 1 1 0 1
7 0 1 1 1 0
8 1 0 0 0 0
9 1 0 0 1 0
10 1 0 1 0 1
11 1 0 1 1 0
12 1 1 0 0 0
13 1 1 0 1 0
14 1 1 1 0 1
15 1 1 1 1 0
Lp ba cc n ti gin hm:

14
F
CD
AB 00 01 11 10

00 0 0 0 1

01 1 1 0 1

11 0 0 0 1
0 0 0 1
10

Phng trnh trng thi ca hm:


F ( A, B, C, D) ( A C )( B C )(C D)
Xy dng mch logic t cc phn t NOR hai u vo.


F ( A, B, C, D) ( A C )( B C )(C D) A C B C (C D) A C B C (C D)

F ( A, B, C , D) A C B C (C D) A C B C C D

S mch logic nh sau:


A 2
1 2
3 1
3
2
1 2
3 1 2 F(A, B, C, D)
B 2 3 1
1 3
3
C 2
1
3

2
D 2 1
1 3
3

Hnh 1.26: S mch logic ch dng phn t NOR hai u vo

15
Chng 2: Thit k mch logic t hp

2.1. Mch logic l g


Mch logic l mch gm cc phn t logic AND, OR, NOR, NOT, NAND,
XOR, XNOR thc hin cc yu cu ca bi ton a ra. Mt mch logic d n
gin hay phc tp th kt qu u ra ca mch cng ch nhn mt trong hai mc
logic l 0 hoc 1 .
Vi d : Cho mch logic sau :

A 2 4 2
1 2
3 1 Z
3
B

C
Hnh 2.1: Mch logic
2.2. Quy trnh thit k
Quy trnh thit k mch logic nh sau:
+ Xy dng phng trnh logic s dng cc phng trnh theo CTT, hay CTH hoc
c th s dng bng chn l biu din
+ S dng bng karnaugh hoc cc phng php i s ti thiu ha hm logic
hoc a hm logic v dng m d thit k mch
+Thit k mch cho chy th
+ nh gi tnh n nh ca mch
Th d:
Thit k mch logic thc hin php ton sau, dng cc phn t logic c bn
Z = F(A, B, C) = (1,2,3,5,7)
Gii:
Phn tch yu cu
Mch ca chng ta gm c 3 bin u vo l A, B, v D v mt hm u ra
l Z . Ta c s tng qut nh- sau

B Z
Mch logic

16
Hnh 2.3: S m phng
T yu cu ca bi ta c bng trng thi nh- sau

Ti gin hm -a v hm ti gin nht


Z A.B.C A.B.C A.B.C A.B.C A.B.C AC
. (B B) A.B.C AC
. (B B)
Z AC
. AC
. A.B.C C A.B.C C A.B
B-c 4: V s mch logic thc hin bi ton
- Xy dng mch logic dng phn t NOR v OR
Z C A.B C A.B C A B
A
1
4 2
B 2 4 2 1 Z
3

C
- Xy dng mch t phn t OR v AND
Z C A.B

A 2 4 2
1 2
3 1 Z
3
B

C
2.3. Thit k mch s hc
2.3.1. Thit k b cng bn tng ( HA-Half Adder )
B cng bn tng thc hin cng hai s nh phn mt bt
Quy tc cng nh sau:

17
Hnh 2.4: S m phng
Trong :
a, b l s cng, s l tng ca php cng, c l s nh
Bng chn l m t hot ng ca mch v phng trnh logic nh sau

s a.b a.b a b c a.b


Mch cng ny ch cho php cng hai s nh phn mt bt m khng thc hin
cng hai s nh phn nhiu bt.

Hnh 2.5: S mch logic cng hai s nh phn mt bt

2.3.2. Thit k mch cng ton phn ( FA- Full adder )

Hnh 2.6: S m phng mch

18
Trong
Cn 1 : S nh ca ln cng trc

Cn : S nh ca ln cng hin ti
Sn : Tng hin ti
Bng chn l ca mch cng ton phn
an bn Cn 1 Sn Cn

0 0 0 0 0
0 0 1 1 0
0 1 0 1 0
0 1 1 0 1
1 0 0 1 0
1 0 1 0 1
1 1 0 0 1
1 1 1 1 1

.
Bng trng thi
Ti gin hm u ra bng phng php ba cc n
Sn Cn
Cn-1 Cn-1
an b 0 1 an b 0 1
n n
00 0 1 00 0 0

01 1 0 01 0 1

11 0 1 11 1 1

10 1 0 10 0 1

Phng trnh trng thi hm Sn v Cn


Sn an .bn .Cn1 a n .bn .C n1 an .bn .Cn1 an .bn .C n1 an bn Cn 1
Cn Cn1.bn Cn1.an an .bn an .bn Cn 1 (an bn )
S mch cng ton phn

19
Hnh 2.7: S mch cng ton phn
2.3.3. Mch cng hai s nh phn 8 bit
thc hin php cng hai s nh phn 8 bit ta s dng 8 b FA ni tip vi
nhau nh s di y
.
`

Cn S Cn
Cn S Cn S Cn S Cn S Cn S S Cn S

FA8 FA7 FA6 FA5 FA4 FA3 FA2 FA1

Cn-1
Cn-1 Cn-1 Cn-1 Cn-1 Cn-1 Cn-1 Cn-1

a n. an an b an b n an b n an b a n bn an b n
bn bn n n

Hnh 2.8: S khi mch cng hai s nh phn 8 bit


Theo s thit k nh trn th chn Cn 1 ca FA u tin ( FA c trng s
thp nht) c ni vi t v hai bt thp nht khi cng vi nhau s khng c bt
nh ca php cng trc . Trong khi cc bt Cn 1 ca FA sau phi c ni vi
bt trn Cn (bit nh) ca cc FA trc , nh vy kt qu ca FA sau khng ch
ph thuc vo hai bit u vo an , bn m cn ph thuc vo kt qu ca FA trc
, iu ny l logic vi php cng ton phn hai s nhiu bt.
V d : Cng hai s nh phn 8 bit sau:
an = 11110000
bn = 11001100
1 1 1 0 0 1 0 1 0 1 0 1 0 0 0 0
.
`

Cn S Cn
Cn S Cn S Cn S Cn S Cn S S Cn S

FA8 FA7 FA6 FA5 FA4 FA3 FA2 FA1

Cn-1
Cn-1 Cn-1 Cn-1 Cn-1 Cn-1 Cn-1 Cn-1

1 1 1 1 1 0 1 0 0 1 0 1 0 0 0 0

Kt qu php cng l: S n =10111100


2.3.3. Thit k b bn tr ( b tr bn phn HS )
B bn tr thc hin tr hai s nh phn mt bt

20
Hnh 2.9: S m phng
Trong a s b t, b s tr , D l hiu, B l s mn
Bng chn l m t hot ng v s mch :

Bng trng thi Hnh 2.10: S mch bn tr


Phng trnh trng thi

Mch tr ny ch cho php tr hai s nh phn mt bt m khng thc hin tr


hai s nh phn nhiu bt.

2.3.4. Thit k b tr ton phn ( FS- Full Subtractor)


S m phng :

Hnh 2.11: S m phng


Bng chn l m t ha ng ca mch:
an bn Bn 1 Dn Bn

0 0 0 0 0
0 0 1 1 1

21
0 1 0 1 1
0 1 1 0 1
1 0 0 1 0
1 0 1 0 0
1 1 0 0 0
1 1 1 1 1

.
Dn Bn
Bn-1 Bn-1
an b 0
n
1 an b 0
n
1
00 0 1 00 0 1

01 1 0 01 1 1

11 0 1 11 0 1

10 1 0 10 0 0

Ta c phng trnh trng thi cc hm u ra nh sau :


Dn an .bn .Bn1 an .bn .Bn1 an .bn .B n1 an .bn .B n1 an bn Bn1

Bn an .Bn1 bn .Bn1 a n .bn an .bn Bn1 (an bn )


S mch logic nh sau:

Hnh 2.12: S mch tr ton phn

22
2.3.5. Mch tr hai s nh phn 8 bit
tr hai s nh phn 8 bit ta ghp 8 b tr y vi nhau ta c s
nh sau:

`
Bn D Bn
Bn D Bn D Bn D Bn D Bn D D Bn D

FS8 FS7 FS6 FS5 FS4 FS3 FS2 FS1


`

Bn-1
Bn-1 Bn-1 Bn-1 Bn-1 Bn-1 Bn-1 Bn-1

an bn an b an b an bn an bn an b an b an bn
n n n n

Hnh 2.13: S khi mch tr hai s nh phn 8 bit


Theo s thit k nh trn th chn Bn 1 ca FS u tin ( FS c trng s
thp nht) c ni vi t v hai bt thp nht khi cng vi nhau s khng c bt
nh ca php cng trc . Trong khi cc bt Bn 1 ca FA sau phi c ni vi
bt trn Bn (bit nh) ca cc FA trc , nh vy kt qu ca FS sau khng ch ph
thuc vo hai bit u vo an , bn m cn ph thuc vo kt qu ca FS trc ,
iu ny l logic vi php tr ton phn hai s nhiu bt.
V d : tr hai s nh phn 8 bit sau:
an 10110011
bn 11001010
1 1 1 0 1 0 1 0 1 1 0 1 1 0 0 1
`

Bn D Bn
Bn D Bn D Bn D Bn D Bn D D Bn D

FS8 FS7 FS6 FS5 FS4 FS3 FS2 FS1


`

Bn-1
Bn-1 Bn-1 Bn-1 Bn-1 Bn-1 Bn-1 Bn-1

1 1 0 1 1 0 1 0 0 1 0 0 1 1 1 0

Kn qu php tr l : Dn 10001101
2.4. Thit k mch so snh
2.4.1. Mch so sanh 1 bit
L mch thc hin chc nng so snh hai s nh phn 1 bt .
Xt hai s nh phn 1 bit a v b. C cc trng hp sau y:

V phng din mch in, mch so snh 1 bt c hai ng vo v 3 ng ra.


Cc ng vo a v b l cc bt cn so snh. Cc ng ra th hin kt qu so snh:
y1 (a b) , y2 (a b) , y3 (a b) s khi v bng chn l mch so snh nh sau:

23
Hnh 2.14: S m phng Bng chn l
T bng trng thi ta c phng trnh trng thi v s mch logic nh sau:

1
A 3
2 Y y1(a<b)
B
1
2

a 1
3
2 y2(a=b)
b
2
1

1
A 3
2 Y y3(a>b)
B

Hnh 2.15: S mch so snh 1 bit


2.4.2. Mch so sanh hai s 8 bit
thit k mch so snh hai s 8 bit ta s thit k mch so snh hai s 1 bt.
Dng cc phn t logic ta d dng thit k c mch so snh 1 bt nh trn. Tuy
nhin mch so sanh trn khng th pht trin so sanh nhiu bit c. Mun so
sanh hai s nhiu bit ta phi tun theo trnh t so snh t bit cao nht trc ( bit c
nhiu ngha nht). Nu s no c bit cao ln hn th s s ln hn v kt thc
vic so snh, nu hai bt c trong s cao nht bng nhau th s so sanh hai s c
trng s thp hn, c nh vy cho n bit thp nht, hai s bng nhau nu tt c cc

24
bt tng ng ca hai s u bng nhau. so snh hi s 8 bit ta phi thm cc bit
iu khin vo mch so sanh hai s mt bit, gi l mch so sanh 1 bt y . Ta c
s khi nh sau:

Hnh 2.16: S m phng b so snh hai s 1bit y


Bng trng thi m t hot ng nh sau:
Li vo iu khin Li vo d liu Li ra
c3 c2 c1 y3 y2 y1
a>b a=b a<b a b a>b a=b a<b
1 0 0 x x 1 0 0
0 0 1 x x 0 0 1
0 1 0 0 0 0 1 0
0 1 0 0 1 0 0 1
0 1 0 1 0 1 0 0
0 1 0 1 1 0 1 0

Phng trnh trng thi b so snh hai bit y nh sau:


y1 c1 c2 ab ; y2 c2 ab c2ab = c2 (a b) ; y3 c3 c2 ab
S mch logic b so snh hai bit y :

25
C1 C2 C3 a b
y1
2 1

y2
1
3
2

y3

2 1

Hnh 2.17: S mch logic b so sanh hai bit y


T s mch logic trn ta c s khi b so sanh hai s mt bit nh sau:

C1 y1

C2 y2

C3 y3

Hnh 2. 18: S khi b s sanh 1 bit y


c b so sanh 2 s 8 bit ta phi ghp 8 b so sanh 1 bt y li vi nhau
ta c s nh sau :
vcc
c1 y1 c1 y1 c1 y1 c1 y1 c1 y1 c1 y1 c1 y1 c1 y1
c2 y2 c2 y2 c2 y2 c2 y2 c2 y2 c2 y2 c2 y2 c2 y2
c3 y3 c3 y3 c3 y3 c3 y3 c3 y3 c3 y3 c3 y3 c3 y3
a b a b a b a b a b a b a b a b
1 1 1 0 0 1 0 0 1 1 0 1 0 1 0 1

Hnh 2.19:: S b so snh hai s nh phn 8 bit


So snh hai s : a=11001000, b=10101111, ta thy a>b nu n ni vi y3 sng
chng t mch ta thit k l ng.
2.5. Thit k mch dn knh
2.5.1. Khi nim
Mch dn knh hay cn gi l mch ghp knh, a hp (Multiplexer-MUX)
l 1 dng mch t hp cho php chn 1 trong nhiu ng ng vo song song
(cc knh vo) a ti 1 ng ra (gi l knh truyn ni tip). Vic chn
ng no trong cc ng ng vo do cc ng chn quyt nh. Ta thy

26
MUX hot ng nh 1 cng tc nhiu v tr c iu khin bi m s. M s ny
l dng s nh phn, tu t hp s nh phn ny m bt k thi im no ch c 1
ng vo c chn v cho php a ti ng ra.
Cc mch dn knh thng gp l 2 sang 1, 4 sang 1, 8 sang 1, Ni chung
n
l t 2 sang 1. Mc tip theo s phn tch v thit k mch dn knh 4 sang 1
2.5.2. Mch dn knh 4 sang 1

Hnh 2.20: Mch dn knh 4 sang 1 v bng hot ng

Mch trn c 2 ng iu khin chn l S0 v S1 nn chng to ra 4 trng thi


logic. Mi mt trng thi s cho php 1 ng vo I no qua truyn ti
ng ra Y. Nh vy tng qut nu c 2n ng vo song song th phi cn n
ng iu khin chn.
Cng ni thm rng, ngoi nhng ng nh trn, mch thng cn c thm
ng G: c gi l ng vo cho php (enable) hay xung nh du (strobe).
Mch t hp c th c 1 hay nhiu ng vo cho php v n c th tc ng mc
cao hay mc thp. Nh mch dn knh trn, nu c thm 1 ng cho php G tc
ng mc thp, tc l ch khi G = 0 th hot ng dn knh mi din ra cn khi G
= 1 th bt chp cc ng vo song song v cc ng chn, ng ra vn gi c
nh mc thp (c th mc cao tu dng mch)
Nh vy khi G = 0
S1S0 = 00, d liu I0 s a ra Y
S1S0 = 01, d liu I1 s a ra Y
S1S0 = 10, d liu I2 s a ra Y
S1S0 = 11, d liu I3 s a ra Y
do biu thc logic ca mch khi c thm ng G l

27
Ta c th kim chng li biu thc trn bng cch: t bng trng thi trn, vit
biu thc logic ri rt gn (c th dng phng php rt gn dng ba Karnaugh.
Nhn thy rng t hp 4 cng NOT a 2 ng iu khin chn S0, S1 vo cc
cng AND chnh l 1 mch m ho 2 sang 4, cc ng ra mch m ho nh l
xung m cng AND cho 1 trong cc ng I ra ngoi. Vy mch trn cng c th
v li nh sau:
S mch logic ca mch

Hnh 2.21: S mch hp knh 4 u vo mt u ra


Cng ni thm rng, ngoi nhng ng nh trn, mch thng cn c
thm ng G: c gi l ng vo cho php (enable) hay xung nh du
(strobe). Mch t hp c th c 1 hay nhiu ng vo cho php v n c th tc
ng mc cao hay mc thp. Nh mch dn knh trn, nu c thm 1 ng cho
php G tc ng mc thp, tc l ch khi G = 0 th hot ng dn knh mi din
ra cn khi G = 1 th bt chp cc ng vo song song v cc ng chn, ng
ra vn gi c nh mc thp (c th mc cao tu dng mch)
2.5.3.Thit k mch dn knh 8 sang 1
S nguyn l

28
X0
X1

X2
X3
Mch chn knh Y
X4
8 knh u vo
X5
X6

X7

. C1 C2 C3

Hnh 2.22: S khi mch chn knh 8 u vo 1 u ra


Mch gm c 8 ng vo v mt ng ra :
- X0, X1, X2, X3, X4, X5, X6, X7 : Cc knh d liu vo
- Y : Knh d liu u ra
- C1, C2, C3 : Cc ng vo iu khin
Bng trng thi hot ng
C3 C2 C1 Y
0 0 0 X0
0 0 1 X1
0 1 0 X2
0 1 1 X3
1 0 0 X4
1 0 1 X5
1 1 0 X6
1 1 1 X7

Phng trnh logic m t hot ng ca mch


Y X 0 .C1.C2 .C3 X1.C1.C2 .C3 X 2 .C1.C2 .C3 X 3.C1.C2 .C3 X 4 .C1.C2 .C3 X 5 .C1.C2 .C3 X 6 .C1.C2 .C3 X 7 .C1.C2 .C3
S mch logic

29
C3 C2 C1

2
1

1
X0 2
3
1
4
5
X1 2
3
1
4
5
X2 2
3
1
4
5 2
X3 2 3
3 4
1 5
4 1 Y
5 6
X4 2 7
3 8
1 9
4
5
X5 2
3
1
4
X6 5
2
3
1
4
X7 5
2
3
1
4
5

Hnh 2.23: S mch chn knh 8-1

2.5.4.Mt s IC dn knh hay dng

Hnh 2.24: K hiu khi ca mt s IC dn knh hay dng


74LS151 c 8 ng vo d liu, 1 ng vo cho php G tc ng mc thp,
3 ng vo chn C B A, ng ra Y cn c ng o ca n: Khi G mc thp
n cho php hot ng ghp knh m chn CBA s quyt nh 1 trong 8 ng d
liu c a ra ng Y. Ngc li khi G mc cao, mch khng c php nn
Y = 0 bt chp cc ng chn v ng vo d liu.

30
74LS153 gm 2 b ghp knh 4:1 c 2 ng vo chn chung BA mi b c
ng cho php ring, ng vo v ng ra ring. Tng t ch khi G mc 0
ng Y mi ging 1 trong cc ng vo tu m chn.
74LS157 gm 4 b ghp knh 2:1 c chung ng vo cho php G tc ng
mc thp, chung ng chn A. ng vo d liu 1I0, 1I1 c ng ra tng ng
l 1Y, ng vo d liu 2I0, 2I1 c ng ra tng ng l 2Y, Khi G thp v
A thp s cho d liu vo ng nI0 ra nY (n = 1,2,3,4) cn khi A cao s
cho d liu vo nI1 ra nY. Khi = 1 th Y = 0
Chng hn vi 74LS153, k hiu khi, chn ra, bng trng thi v cu to logic
c minh ho nhng hnh di, vi nhng IC khc cng tng t

Hnh 2.25: K hiu khi v chn ra ca 74LS153


Bng s tht ca 74LS53

31
Hnh 2.26: Cu to bn trong ca 74LS153
2.5.4. ng dng
a) M rng knh ghp
Cc mch ghp knh t ng vo c th c kt hp vi nhau to mch ghp
knh nhiu ng vo. V d to mch ghp knh 16:1 ta c th dng IC
74LS150 hoc cc IC tng t, nhng c 1 cch khc l ghp 2 IC 74LS151
S ghp nh sau:

Hnh 2.27: Hai cch m rng knh ghp 16 sang 1 t IC74LS151

32
(74LS151 l IC dn knh 8 sang 1)
b) Chuyn i song song sang ni tip:
Cc d liu nh phn nhiu bit, chng hn m ASCII, word,... thng c x l
song song, tc l tt c chng c lm 1 lc. Trong my tnh, d liu c di
chuyn t ni ny n ni khc cng 1 lc trn cc ng dn in song song gi
l cc bus. Khi d liu c truyn i qua khong cch di chng hn hng chc
mt th cch truyn song song khng cn thch hp v tn nhiu ng dy, nhiu,
.... Lc ny mch dn knh c th dng nh mch chuyn i song song sang ni
tip.
Cch ni

Hnh 2.28: Chuyn i d liu truyn t song song sang ni tip


Mch hnh trn cho php truyn d liu 16 bit trn ng truyn ni tip thng
qua IC dn knh 74LS150. Tt nhin cn 1 mch m to m s nh phn 4 bit
cho 4 ng chn ca mch dn knh (chng hn 74LS93). Mch m hot ng
khin m chn thay i t 0000 ri 0001, ri n 1111 v li vng tr li 0000 m
ln tip khin d liu vo song song c chuyn i lin tip sang ni tip. Cng
cn phi c mt mch dao ng to xung kch cho mch m, nu tn s dao
ng to xung kch cho mch m rt ln th d liu c lun chuyn nhanh, v
vi tc ln nh vy vi cm nhn ca con ngi th d liu dng nh c
truyn ng thi. Nguyn l ny c p dng cho ghp knh in thoi v nhiu
ng dng khc
c) Dng dn knh thit k t hp:

33
Cc mch dn knh vi hot ng logic nh xt trc ngoi cch dng
ghp nhiu ng ng vo cn c th dng thit k mch t hp i khi rt d
dng v:
Khng cn phi n gin biu thc nhiu
Thng dng t IC
D thit k
Bi ton thit k mch t hp nh bng di y cho thy r hn iu ny
V d: Thit k mch t hp tho bng s tht sau

T bng s tht ta c biu thc logic l:


Y=ABC+ABC+ABC+ABC

Hay c th vit

y l biu thc thuc dng tng ca cc tch. Nh cch thit k trc ta s s dng cc cng
logic gm 3 cng NOT, 4 cng NAND, 1 cng OR, cn nu chuyn sang dng ton cng NAND
khng th phi cn ti 3 cng NAND 2 ng vo, 4 cng NAND 3 ng vo v 1 cng NAND
4 ng vo cha k l phi n gin biu thc nu c th trc khi thc hin.

34
Hnh 2.29: Thit k t hp dng mch dn knh
Tng t s dng MUX 2-1, MUX 4-1 MUX 8-1 thit k cc hm sau:

2.6. Mch tch knh


2.6.1. Khi nim
B chuyn mch phn knh hay cn gi l tch knh, gii a hp
(demultiplexer) c chc nng ngc li vi mch dn knh tc l: tch knh truyn
thnh 1 trong cc knh d liu song song tu vo m chn ng vo. C th xem
mch tch knh ging nh 1 cng tc c kh c iu khin chuyn mch bi m
s. Tu theo m s c p vo ng chn m d liu t 1 ng s c a ra
ng no trong s cc ng song song.
Cc mch tch knh thng gp l 1 sang 2, 1 sang 4, 1 sang 8, ...Ni chung
t 1 ng c th a ra 2n ng, v s ng chn s phi l n. Mc di s
ni n mch tch knh 1 sang 4.
2.6.2. Mch tch knh 1 sang 4

Hnh 2.30: Mch tch knh 1 sang 4

Mch tch knh t 1 ng sang 4 ng nn s ng chn phi l 2

35
Khi ng cho php G mc 1 th n cm khng cho php d liu vo c
truyn ra bt k ng no nn tt c cc ng ra u mc 0
Nh vy khi G = 0 BA = 00 d liu S c a ra ng Y0, nu S = 0 th Y0
cng bng 0 v nu S = 1 th Y0 cng bng 1,tc l S c a ti Y0; cc ng
khc khng i
Tng t vi cc t hp BA khc th ln lt ra S s l Y1, Y2, Y3

Biu thc logic ca


cc ng ra s l:

T y c th dng
cng logic thit k
mch tch knh Hnh 2.31: Cu trc ca mch tch knh 1 sang 4

V d: Kho st IC 74LS155

Hnh 2.32: K hiu khi v chn ra ca 74LS155

36
Trong cu trc ca n gm 2 b tch knh 1 sang 4, chng c 2 ng chn
A0A1 chung, ng cho php cng c th chung khi ni chn 2 ni vi chn 15).
Mt lu khc l b tch knh u c ng ra o so vi ng vo (d liu vo
chn 1 khng o) cn b tch knh th 2 th ng vo v ng ra nh nhau khi
c tc ng (d liu vo chn 14 o).
Cu trc logic ca mch khng khc g so vi mch xt trn ngoi tr
mch c thm ng cho php
Bng s tht ca 74LS155

Mch tch knh hot ng nh mch gii m. Nhiu mch tch knh cn c
chc nng nh 1 mch gii m. Tht vy,vo d liu S khng c dng nh 1
ng vo d liu ni tip m li dng nh ng vo cho php cn cc ng vo
chn CBA khi ny li c dng nh cc ng vo d liu v cc ng ra vn
gi nguyn chc nng th mch a hp li hot ng nh 1 mch gii m.
Tu thuc m d liu p vo ng C B A m mt trong cc ng ra s ln cao
hay xung thp tu cu trc mch. Nh vy mch tch knh 1:4 nh trn tr
thnh mch gii m 2 sang 4 . Thc t ngoi ng S khi ny tr thnh ng cho
php gii m, mch trn s phi cn mt s ng iu khin khc cho php
mch hot ng gii m hay tch knh; cn cu to logic ca chng hon ton
tng thch nhau. Hnh sau cho php dng mch tch knh 1 sang 4 gii m 2
sang 4.

Hnh 2.33: Mch tch knh hot ng nh mch gii m

37
Tng t ta cng c cc loi mch khc nh va tch knh 1:8 va gii m 3:8,
tch knh 1:16/gii m 4:16
2.6.3.Thit k mch phn kn 1 ng vo 8 ng ra
S nguyn l
Y1

Y2

Y3

Y4
X Mch tch knh
Y5
(1-8)
Y6

Y7

Y8

C3 C2 C1
.
Hnh 2.34: S nguyn l mch phn knh 1-8
Trong :
X: Knh d liu vo
Y1, Y2, Y3, Y4, Y5, Y6, Y7, Y8: l cc knh u ra
C1, C2, C3 : l tn hiu iu khin
Ti mt thi im ch c mt u ra c kt ni ti knh u vo, tuy theo
gi tr ca t hp bin iu khin chng ta xc nh c knh no c php
kt ni vi u vo.
Bn trng thi m ta qu trnh hot ng mch phn knh
C3 C2 C1 Y1 Y2 Y3 Y4 Y5 Y6 Y7 Y8
0 0 0 x 0 0 0 0 0 0 0
0 0 1 0 x 0 0 0 0 0 0
0 1 0 0 0 x 0 0 0 0 0
0 1 1 0 0 0 x 0 0 0 0
1 0 0 0 0 0 0 x 0 0 0
1 0 1 0 0 0 0 0 x 0 0
1 1 0 0 0 0 0 0 0 x 0
1 1 1 0 0 0 0 0 0 0 x

38
Phng trnh logic cc ng ra
Y1 X .C1.C2 .C3 Y2 X .C1.C2 .C3 Y3 X .C1.C2 .C3 Y4 X .C1.C2 .C3

Y5 X .C1.C2 .C3 Y6 X .C1.C2 .C3 Y7 X .C1.C2 .C3 Y8 X .C1.C2 .C3


S mch logic
X C3 C2 C1

2
1

1
2
3
1 Y1
4
5

2
3
1 Y2
4
5

2
3
1 Y3
4
5

2
3
1 Y4
4
5

2
3
1 Y5
4
5

2
3
1 Y6
4
5

2
3
1 Y7
4
5

2
3
1 Y8
4
5

.
Hnh 2.35: S mch phn knh 1 u vo 8 u ra
2.6.4. Mt s IC gii m tch knh hay dng
Kho st IC tch knh/gii m tiu biu 74LS138
74LS138 l IC MSI gii m 3 ng sang 8 ng hay tch knh 1 ng
sang 8 ng thng dng v c hot ng logic tiu biu, n cn thng c
dng nh mch gii m a ch trong cc mch iu khin v trong my tnh.
S chn v k hiu logic nh hnh di y:

39
Hnh 2.36: K hiu khi v chn ra ca 74LS138
Trong
A0, A1, A2 l 3 ng a ch ng vo
E1, E2 l cc ng vo cho php (tc ng mc thp)
E3 l ng vo cho php tc ng mc cao
O0 n O7 l 8 ng ra (tc ng mc thp )

Hnh 2. 37: Cu trc bn trong 74LS138


Hot ng gii m nh sau:
a d liu nh phn 3bit vo C, B, A(LSB), ly d liu ra cc ng O0
n O7; ng cho php E2 v E3 t mc thp, ng cho php E1 t mc
cao. Chng hn khi CBA l 001 th ng O1 xung thp cn cc ng ra khc
u cao.
Hot ng tch knh:
D liu vo ni tip vo ng E2, hay E3 (vi ng cn li t thp).
t G = 1 cho php tch knh. Nh vy d liu ra song song vn ly ra cc
ng O0 n O7. Chng hn nu m chn l 001th d liu ni tip S s ra
ng O1 v khng b o.
M rng ng gii m: 74LS138 dng thm 1 cng o cn cho php gii m
a ch t 5 sang 32 ng ( dng trong gii m a ch ca my vi tnh). Hnh
ghp ni nh sau:

40
Hnh 2.38: Ghp 4 IC 74LS138 c mch gii m 5 ng sang 32 ng
2.6.5: ng dng
a) Dng mch tch knh thit k mch logic
Cng ging nh mch dn knh, mch tch knh hay gii m cn c th
dng thit k mch logic t hp. Nu nh vic thit k t hp dng mch dn
knh khng dng thm cc cng logic th vi mch tch knh hay gii m t hp li
phi thm vo mt s cng logic mi t c logic t hp mong mun. Nhng b
li, mch tch knh/gii m cho php thit k t hp nhiu ng ra mt cch d
dng. V d sau s minh ho r hn vn ny:
Cho bng s tht nh hnh sau:

41
Nu s dng cch c, ta s xy dng ba K, ri rt gn, vi 4 ng vo, 4
ng ra, xem ra vic rt gn kh di v phc tp. Dng IC 74154 (gii m 4 sang
16, tch knh 1 sang 16), th bi ton s n gin hn.
Tht vy, trc ht cn ni 4 ng vo A, B, C, D ti 4 ng chn ca IC
tch knh, ri da vo bng s tht trn ,ta xc nh cc v tr t hp lm Y0 ln
1. Bn mch gii m/tch knh ta s ni cc ng ra tng ng vi v tr t hp
ti Y0. V c tt c 5 ng ra ln 1 nn cui cng Y0 s l NAND ca 5 ng ra
y. Tng t vi cc ng ra Y1, Y2, Y3. Cch ni mch nh hnh di y

Hnh 2.40: ng dng mch tch knh thit k t hp


Nu trong 1 ct ng ra m s bit 0 nhiu hn s bit 1 th ta s dng cng
NOR gom tt c cc ng bit 0 y.
b) Chia s ng truyn
phn trc ta ni n ng dng ca mch dn knh cho php chuyn
i d liu t song song sang ni tip v truyn i. Khi d liu n ni cn nhn,
chng hn my tnh khc th n cng x l d liu dng song song. Do li phi
cn 1 mch chuyn i t d liu ni tip n thnh d liu song song v y
mch gii m/tch knh c dng

42
Hnh 2.41: Truyn d liu ni tip
l mch gii m/tch knh bn nhn cng phi cn m chn p vo
cc ng DCBA, m ny c ly t mch m bn truyn, do d liu bn
truyn i v bn nhn li mi ng b nhau. Nh vy ta s cn 5 ng dy gm 1
ng truyn d liu ni tip, 1 ng mass chung v 4 ng m s chn. Ngoi
ra do mch m t ng reset khi m ht m (ln 1111) lm d liu c truyn
lin tc nn ta cn phi c 1 mch cht ng ra song song chn d liu li
khi 16 bit truyn mi cho ra mt lt
Thc ra th cch truyn ny vn cha hiu qu lm, ch dng khong cch
gn, ta vn c th gim bt s dy chuyn i na (thay v 6 ng dy nh trn).
Tht vy, thay v truyn i ti 4 ng cho m s chn t mch m ta s ch
truyn i 1 ng xung ng h chung tc l bn nhn s t thm 1 mch m
na to m s chn cho b gii m/tch knh v mch m ny c cp xung
ck ging nh mch m ca bn truyn. Cch hay hn na l truyn xung ck ngay
trn ng truyn ni tip, tt nhin ta phi m ho xung ck li n khng ln ln
vi d liu truyn v bn nhn cng phi c 1 mch pht hin v tch xung ck ra
khi d liu nhn. y c gi l cch truyn tin (d liu) ni tip ng b
(synchronuous data transmission). Ngoi ra cn c cch truyn tin ni tip khng
ng b tc l bn truyn v bn nhn khng dng xung ng h nh nhau, hay ni

43
cch khc d liu truyn v nhn khng ng b nhau. 2 cch truyn ny ta s c
tm hiu r hn nhiu mn hc truyn s liu, giao tip my tnh
Cng cn ni thm rng cc ng vo ca mch dn knh khng ch l 1
byte, 1 word d liu song song cn truyn m c th l cc ng tn hiu ring l,
chng hn mt s ng ly t cm bin nhit ca l nhit, ca cc gian phng
chng chy n; mt s khc l t cm bin d mc cht lng, mt s khc li t cc
cng tc tip im khi b tc ng s to mc tn hiu logic phn hi, .....Tt c u
c thu thp chuyn i v dn li truyn v ni cn thit chng hn phng
gim st iu khin. Ti y cc thng tin c tch tr li v x l, hin th v tnh
trng ca ni ang gim st thu thp chng hn c k t nhp ca, c khi c th
xy ra chy, mc nc, nhit vt qu mc cho php hay tt c vn bnh
thng. Nh vy y c th c s dng cho h thng theo di an ninh t xa.
2.7. Thit k mch m ha
Mch m ha (Encoder) l mch c nhim v bin i nhng k hiu quen
thuc vi con ngi, sang nhng k hiu khng quyen thuc vi con ngi.
2.7.1.Thit k mch m ha nh phn t 8 sang 3
S khi mch nh sau

Hnh 2.42: S khi mch m ha nh phn t 8 sang 3


Trong
- x0, x1,. . ., x7 l cc ng vo tn hiu
- A, B, C l cc ng ra
Mch m ha nh phn thc hin bin i tn hiu ng vo thnh mt t m
nh phn tng ng ng ra c th nh sau:
0 000 1001 2 010 3 011
4100 5101 6 110 7 111
Chn mc tc ng tch cc ng vo l mc logic 1, ta c bn trng thi
m t hot ng ca mch nh sau:

44
Khi mt ng vo trng thi tch cc ( mc logic 1) v cc ng vo khng
c tch cc nhn mc logic 0. Th ng ra xut hin t m tng ng . C th khi
x0=1, cc u ra cn li x1= x2= x3 = x4 = x5 = x6 = x7 = 0 , th t m ng ra l
000. khi x1=1 cc u ra cn li x0= x2= x3 = x4 = x5 = x6 = x7 = 0, th t m ng
ra nhn gi tr 001,..vv
T bng trng thi ta c phng trnh trng thi ng ra nh sau:

T phng trnh trng thi ng ra ta c s mch logic thc hin qu trnh


m ha nh sa
Mch logic dng phn t OR

45
Hnh 2.43: Mch m ha nh phn 8 bit sang 3
2.7.2.Thit k mch m ha thp phn 10-4
S khi

Hnh 2.44: S khi mch m ha thp phn


Trong
- x0, x1,. . ., x9 l cc ng vo tn hiu
- A, B, C, D l cc ng ra
Mch m ha nh phn thc hin bin i tn hiu ng vo thnh mt t m
nh phn tng ng ng ra c th nh sau:
0 0000 10001 2 0010 3 0011 4 0100
50101 6 0110 7 0111 81000 91001
Chn mc tc ng tch cc ng vo l mc logic 1, ta c bn trng thi
m t hot ng ca mch nh sau:

T bng trng thi ta c phng trnh trng thi ng ra nh sau:

46
T phng trnh trng thi ti gin ta c s mch logic dng phn t OR
nh sau:

Hnh 2.45: S mch m ha thp phn dng OR


2.8. Thit k mch m ha u tin
Vi mch m ho c cu to bi cc cng logic nh hnh trn ta c nhn
xt rng trong trng hp nhiu phm c nhn cng 1 lc th s khng th bit
c m s s ra l bao nhiu. Do m bo rng khi 2 hay nhiu phm hn
c nhn, m s ra ch tng ng vi ng vo c s cao nht c nhn, ngi
ta s dng mch m ho u tin.
Vn u tin: Khi c nhiu tn hiu ng thi tc ng, tn hiu no c
mc u tin cao hn thi im ang xt s tc ng, tc l nu ng vo c u
tin cao hn bng 1 trong khi nu cc ng vo c u tin thp hn nu bng 1
th mch s to ra t m nh phn ng vi ng vo c mc u tin cao nht.

47
2.8.1. Thit k mch m ha u tin 4-2

Hnh 2.46: S m phng Bng chn l


Phng trnh ti gin:

Hnh 2.47: S mch m ha u tin 4-2


IC 74LS147 l mch m ho u tin 10 ng sang 4 ng, n c tch
hp sn tt c cc cng logic trong n. K hiu khi ca 74LS147 nh hnh 2.1.5
bn di:

Hnh 2.48: IC74LS147

Bng s tht ca 74LS147

48
Nhn vo bng s tht ta thy th t u tin gim t ng vo 9 xung
ng vo 0. Chng hn khi ng vo 9 ang l 0 th bt chp cc ng khc
(X) s BCD ra vn l 1001 (qua cng o na). Ch khi ng vo 9 mc 1 (mc
khng tch cc) th cc ng vo khc mi c th c chp nhn, c th l
ng vo 8 s u tin trc nu n mc thp.Vi mch m ho u tin 8 ng
sang 3 ng, cng c IC tng ng l 74LS148.
2.9. Thit k mch gii m
Mch gii m l mch c chc nng ngc li vi mch m ho tc l nu c 1 m
s p vo ng vo th tng ng s c 1 ng ra c tc ng, m ng vo
thng t hn m ng ra. Tt nhin ng vo cho php phi c bt ln cho
chc nng gii m. Mch gii m c ng dng chnh trong ghp knh d liu,
hin th led 7 on, gii m a ch b nh. Hnh di l s khi ca mch gii
m
2.9.1. Gii m 3 sang 8
Mch gii m 3 ng sang 8 ng bao gm 3 ng vo to nn 8 t hp
trng thi, ng vi mi t hp trng thi c p vo s c 1 ng ra c tc
ng.

49
Bng s tht mch gii m 3 sang 8

Hnh 2.49: Khi gii m 3 sang 8

T bng s tht ta c th v c s mch logic ca mch gii m trn

Hnh 50: Cu trc mch gii m 3 sang 8


ng dng
a).rt gn hm logic s dng mch gii m
Nhiu hm logic c ng ra l t hp ca nhiu ng vo c th c xy dng
t mch gii m kt hp vi mt s cng logic ng ra(mch gii m chnh l 1
mch t hp nhiu cng logic c MSI). Mch gii m c bit hiu qu hn so vi
vic s dng cc cng logic ri trong trng hp c nhiu t hp ng ra.
V d: Sau thc hin mch cng 3 s X, Y, Z cho tng l S v s nh l C thc
hin bng mch gii m:
Gi s mch cng thc hin chc nng
logic nh bng sau: X Y Z S C
0 0 0 0 0
0 0 1 1 0

50
0 1 0 1 0
T bng cho php ta xc nh c cc 0 1 1 0 1
t hp logic ng vo S ri C mc 1 0 0 1 0
cao 1 0 1 0 1
1 1 0 1 1
1 1 1 1 1

Nh vy s cn 1 cng OR ni chung cc t hp logic th 1, 2, 4, 7


a ra ng S
Tng t ng ra C cng cn 1 cng OR vi ng vo l t hp logic th 2, 5,
6, 7
Vy mch gii m thc hin bng logic trn s c mc nh sau:

Hnh 2.51: ng dng mch gii m lm mch cng


2.9.2. Mch gii m BCD sang thp phn
74LS42 l IC lm nhim v gii m 4 ng sang 10 ng. Cu to logic
v bng hot ng ca n s minh ho r hn cho mch gii m ny:

Hnh 2.52: S chn IC gii m BCD sang thp phn

51
Hnh 2.53: Cu trc mch ca 74LS42, gii m 4 sang 10
Bng s tht ca 74LS42
l v c 4 ng vo nn s c 16 trng thi
logic ng ra. y ch s dng 10 trng thi
logic u, 6 trng thi sau khng dng. Vi mch
gii m 4 sang 16 th s tn dng ht s trng thi
ra. Mt im na l cc ng ra ca 7442 tc
ng mc thp
V nguyn tc ta c th m ho t n ng sang m
ng v ngc li gii m t m ng sang n
ng, chc nng gia m ho v gii m khng
r rt lm, chng u lm nhim v chuyn i t
m ny sang m khc (nhng mch trn u ni n m h 2, thc ra cn nhiu
loi m khc). Cng ch c mt s chng c tch hp sn trong IC nh 7441,
7442 l gii m BCD sang thp phn, 7443 l gii m tha 3 sang thp phn,
Mch sau minh ho cch kt hp mch m s hc chng sau vi mch gii m
cung cp cc hot ng nh thi v nh th t, IC gii m 7445 c dng v
ti l ng c c p ln dng ln ngoi sc cung cp ca cc IC gii m thng

52
Hnh 2.54: ng dng 74LS45
Hnh trn cho thy, mch m to ra 16 t hp trng thi cho mch m ho.
Phi 4 chu k xung ck th Q3 mi xung thp, cho php ng c c cp ngun;
cn n c m ch sau 8 chu k xung ck. Thi gian m ca ti l 1 chu k xung
ck. Ta c th iu chnh thi gian ny t mch dao ng to xung ck. V nguyn
tc hot ng ca mch m 74LS90 ta s tm hiu chng sau.
2.9.3. Thit k mch gii m BCD sang led 7 on
Mt dng mch gii m khc rt hay s dng trong hin th led 7 on l
mch gii m BCD sang led 7 on. Mch ny phc tp hn nhiu so vi mch gii
m BCD sang thp phn ni phn trc bi v mch khi ny phi cho ra t hp
c nhiu ng ra ln cao xung thp hn (tu loi n led anode chung hay
cathode chung) lm cc on led cn thit sng to nn cc s hay k t.
Led 7 on
Trc ht hy xem qua cu trc v loi n led 7 on ca mt s n c
cu to bi 7 on led c chung anode (AC) hay cathode (KC); c sp xp hnh
s 8 vung (nh hnh trn) ngoi ra cn c 1 led con c t lm du phy thp
phn cho s hin th; n c iu khin ring bit khng qua mch gii m. Cc
chn ra ca led c sp xp thnh 2 hng chn gia mi hng chn l A chung
hay K chung. Th t sp xp cho 2 loi nh trnh by di y.

53
Hnh 2.55: Cu trc v chn ra ca 1 dng led 7 on

Hnh 2.56: Led 7 on loi anode chung v cathod chung cng vi mch thc gii m

n led hin th 1 s no th cc thanh led tng ng phi sng ln, do ,


cc thanh led u phi c phn cc bi cc in tr khong 180 n 390 ohm vi
ngun cp chun thng l 5V. IC gii m s c nhim v ni cc chn a, b,.. g ca
led xung mass hay ln ngun (tu A chung hay K chung)
Kho st 74LS47
Vi mch gii m trn ta c th dng 74LS47. y l IC gii m ng thi
thc trc tip led 7 on loi Anode chung lun v n c cc ng ra cc thu
h v kh nng nhn dng ln. S chn ca IC nh sau:

54
Hnh 2.57: K hiu khi v chn ra 74LS47
Trong
A, B, C, D l cc ng vo m BCD
RBI l ng vo xo dn sng
LT l ng th n
BI/RBO l ng vo xo hay ng ra xo rn
a ti g l cc ng ra (cc thu h)

Hnh 2.58: Cu trc bn trong ca 74LS47 v dng s hin th


Hot ng ca IC c tm tt theo bng di y

55
Nhn thy cc ng ra mch gii m tc ng mc thp (0) th led tng
ng sng
Ngoi 10 s t 0 n 9 c gii m, mch cng cn gii m c 6 trng
thi khc, y khng dng n (ghi ch 2)
hot ng gii m xy ra bnh thng th chn LT v BI/RBO phi mc
cao
Mun th n led cc led u sng ht th ko chn LT xung thp (ghi
ch 5)
Mun xo cc s (tt ht led) th ko chn BI xung thp (ghi ch 3)
Khi cn gii m nhiu led 7 on ta cng c th ghp nhiu tng IC, mun xo s 0
v ngha trc th ni chn RBI ca tng u xung thp, khi ny chn ra RBO
cng xung thp v c ni ti tng sau nu mun xo tip s 0 v ngha ca tng
(ghi ch 4). Ring tng cui cng th RBI trng hay mc cao vn hin
th s 0 cui cng
V d: Hy xem mt ng dng ca mch gii m led 7 on:

56
Hnh 2.60. ng dng mch gii m 74LS47
Mch dao ng to ra xung kch cho mch m, ta c th iu chnh chu k
xung mch m nhanh hay chm
Mch m to ra m s m BCD mt cch t ng a ti mch gii m c
th l cho m ln hay m xung
Mch gii m s gii m BCD sang led 7 on hin th s m thp phn
By gi ta c th thay mch dao ng bng 1 b cm bin chng hn dng b thu
pht led t ca vo nu mi ln c 1 ngi vo th b cm bin s to 1 xung
kch kch cho mch m. Lu rng IC 7490 l IC m chia 10 khng ng b m
ta s hc chng sau
Nh vy vi ng dng ny ta c h thng m s ngi vo cng cng c th
m sn phm qua bng truyn, tt nhin ch hn ch s ngi vo nhiu nht
l 9.
Khi ny hnh trn c trnh by dng mch c th nh sau:

Hnh 2.61: Minh ho ng dng 74LS47 trong mch hin th led 7 on


2.9. Thit k mch iu khin ma trn LED 5x7
2.9.1. Cu to ma trn LED 5x7
Ma trn LED 5x3 l mt ma trn gm 35 n LED c sp xp thnh 7 hng v 5
ct, cc n LED ny c ni chung vi nhau bi Anot hoc Katot. Ti mi giao
im ca hng vi ct l mt LED n

57
Hnh2. 44: S cu trc bn trong ma trn LED 5x7 Catt chung
2.9.2. iu khin ma trn LED 5x7
Trong phn ny chng ta ch i tm hiu cch thc iu khin ma trn led
hin th cc ch ci, cc con s hoc cc k hiu tnh.
Trc tin ta xc nh tt c nhng ni dung c th c hin th trn ma
trn xc nh vi tng ni dung hin th, n LED no trong ma trn s c
sng v n no khng c sng. T nhng kt qu gii m ta xc nh c
nhm cc n LED s sng cho mt hoc mt s ni dung c hin th hay ni
khc i ta xem c cc n no hot ng ging nhau th nhm thnh mt nhm.
Nh vy thay v phi iu khin 7x5=35 n LED n ta c th ch phi iu khin
mt s lng nhm n no t hn nhiu.
iu khin c ma trn LED iu u tin cn tnh c m ca LED.
Ty theo mi loi khc nhau chung ta vo hng v ct l cc mc logic 0 hay 1.
Nguyn l qut da vo hin tng lu nh trn vng mch v con ngi ch nhn
c 24h/s. Ti mi thi im ch c mt im sng, do tn s qut nhanh nn mt
con ngi cm nhn c LED qut ng thi. Khi mun LED no sang chng ta
cn phi a tn hiu vo iu khin cho LED .

58
2.3.7.3. V d
Thit k mch hin th ch DTVT trn ma trn LED

VT T T T VT

V T V

V T V

V T V

V T V

VT

TV

TV: Y1
T: Y2
V: Y3
VT: Y4
: Y5
T: Y6
V: Y7
Ta c bng chn l sau:

A B Y1 Y2 Y3 Y4 Y5 Y6 Y7
0 0 1 1 1 0 1 0 0
T 0 1 1 1 0 1 0 1 0
V 1 0 1 0 1 1 0 0 1
T 1 1 1 1 0 1 0 1 0
T bng chn l ta c c cc phng trnh ca li ra Y v c th v c s
mch.

59
Chng 3. Mch tun t
3.1. Khi nim chung
Trig (Flip - Flop) l phn t c bn nht t ch to ra cc mch dy
(mch logic c nh). Mch Trig thuc loi mch khng ng b c hai trng thi
n nh bn theo thi gian ng vi hai mc logic "1" v "0". Trng thi ca Trig c
th thay i khi tc ng xung ln cc u vo. Trng thi t-ng lai ca Trig
khng nhng ph thuc vo cc bin vo m cn ph thuc vo trng thi hin ti.
Khi ngng tc ng xung ln cc u vo , trng thi Trig gi nguyn, vi c
im ny cc mch Trig -c dng l-u tr thng tin d-i dng m nh phn.
3.2. Cc loai Trig
3.2.1 Trig R-S khng ng b
L loi Trig c bn nht t to ra cc loi Trig khc gm c 2 u vo
l R, S v hai u ra Q, Q vi:
- Q: u ra chnh thng c s dng.
- Q : u ra ph, lun tho mn Q + Q = 1
- R (Reset): u vo xo.
- S (Set): u vo thit lp.
tng thit k trig R-S khng ng b theo cc iu kin sau:
+ Rn = Sn = 0, trng thi ca trig gi nguyn Qn+1 = Qn.
+ Rn = 0; Sn = 1 u ra trig nhn gi tr "1" Qn+1 = 1.
+ Rn = 1; Sn = 0 u ra trig nhn gi tr "0" Qn+1 = 0.
+ Rn = 1; Sn = 1 y l trng thi cm , trng thi Trig l khng xc nh,
trong bng trng thi c nh du bng du "x".
Hot ng ca trig R-S tun theo bng trng thi nh hnh v.
- n: Trng thi hin ti
- n + 1: Trng thi tng lai.
- "-": Gi tr tu chn - c th ly gi tr "1" hoc "0".
- x: Trng thi cm ti gi tr ca hm ra l khng xc nh.

60
Hnh 3.1: S m phng Bng trng thi

Bng chuyn tip Bng u vo kch


Thc hin nhm cc c gi tr 1 trong bng trng thi (dng tuyn) ta c:
Q n 1 S n R n .Q n (1)
Nhm cc c gi tr 0 trong bng trng thi (dng hi) ta c:
Q n 1 R n .(Q n S n ) (2)

T (1) Q n 1 S n Q n .R n S n .Q n .R n (3)

T (2) Q n 1 R n .(Q n S n ) R n .Q n .S n

Q n 1 R n .(Q n .S n ) (4)

T (2) Q n 1 R n .(Q n S n ) R n (Q n S n ) (5)

T (1) Q n 1 S n R n .Q n S n Q n R n

Q n 1 S n Q n R n (6)
T (3) v (4), (5) v (6) cho php ta xy dng Trig RS khng ng b t cc
phn t NAND, cc phn t NOR hai li vo.

61
Hnh 3.2: S Trig R-S dung phn t NAND

Hnh 3.3: S Trig R-S dng phn t NOR

(a ) ( b)
Hnh 3.4: Gin in p lm vic ca Trig R-S t NAND (a), t NOR (b)
3.2.2. Trig R-S ng b.
Ng-i ta mun Trig ch phn ng vo nhng thi im xc nh, iu ny
-c hc hin bng cch -a thm ti u vo tn hiu ph C -c gi l tn hiu
ng b. Khi C = "0" th R = S =1 trng thi Trig gi nguyn cn C = "1" hot
ng ca s ging Trig R-S khng ng b nh- phn tch phn trn.

62
Hnh 3.5: Trig R-S ng b
3.2.3. Trig D (Delay)
Gm c hai u vo C, Dn v hai u ra Qn, Q n vi:
- C: Bin iu khin (xung nhp - xung ng b)
- Dn: D liu vo.
t-ng thit k trig D tun theo cc iu kin sau:
+ Khi C = 0, trng thi ca trig gi nguyn Qn+1 = Qn.
+ Khi C = 1, gi tr u ra trig nhn gi tr a n u vo D Qn+1 = Dn.

Hnh 3.6: S m phng Bng trng thi

Bng chuyn tip Bng u vo kch


Thc hin nhm cc c gi tr 1 trong bng trng thi (dng tuyn) ta
c:

Q n 1 C.D n Q n .C Q n .D n C.D n Q n C D n C.D n Q n .C.D n

63
C.D n Q n .C.C.D n C.D n .Q n .C.C.D n . (1)
Thc hin nhm cc c gi tr 0 trong bng trng thi (dng hi) ta c:

Q n 1 C D n .Q n C
. Q n D n C D n .Q n Q n .D n Q n .C C.D n


C D n .Q n 1 D n C C.D n C D .Q
n n C.D n C.D n .Q n .C.D n C.C.D n .Q n .C.D n

Q n 1 C.C.D n .Q n .C.D n . (2)


T cc biu thc (1) v (2) ta c mch in ca trig D c xy dng t cc phn
t NAND hai li vo.

Hnh 3.7: Trig D xy dng t phn t NAND

Hnh 3.8: Gin xung m t qu trnh hot ng Trig D


3.2.4. Trig vn nng J-K
Gm c 3 u vo C, Jn, Kn v hai u ra Qn, Q n vi:
- C: Xung ng b.
- Jn, Kn: Cc u vo iu khin.
t-ng thit k trig vn nng J, K theo cc iu kin sau:
+ Kn = Jn = 0, trng thi ca trig gi nguyn Qn+1 = Qn.
+ Kn = 0; Jn = 1 u ra trig nhn gi tr "1" Qn+1 = 1.
+ Kn = 1; Jn = 0 u ra trig nhn gi tr "0" Qn+1 = 0.
+ Kn = 1; Jn = 1 trig lt trng thi Qn+1 = Qn .

64
Hnh 3.9: S m phng Bng trng thi

Bng chuyn tip Bng u vo kch


Thc hin nhm cc c gi tr 1 trong bng trng thi (dng tuyn) ta c:
Q n 1 K n .Q n J n .Q n (1)
Nhm cc c gi tr 0 trong bng trng thi (dng hi) ta c:
Q n 1 (K n Q n ).(J n Q n ) (2)
Cc biu thc (1) hoc (2) -c gi l ph-ng trnh c tnh ca Trig vn nng
J-K

Hnh 3.10: S mch logic Trig J-K

65
Hnh 3.11: S xung m t qu trnh lm vic Trig J-K
3.2.5. Trig m T
C hai u vo T, C, hai u ra Q, Q .
-C: Xung ng b (xung nhp).
-T: Bin iu khin, tho mn yu cu sau:
+ T = "0" trng thi Trig gi nguyn Qn+1 = Qn.
+ T = "1" Trig lt trng thi Qn+1 = Q n .

S m phng Bng trng thi Bng chuyn tip Bng u vo kch


T bng chuyn tip ta c: Q n1 Tn .Q n Tn .Q n (1)
Q n1 (Tn Q n ).(Tn Q n ) (2)
Biu thc (1) v (2) -c gi l ph-ng trnh c tnh ca Trig m T.

Hnh 3.12: S xung m t qu trnh lm vic Trig T

66
3.3. Thit k b m ng b
3.3.1. Cc bc thit k b m ng b
- Phn tch yu cu thit k, v gin xung m t
- Xc nh loi trig, s lng trig
- Lp bng trng thi ca b m
- Lp phng trnh trng thi
- V s logic

3.3.2. Thit k b m tin thp phn ng b


Bc 1: V gin xung cho b m

Hnh 3.18: Gin xung ca b m module 10


Bc 2: Lp bng trng thi cho b m
Xung Trng thi
vo Q3 Q2 Q1 Q0

0 0 0 0 0
1 0 0 0 1
2 0 0 1 0
3 0 0 1 1
4 0 1 0 0
5 0 1 0 1
6 0 1 1 0
7 0 1 1 1
8 1 0 0 0
9 1 0 0 1
10 0 0 0 0

67
Hnh 3.19: Bng trng thi ca b m module 10
Bc 3: Lp hnh chuyn i trng thi

Hnh 3.20: hnh chuyn i trng thi ca b m


Bc 4 : Lp mi quan h u vo theo u ra
Xung Trng thi cc trig m Trng thi cc hm u vo kch
m Hin ti Tip theo ca trig
Q3 Q2 Q1 Q0 Q3' Q2' Q1' Q0' J3 K3 J2 K2 J1 K1 J0 K0

0 0 0 0 0 0 0 0 1 0 - 0 - 0 - 1 -
1 0 0 0 1 0 0 1 0 0 - 0 - 1 - - 1
2 0 0 1 0 0 0 1 1 0 - 0 - - 0 1 -
3 0 0 1 1 0 1 0 0 1 - 1 - - 1 - 1
4 0 1 0 0 0 1 0 1 0 - - 0 0 - 1 -
5 0 1 0 1 0 1 1 0 0 - - 0 1 - - 1
6 0 1 1 0 0 1 1 1 0 - - 0 - 0 1 -
7 0 1 1 1 1 0 0 0 1 - - 1 - 1 - 1
8 1 0 0 0 1 0 0 1 - 0 0 - 0 - 1 -
9 1 0 0 1 0 0 0 0 - 1 0 - 0 - - 1

Hnh 3.21: Bng trng thi minh ha qu trnh lm vic ca b m module 10


Bc 5: Ti gin cc hm u vo

68
Bc 6 : V s mch ca b m

69
Hnh 3.22: S mch b m module 10
3.3.3. Thit k b m li module 8
Gin xung ca b m
Xung m
1 2 3 4 5 6 7 8
t
Q0
0 1 0 1 0 1 0 1
t
Q1
0 1 1 0 0 1 1 0
t
Q2
0 1 1 1 1 0 0 0
t

Bng trng thi ca b m


Xung Q2 Q1 Q0
0 0 0 0
1 1 1 1
2 1 1 0
3 1 0 1
4 1 0 0
5 0 1 1
6 0 1 0
7 0 0 1

70
hnh chuyn i trng thi ca b m

000 001

111 010

110 011

101 100
thit k b m ny ta dung trig JK.
Bng trng thi hot ng ca b m
Xung Trng thi ca trig m Trng thi u vo kch ca trig m
m Hin ti Tip theo
Q2 Q1 Q0 Q2' Q1' Q0' K2 J2 K1 J1 K0 J0
0 0 0 0 1 1 1 - 1 - 1 - 1
7 1 1 1 1 1 0 0 - 0 - 1 -
6 1 1 0 1 0 1 0 - 1 - - 1
5 1 0 1 1 0 0 0 - - 0 1 -
4 1 0 0 0 1 1 1 - - 1 - 1
3 0 1 1 0 1 0 - 0 0 - 1 -
2 0 1 0 0 0 1 - 0 1 - - 1
1 0 0 1 0 0 0 - 0 - 0 1
Ti gin hm
K2
Q1Q0 J 2 Q1Q0
Q2 00 01 11 10 Q2 01 11 10
00

0 X x x X
0 1 0 0 0

1 1 0 0 0 1 x x x x

K 2 Q1.Q0 J 2 Q1.Q0

71
K1 J1
Q1Q0 Q1Q0
Q2 00 01 11 10 Q2 00 01 11 10

0 x x 0 1
0 1 0 x x

x 1 1 0 x
1 x 0 1 x

K1 Q0 J1 Q0
0 0
0 0
K0 J0
Q1Q0 Q1Q0
Q2 00 01 11 10 Q2 01 11 10
00

0 x 1 1 x 1 x x 1
0

1 x 1 x 1 1 x 1
1 x

K0 1 J0 1
0
Ta c phng trnh trng0 thi b m nghich module 80 nh sau:
0
K0 1 ; J 0 1 ; K1 Q0 ; J1 Q0 ; K 2 Q1.Q0 ; J 2 Q1.Q0

VCC Q2
Q0 Q1

J Q
J Q J Q U4 1 3
1 3 1 3
2
1 K /Q
K /Q K /Q 3 2 4
2 4 2 4
5
5

AND2
C

Xung vo
S mch logic b li ng b
3.4. B m khng ng b
3.4.1. B m tin
B m tin khng ng b l b m m ta ghp ni tip cc trig vi nhau
, xung cn m c a vo mt cch tun t ti li vo ng b ( ca C ) ca

72
Trig u tin, u ra ca trig trc c ni vi u vo ng b C ca trig tip
theo cp cao hn ( Qi c ni vi Ci 1 ).

Hnh 3.13: S b m tin khng ng b


- Xung xo phi xut hin tr-c dy xung m thit lp trng thi ban u Q 0 =
Q1 = Q2 = Q3 = "0".
- trng thi ca trig bt k ch lt khi u ra Q ca trig cp thp hn k n
chuyn i t "1" v "0" th cc u vo iu khin ca cc trig phi cng nhn tr
"1" (J=K=1).
- Qua mi trig Fi thc hin chia i tn s ca dy xung vo.
- to ra b m c dung l-ng ln ta cn tng s trig (s bit) khi do c hin
t-ng tr tch lu gia dy xung vo v dy xung ra lm gim kh nng m nhanh
khi s bt tng dn, tr tch lu chung bng tng tr do cc trig to nn. y
cng chnh l nh-c im chnh ca b m nh phn ni tip (khng ng b).

3.4.2. Thit k b m li
Gin xung ca b m:

73
Hnh 3.14: Gin xung b m li
Nguyn l lm vic t-ng t nh- b m nh phn thun, gi tr nh phn ca
b m gim dn khi c xung -a ti, b m nh phn ng-c ni tip m cc
trig -c xy dng t cc phn t NAND ng-i ta thc hin ni Q i vi Ci+1
Bng trng thi ca b m ngc:
S Trng thi trig m
xung F3 F2 F1 F0
vo
0 0 0 0 0
1 1 1 1 1
2 1 1 1 0
3 1 1 0 1
74
4 1 1 0 0
5 1 0 1 1
6 1 0 1 0
7 1 0 0 1
8 1 0 0 0
9 0 1 1 1
10 0 1 1 0
11 0 1 0 1
12 0 1 0 0
13 0 0 1 1
14 0 0 1 0
15 0 0 0 1
16 0 0 0 0

Hnh 3.15: Bng trng thi b m

Hnh 3.16: S mch m li


3.5. Mch m vng
3.5.1 m vng
Mch m vng c cu trc c bn l thanh ghi dch vi ng ra tng sau
cng c a v ng vo tng u. Hnh di l mch m vng 4 bit dng FF
D.

75
SET SET SET SET
D0 Q0 D1 Q1 D2 Q2 D3 Q3

C0 FF0 C1 FF1 C2 FF2 C3 FF3

Q0 Q1 Q2 Q3
CLR CLR CLR CLR
CLK
CLR

Hnh 3.23: Mch m vng 4 bit

Nhng rng, khi mi bt ngun cho mch m chy, ta khng bit bit 1
nm ng ra ca tng no. Do , cn phi xc lp d liu dch chuyn ban u
cho b m. Ta c th dng ng Pr v Cl lm, nh l tng dng t s
m cho cc mch m khc ni trc, gi s trng thi ban u l 1000 vy
ta c th reset tng FF 3 t Q3 mc 1, cc tng khc th xo bng clear.
Gi s ban u ch cho D0 = 1, cc ng vo tng FF khc l 0. By gi cp
xung ck ng b khi ck ln cao, d liu 1000 c dch sang phi 1 tng do Q 0
= 1, cc ng ra khc l 0. Tip tc cho ck xung thp ln na, Q 1 s ln 1, cc
ng ra khc l 0. Nh vy sau 4 nhp xung ck th Q 3 ln 1 v a v lm D0 = 1.
mch thc hin xong 1 chu trnh. Trng thi cc ng ra ca mch nh hnh
sau:
0 1 2 3 4 5 6 7 8
CLK

Q0

Q1

Q2

Q3

Hnh 3.24. Dng sng minh ho mch m vng


Hnh trn cho thy rng, dng sng cc ng ra l sng vung, dch vng
quanh, chu k nh nhau nhng lch nhau ng 1 chu k xung vo Ck. S m ra l
1, 2, 4, 8 khng phi l s xung vo (nh bng trng thi m pha di)

76
Vi 4 s m ra t 4 tng FF ta c
mch m mod 4. Ch 4 trng thi
ra trong tng s 16 trng thi c
th, iu ny lm gim hiu qu s
dng ca mch m vng. Nhng
n cng c u im ni bt so vi
mch m chia h 2 l khng cn
mch gii m trong cu trc mch
(v thng trong trng thi ca s
m ra ch c 1 bit 1) .
3.5.2. m Johnson (m vng xon)

SET SET SET SET


D0 Q0 D1 Q1 D2 Q2 D3 Q3

C0 FF0 C1 FF1 C2 FF2 C3 FF3

Q0 Q1 Q2 Q3
CLR CLR CLR CLR
CLK
CLR

Hnh 3.25 Mch m vng xon


Mch m Johnson c mt cht thay i
so vi m vng ch ng ra o tng
cui c a v ng vo tng u.
Hot ng ca mch cng gii thch tng
t. Vi n tng FF th m vng xon cho
ra 2n s m do n cn c coi l
mch m mod 2n (m nh phn cho
php m vi chu k m n 2n). Nh
vy trn l mch m vng xon 4
bit. Bng bn cho thy 8 trng thi ng
ra v hnh di s minh ho cho s m.
Ta c th np trng thi ban u cho mch l 1000 bng cch s dng ng Pr v
Cl ging nh trn. Dng sng cc ng ra cng ging nh trn, hn th na, n
cn i xng gia mc thp vi mc cao trong tng chu k

77
0 1 2 3 4 5 6 7 8
CLK

Q0 1 0 0
0 1 1 1 0 0

Q1 0 0
0 1 1 1 1 0 0

Q2 0 0 1 0
0 1 1 1 0

Q3 0 0 1
0 0 1 1 1 0

Hnh 3.26: Dng sng mch m vng xon


3.6. B ghi dch
3.6.1. Gii thiu
phn trc ta c bit n cc loi FF. Chng u c th lu tr (nh
1 bit) v ch khi c xung ng b th bit mi truyn ti ng ra (o hay khng
o). By gi nu ta mc nhiu FF ni tip li vi nhau th s nh c nhiu bit.
Cc ng ra s phn hot ng theo xung nhp Clock a n u vo. C th ly
ng ra tng tng FF (gi l cc ng ra song song) hay tng cui (ng ra
ni tip). Nh vy mch c th ghi li d liu (nh) v dch chuyn n (truyn) nn
mch c gi l ghi dch. Ghi dch cng c rt nhiu ng dng c bit trong my
tnh, nh chnh ci tn ca n: lu tr d liu v dch chuyn d liu ch l ng
dng ni bt nht.
3.6.2. Cu to
Ghi dch c th c xy dng t cc FF khc nhau v cch mc cng khc
nhau nhng thng dng FF D, chng c tch hp sn trong 1 IC gm nhiu FF
(to nn ghi dch n bit). Hy xem cu to ca 1 ghi dch c bn 4 bit dng FF D

Data input SET SET SET SET Data output


D0 Q0 D1 Q1 D2 Q2 D3 Q3

C0 FF0 C1 FF1 C2 FF2 C3 FF3

Q0 Q1 Q2 Q3
CLR CLR CLR CLR
CLK
CLR

78
Hnh 3.27: Ghi dch 4 bit c bn

3.6.2. Hot ng
Thanh ghi, trc ht c xo (p xung CLEAR) t cc ng ra v 0.
D liu cn dch chuyn c a vo ng D ca tng FF u tin (FF0). mi
xung kch ln ca xung clock, s c 1 bit c dch chuyn t tri sang phi, ni
tip t tng ny qua tng khc v a ra ng Q ca tng sau cng (FF3). Gi
s d liu a vo l 1001, sau 4 xung clock th ta ly ra bit LSB, sau 7 xung clock
ta ly ra bit MSB.

Nu tip tc c xung clock v khng a thm d liu vo th ng ra ch


cn l 0 (cc FF reset: t li v 0 ht. Do ta phi ghim d liu li. Mt cch
lm l s dng 2 cng AND, 1 cng OR v 1 cng NOT nh hnh di y.

Hnh 3.28: Cho php cht d liu trc khi dch ra ngoi
D liu c a vo thanh ghi khi ng iu khin R/W control mc
cao (Write). D liu ch c a ra ngoi khi ng iu khin mc thp
(Read).

3.6.3. Mt s b ghi dch thng dng


3.6.3.1. B ghi dch vo ni tip ra song song
D liu s c ly ra 4 ng Q ca 4 tng FF, v chung nhp clock nn
d liu c ly ra cng lc.
Q0 Q1 Q2 Q3

Data input SET SET SET SET


D0 Q0 D1 Q1 D2 Q2 D3 Q3

C0 FF0 C1 FF1 C2 FF2 C3 FF3

Q0 Q1 Q2 Q3
CLR CLR CLR CLR
CLK
CLR

79
Hnh 3.29: Mch ghi dch vo ni tip ra song song
Bng di y cho thy lm nh th no d liu c a ti ng ra 4 tng FF

3.6.3.2. B ghi dch vo song song ra ni tip


By gi mun a d liu vo song song (cn gi l np song song) ta c th
tn dng ng vo khng ng b Pr v Cl ca cc FF np d liu cng mt
lc vo cc F. Mch hot ng bnh thng khi np song song thp nh ni.
Khi np song song WRITE = 1 cho php np

ABCD c a vo Pr v Cl t v xo Q0 = A, Q1 = B, Xung ck v
ng vo ni tip khng c tc dng (v s dng ng khng ng b Pr v Cl)
Mt cch khc khng s dng chn Pr v Cl c minh ho nh hnh di y.Cc
cng nand c thm vo np cc bit thp D1, D2, D3. ng WRITE/SHIFT
dng cho php np ( mc thp) v cho php dch ( mc cao). D liu np v
dch vn c thc hin ng b nh cc mch trc.

H3.2.4b Mch ghi dch np song song ra ni tip


Vi mch hnh 3.2.4b ng ra d liu l ni tip, ta cng c th ly ra d liu
song song nh hnh 3.2.5, Cu trc mch khng khc so vi trn. D liu c
a vo cng lc v cng ly ra cng lc (mch nh l tng m v hot ng khi
c xung ck tc ng ln.
80
Hnh 3.2.5 Mch ghi dch vo song song ra song song

Ghi dch 2 chiu


Nh thy, cc mch ghi dch ni nhng phn trn u a d liu ra bn phi
nn chng thuc loi ghi dch phi. c th dch chuyn d liu ngc tr li
(dch tri) ta ch vic cho d liu vo ng D ca tng cui cng, ng ra Q
c a ti tng k tip, . D liu ly ra tng u.
dch chuyn c 2 chiu, c th ni mch nh hnh di y:

Hnh 3.2.6 Mch ghi dch cho php dch chuyn c 2 chiu
Vi mch trn, cc cng NAND v ng cho php dch chuyn d liu tri hay
phi. Bng di y minh ho cho mch trn: d liu s dch phi 4 ln ri dch tri
4 ln. l th t 4 bit ra b o ngc li so vi chng trn.

81
Hnh 3.22: S b ghi dch u vo ni tip Trig JK ni kiu Trig D
- Khi lnh ghi nhn tr "1" thng tin nh phn D0 D7 -c ghi vo cc trig D (F0
F7), kt thc lnh ghi (nhn tr "0") thng tin nh phn -c l-u tr trong .
Khi c lnh c (G nhn tr "1") cc cng 3 trng thi -c m, thng tin nh
phn -c gi ti a ch cn nhn
Cc thao tc ghi - c -c thc
hin ng thi vi c 8 bit thng tin.
Ngoi ra ng-i ta cn kt hp ph-ng php ni tip v song song trong mt b
ghi dch s dng linh hot cc -u th ca mi cch ng thi to kh nng
chuyn t mt dy thng tin ni tip thnh dng song song hoc ng-c li. Hnh 4
-a ra cu trc mt b ghi dch 4 bit kiu ny, s dng 4 trig D kt hp vi cc
cng logic ph.

82

You might also like